intel 750856 Agilex FPGA ഡവലപ്മെന്റ് ബോർഡ്
ഉൽപ്പന്ന വിവരം
ഈ റഫറൻസ് ഡിസൈൻ Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡിനുള്ളതാണ്. ഇത് ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപി ഉപയോഗിക്കുന്നു കൂടാതെ ലളിതമായ ഒരു പിആർ മേഖലയുമുണ്ട്. Intel Agilex ഡിവൈസ് എക്സ്റ്റേണൽ ഹോസ്റ്റ് ഹാർഡ്വെയർ സെറ്റപ്പിൽ ഒരു ബാഹ്യ ഉപകരണം (ഹെൽപ്പർ FPGA), ഒരു DUT FPGA, നിങ്ങളുടെ ബാഹ്യ ഹോസ്റ്റ് ഡിസൈൻ എന്നിവ അടങ്ങിയിരിക്കുന്നു. ബാഹ്യ ഉപകരണത്തിലെ ഹോസ്റ്റ് ഡിസൈൻ PR പ്രോസസ്സ് ഹോസ്റ്റുചെയ്യുന്നതിന് ഉത്തരവാദിയാണ്. രണ്ട് ഉപകരണങ്ങളും ബന്ധിപ്പിക്കുന്നതിന് PR പിന്നുകൾ ഉപയോഗിക്കുന്നു, ലഭ്യമായ ഏതെങ്കിലും ഉപയോക്തൃ I/Os ആകാം.
ഉൽപ്പന്ന ഉപയോഗ നിർദ്ദേശങ്ങൾ
ബാഹ്യ ഹോസ്റ്റ് കോൺഫിഗറേഷൻ
ബാഹ്യ ഹോസ്റ്റ് കോൺഫിഗറേഷൻ നടത്താൻ, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- PR പ്രോസസ്സ് ഹോസ്റ്റുചെയ്യുന്നതിന് ഒരു ബാഹ്യ ഉപകരണത്തിൽ ഒരു ഹോസ്റ്റ് ഡിസൈൻ സൃഷ്ടിക്കുക.
- DUT FPGA-യിലെ ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളറായ Intel FPGA IP-ലേക്ക് ബാഹ്യ ഉപകരണത്തിൽ നിന്ന് PR പിന്നുകൾ ബന്ധിപ്പിക്കുക.
- IP-യിൽ നിന്നുള്ള PR ഹാൻഡ്ഷേക്കിംഗ് സിഗ്നലുകളുമായി പൊരുത്തപ്പെടുന്ന, ഹോസ്റ്റ് ഡിസൈനിൽ നിന്ന് Intel Agilex Avalon സ്ട്രീമിംഗ് ഇന്റർഫേസ് പിന്നുകളിലേക്കുള്ള കോൺഫിഗറേഷൻ ഡാറ്റ സ്ട്രീം ചെയ്യുക.
കോൺഫിഗറേഷൻ പിൻസ് ഓപ്പറേഷൻ വഴി ഭാഗികമായ പുനർക്രമീകരണം
കോൺഫിഗറേഷൻ പിന്നുകൾ വഴി ഭാഗികമായ പുനർക്രമീകരണത്തിന്റെ പ്രവർത്തനത്തെ ഇനിപ്പറയുന്ന ശ്രേണി വിവരിക്കുന്നു:
- ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളറുമായി ബന്ധിപ്പിച്ചിട്ടുള്ള pr_request പിൻ ഉറപ്പിക്കുക Intel FPGA IP.
- പിആർ പ്രക്രിയ പുരോഗമിക്കുകയാണെന്ന് സൂചിപ്പിക്കാൻ തിരക്കേറിയ ഒരു സിഗ്നൽ IP ഉറപ്പിക്കുന്നു (ഓപ്ഷണൽ).
- കോൺഫിഗറേഷൻ സിസ്റ്റം ഒരു PR പ്രവർത്തനത്തിന് തയ്യാറാണെങ്കിൽ, avst_ready പിൻ ഉറപ്പിക്കുന്നു, അത് ഡാറ്റ സ്വീകരിക്കാൻ തയ്യാറാണെന്ന് സൂചിപ്പിക്കുന്നു.
- PR കോൺഫിഗറേഷൻ ഡാറ്റ avst_data പിൻ, avst_valid പിൻ എന്നിവയിലൂടെ സ്ട്രീം ചെയ്യുക, ബാക്ക്പ്രഷർ ഉപയോഗിച്ച് ഡാറ്റാ കൈമാറ്റത്തിനായി Avalon സ്ട്രീമിംഗ് സ്പെസിഫിക്കേഷൻ പിന്തുടരുക.
- avst_ready പിൻ ഡി-അസേർട്ട് ചെയ്യുമ്പോൾ സ്ട്രീമിംഗ് നിർത്തുന്നു.
- PR പ്രവർത്തനത്തിന് കൂടുതൽ ഡാറ്റ ആവശ്യമില്ലെന്ന് സൂചിപ്പിക്കാൻ avst_ready പിൻ ഡി-സേർട്ട് ചെയ്യുക.
- ഭാഗിക പുനഃക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ Intel FPGA IP, പ്രക്രിയയുടെ അവസാനം (ഓപ്ഷണൽ) സൂചിപ്പിക്കാൻ തിരക്കുള്ള സിഗ്നൽ ഡി-സെസെർട്ട് ചെയ്യുന്നു.
കോൺഫിഗറേഷൻ പിൻസ് (എക്സ്റ്റേണൽ ഹോസ്റ്റ്) റഫറൻസ് ഡിസൈൻ വഴിയുള്ള ഭാഗിക പുനർക്രമീകരണം
Intel® Agilex® F-Series FPGA ഡവലപ്മെന്റ് ബോർഡിലെ കോൺഫിഗറേഷൻ പിന്നുകൾ (ബാഹ്യ ഹോസ്റ്റ്) വഴി ഭാഗികമായ പുനർക്രമീകരണം ഈ ആപ്ലിക്കേഷൻ കുറിപ്പ് കാണിക്കുന്നു.
റഫറൻസ് ഡിസൈൻ കഴിഞ്ഞുview
ഭാഗിക പുനർക്രമീകരണം (പിആർ) സവിശേഷത, എഫ്പിജിഎയുടെ ഒരു ഭാഗം ചലനാത്മകമായി പുനഃക്രമീകരിക്കാൻ നിങ്ങളെ അനുവദിക്കുന്നു, അതേസമയം ശേഷിക്കുന്ന എഫ്പിജിഎ ഡിസൈൻ പ്രവർത്തിക്കുന്നത് തുടരുന്നു. ഈ പ്രദേശത്തിന് പുറത്തുള്ള പ്രദേശങ്ങളിലെ പ്രവർത്തനത്തെ ബാധിക്കാത്ത നിങ്ങളുടെ രൂപകൽപ്പനയിൽ ഒരു പ്രത്യേക പ്രദേശത്തിനായി ഒന്നിലധികം വ്യക്തികളെ സൃഷ്ടിക്കാൻ നിങ്ങൾക്ക് കഴിയും. ഒരേ എഫ്പിജിഎ ഉപകരണ ഉറവിടങ്ങൾ ഒന്നിലധികം ഫംഗ്ഷനുകൾ സമയം പങ്കിടുന്ന സിസ്റ്റങ്ങളിൽ ഈ രീതി ഫലപ്രദമാണ്. Intel Quartus® Prime Pro Edition സോഫ്റ്റ്വെയറിന്റെ നിലവിലെ പതിപ്പ് ഭാഗികമായ പുനർക്രമീകരണത്തിനായി പുതിയതും ലളിതവുമായ ഒരു കംപൈലേഷൻ ഫ്ലോ അവതരിപ്പിക്കുന്നു. ഈ ഇന്റൽ അജിലെക്സ് റഫറൻസ് ഡിസൈൻ ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപി ഉപയോഗിക്കുന്നു കൂടാതെ ലളിതമായ പിആർ മേഖലയുമുണ്ട്.
ഇന്റൽ അജിലെക്സ് ഡിവൈസ് എക്സ്റ്റേണൽ ഹോസ്റ്റ് ഹാർഡ്വെയർ സെറ്റപ്പ്
ബാഹ്യ ഹോസ്റ്റ് കോൺഫിഗറേഷൻ
ബാഹ്യ ഹോസ്റ്റ് കോൺഫിഗറേഷനിൽ, Intel Agilex ഡിവൈസ് എക്സ്റ്റേണൽ ഹോസ്റ്റ് ഹാർഡ്വെയർ സെറ്റപ്പ് കാണിക്കുന്നതുപോലെ, PR പ്രോസസ്സ് ഹോസ്റ്റുചെയ്യുന്നതിന് നിങ്ങൾ ആദ്യം ഒരു ബാഹ്യ ഉപകരണത്തിൽ ഒരു ഹോസ്റ്റ് ഡിസൈൻ സൃഷ്ടിക്കണം. ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപിയിൽ നിന്നുള്ള പിആർ ഹാൻഡ്ഷേക്കിംഗ് സിഗ്നലുകളുമായി പൊരുത്തപ്പെടുന്ന ഇന്റൽ അജിലെക്സ് അവലോൺ സ്ട്രീമിംഗ് ഇന്റർഫേസ് പിന്നുകളിലേക്ക് ഹോസ്റ്റ് ഡിസൈൻ കോൺഫിഗറേഷൻ ഡാറ്റ സ്ട്രീം ചെയ്യുന്നു. രണ്ട് ഉപകരണങ്ങളും ബന്ധിപ്പിക്കാൻ നിങ്ങൾ ഉപയോഗിക്കുന്ന PR പിന്നുകൾ ലഭ്യമായ ഏതെങ്കിലും ഉപയോക്തൃ I/Os ആകാം.
കോൺഫിഗറേഷൻ പിൻസ് ഓപ്പറേഷൻ വഴിയുള്ള ഭാഗികമായ പുനർക്രമീകരണത്തെ ഇനിപ്പറയുന്ന ശ്രേണി വിവരിക്കുന്നു:
- ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളറായ Intel FPGA IP-യുമായി ബന്ധിപ്പിച്ചിരിക്കുന്ന pr_request പിൻ ആദ്യം ഉറപ്പിക്കുക.
- പിആർ പ്രക്രിയ പുരോഗമിക്കുകയാണെന്ന് സൂചിപ്പിക്കാൻ തിരക്കേറിയ ഒരു സിഗ്നൽ IP ഉറപ്പിക്കുന്നു (ഓപ്ഷണൽ).
- കോൺഫിഗറേഷൻ സിസ്റ്റം ഒരു PR പ്രവർത്തനത്തിന് തയ്യാറാണെങ്കിൽ, ഡാറ്റ സ്വീകരിക്കാൻ തയ്യാറാണെന്ന് സൂചിപ്പിക്കുന്ന avst_ready പിൻ ഉറപ്പിക്കുന്നു.
- ബാക്ക്പ്രഷർ ഉപയോഗിച്ച് ഡാറ്റാ കൈമാറ്റത്തിനായി Avalon സ്ട്രീമിംഗ് സ്പെസിഫിക്കേഷൻ നിരീക്ഷിച്ചുകൊണ്ട്, avst_data പിൻ, avst_valid പിൻ എന്നിവയിലൂടെ PR കോൺഫിഗറേഷൻ ഡാറ്റ സ്ട്രീം ചെയ്യാൻ ആരംഭിക്കുക.
- avst_ready പിൻ ഡി-അസേർട്ട് ചെയ്യപ്പെടുമ്പോഴെല്ലാം സ്ട്രീമിംഗ് നിർത്തുന്നു.
- എല്ലാ കോൺഫിഗറേഷൻ ഡാറ്റയും സ്ട്രീം ചെയ്തതിന് ശേഷം, PR പ്രവർത്തനത്തിന് കൂടുതൽ ഡാറ്റ ആവശ്യമില്ലെന്ന് സൂചിപ്പിക്കുന്നതിന് avst_ready പിൻ ഡി-അസെറ്റ് ചെയ്യുന്നു.
- ഭാഗിക റീകോൺഫിഗറേഷൻ ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപി, പ്രക്രിയയുടെ അവസാനം (ഓപ്ഷണൽ) സൂചിപ്പിക്കാൻ തിരക്കുള്ള സിഗ്നൽ ഡിസേർട്ട് ചെയ്യുന്നു.
- PR പ്രവർത്തനം വിജയകരമായി പൂർത്തിയാക്കിയിട്ടുണ്ടോ എന്ന് സ്ഥിരീകരിക്കാൻ നിങ്ങൾക്ക് pr_done, pr_error പിൻ എന്നിവ പരിശോധിക്കാം. പതിപ്പ് പരിശോധിക്കുന്നതിലെയും അംഗീകാര പരിശോധനയിലെയും പരാജയം പോലുള്ള ഒരു പിശക് സംഭവിക്കുകയാണെങ്കിൽ, പിആർ പ്രവർത്തനം അവസാനിക്കും.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് കിറ്റ് Web പേജ്
- Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് കിറ്റ് ഉപയോക്തൃ ഗൈഡ്
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡ്: ഭാഗിക പുനർക്രമീകരണം
ഭാഗിക പുനഃക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപി
പിആർ പ്രവർത്തനത്തിനായി പിആർ ഡാറ്റ സ്ട്രീം ചെയ്യുന്നതിന് കോൺഫിഗറേഷൻ പിന്നുകൾ ഉപയോഗിക്കുന്നതിന് ഭാഗിക റീകോൺഫിഗറേഷൻ ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ ആവശ്യമാണ്. കാമ്പിൽ നിന്ന് സുരക്ഷിത ഉപകരണ മാനേജറുമായി (SDM) ഹോസ്റ്റിന്റെ ഹാൻഡ്ഷേക്കിംഗ് അനുവദിക്കുന്നതിന് നിങ്ങൾ ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളർ Intel FPGA IP-യുടെ എല്ലാ ടോപ്പ്-ലെവൽ പോർട്ടുകളും pr_request പിൻ-ലേക്ക് കണക്റ്റ് ചെയ്യണം. നിങ്ങളുടെ MSEL ക്രമീകരണം അനുസരിച്ച്, ഏത് തരത്തിലുള്ള കോൺഫിഗറേഷൻ പിന്നുകൾ ഉപയോഗിക്കണമെന്ന് SDM നിർണ്ണയിക്കുന്നു.
ഭാഗിക പുനഃക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപി
ഭാഗിക പുനർക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ പാരാമീറ്റർ ക്രമീകരണങ്ങൾ
പരാമീറ്റർ | മൂല്യം | വിവരണം |
തിരക്കുള്ള ഇന്റർഫേസ് പ്രവർത്തനക്ഷമമാക്കുക | പ്രവർത്തനക്ഷമമാക്കുക or
പ്രവർത്തനരഹിതമാക്കുക |
ബാഹ്യ കോൺഫിഗറേഷൻ സമയത്ത് PR പ്രോസസ്സിംഗ് പുരോഗമിക്കുകയാണെന്ന് സൂചിപ്പിക്കുന്ന ഒരു സിഗ്നൽ ഉറപ്പിക്കുന്ന തിരക്കുള്ള ഇന്റർഫേസ് പ്രവർത്തനക്ഷമമാക്കാനോ പ്രവർത്തനരഹിതമാക്കാനോ നിങ്ങളെ അനുവദിക്കുന്നു.
സ്ഥിരസ്ഥിതി ക്രമീകരണമാണ് പ്രവർത്തനരഹിതമാക്കുക. |
ഭാഗിക പുനർക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ പോർട്ടുകൾ
പോർട്ട് നാമം | വീതി | ദിശ | ഫംഗ്ഷൻ |
അഭ്യർത്ഥന | 1 | ഇൻപുട്ട് | പിആർ പ്രക്രിയ ആരംഭിക്കാൻ തയ്യാറാണെന്ന് സൂചിപ്പിക്കുന്നു. സിഗ്നൽ ഒരു ക്ലോക്ക് സിഗ്നലുമായി സമന്വയിപ്പിക്കാത്ത ഒരു ചാലകമാണ്. |
പിആർ_എറർ | 2 | ഔട്ട്പുട്ട് | ഒരു ഭാഗിക പുനർക്രമീകരണ പിശക് സൂചിപ്പിക്കുന്നു.:
• 2'b01—പൊതു പിആർ പിശക് • 2'b11—അനുയോജ്യമല്ലാത്ത ബിറ്റ്സ്ട്രീം പിശക് ഈ സിഗ്നലുകൾ ഏതെങ്കിലും ക്ലോക്ക് ഉറവിടവുമായി സമന്വയിപ്പിക്കാത്ത ചാലകങ്ങളാണ്. |
ചെയ്തു കഴിഞ്ഞു | 1 | ഔട്ട്പുട്ട് | പിആർ പ്രക്രിയ പൂർത്തിയായതായി സൂചിപ്പിക്കുന്നു. സിഗ്നൽ ഒരു ക്ലോക്ക് സിഗ്നലുമായി സമന്വയിപ്പിക്കാത്ത ഒരു ചാലകമാണ്. |
സ്റ്റാർട്ട്_അഡ്രർ | 1 | ഇൻപുട്ട് | ആക്റ്റീവ് സീരിയൽ ഫ്ലാഷിലെ പിആർ ഡാറ്റയുടെ ആരംഭ വിലാസം വ്യക്തമാക്കുന്നു. ഏതെങ്കിലും ഒന്ന് തിരഞ്ഞെടുത്ത് നിങ്ങൾ ഈ സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുക അവലോൺ®-എസ്.ടി or സജീവ സീരിയൽ വേണ്ടി Avalon-ST പിൻ അല്ലെങ്കിൽ സജീവ സീരിയൽ പിന്നുകൾ പ്രവർത്തനക്ഷമമാക്കുക പരാമീറ്റർ. സിഗ്നൽ ഒരു ക്ലോക്ക് സിഗ്നലുമായി സമന്വയിപ്പിക്കാത്ത ഒരു ചാലകമാണ്. |
പുനഃസജ്ജമാക്കുക | 1 | ഇൻപുട്ട് | സജീവമായ ഉയർന്ന, സിൻക്രണസ് റീസെറ്റ് സിഗ്നൽ. |
ഔട്ട്_ക്ലക്ക് | 1 | ഔട്ട്പുട്ട് | ഒരു ആന്തരിക ഓസിലേറ്ററിൽ നിന്ന് സൃഷ്ടിക്കുന്ന ക്ലോക്ക് ഉറവിടം. |
തിരക്ക് | 1 | ഔട്ട്പുട്ട് | PR ഡാറ്റ കൈമാറ്റം പുരോഗമിക്കുന്നതായി സൂചിപ്പിക്കാൻ IP ഈ സിഗ്നൽ ഉറപ്പിക്കുന്നു. തിരഞ്ഞെടുത്ത് നിങ്ങൾ ഈ സിഗ്നൽ പ്രവർത്തനക്ഷമമാക്കുക പ്രവർത്തനക്ഷമമാക്കുക വേണ്ടി തിരക്കുള്ള ഇന്റർഫേസ് പ്രവർത്തനക്ഷമമാക്കുക പരാമീറ്റർ. |
റഫറൻസ് ഡിസൈൻ ആവശ്യകതകൾ
ഈ റഫറൻസ് ഡിസൈനിന്റെ ഉപയോഗത്തിന് ഇനിപ്പറയുന്നവ ആവശ്യമാണ്:
- Intel Agilex ഉപകരണ കുടുംബത്തിനായുള്ള പിന്തുണയോടെ Intel Quartus Prime Pro പതിപ്പ് 22.3-ന്റെ ഇൻസ്റ്റാളേഷൻ.
- ബെഞ്ചിലെ Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡിലേക്കുള്ള കണക്ഷൻ.
- ഡിസൈൻ ഡൗൺലോഡ് എക്സിampഇനിപ്പറയുന്ന സ്ഥലത്ത് ലഭ്യമാണ്: https://github.com/intel/fpga-partial-reconfig.
ഡിസൈൻ ഡൗൺലോഡ് ചെയ്യാൻ എക്സിampLe:
- ക്ലോൺ ക്ലിക്ക് ചെയ്യുക അല്ലെങ്കിൽ ഡൗൺലോഡ് ചെയ്യുക.
- ZIP ഡൗൺലോഡ് ക്ലിക്ക് ചെയ്യുക. fpga-partial-reconfig-master.zip അൺസിപ്പ് ചെയ്യുക file.
- റഫറൻസ് ഡിസൈൻ ആക്സസ് ചെയ്യുന്നതിന് ട്യൂട്ടോറിയലുകൾ/agilex_external_pr_configuration സബ്ഫോൾഡറിലേക്ക് നാവിഗേറ്റ് ചെയ്യുക.
റഫറൻസ് ഡിസൈൻ വാക്ക്ത്രൂ
Intel Agilex F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡിൽ കോൺഫിഗറേഷൻ പിന്നുകൾ (ബാഹ്യ ഹോസ്റ്റ്) വഴി ഭാഗികമായ പുനർക്രമീകരണം നടപ്പിലാക്കുന്നത് ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ വിവരിക്കുന്നു:
- ഘട്ടം 1: ആമുഖം
- ഘട്ടം 2: ഒരു ഡിസൈൻ പാർട്ടീഷൻ ഉണ്ടാക്കുന്നു
- ഘട്ടം 3: പ്ലെയ്സ്മെന്റ്, റൂട്ടിംഗ് മേഖലകൾ അനുവദിക്കൽ
- ഘട്ടം 4: ഭാഗിക പുനഃക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ ഐപി ചേർക്കുന്നു
- ഘട്ടം 5: വ്യക്തികളെ നിർവചിക്കുന്നു
- ഘട്ടം 6: പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു
- ഘട്ടം 7: അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുന്നു
- ഘട്ടം 8: പിആർ നടപ്പാക്കൽ പുനരവലോകനങ്ങൾ തയ്യാറാക്കുന്നു
- ഘട്ടം 9: ബോർഡ് പ്രോഗ്രാമിംഗ്
ഘട്ടം 1: ആരംഭിക്കുന്നു
റഫറൻസ് ഡിസൈൻ പകർത്താൻ fileനിങ്ങളുടെ പ്രവർത്തന പരിതസ്ഥിതിയിലേക്ക് പോയി ബ്ലിങ്കിംഗ്_ലെഡ് ഫ്ലാറ്റ് ഡിസൈൻ കംപൈൽ ചെയ്യുക:
- നിങ്ങളുടെ പ്രവർത്തന പരിതസ്ഥിതിയിൽ ഒരു ഡയറക്ടറി സൃഷ്ടിക്കുക, agilex_pcie_devkit_blinking_led_pr.
- ഡൗൺലോഡ് ചെയ്ത ട്യൂട്ടോറിയലുകൾ/agilex_pcie_devkit_blinking_led/flat സബ് ഫോൾഡർ, agilex_pcie_devkit_blinking_led_pr എന്ന ഡയറക്ടറിയിലേക്ക് പകർത്തുക.
- Intel Quartus Prime Pro Edition സോഫ്റ്റ്വെയറിൽ ക്ലിക്ക് ചെയ്യുക File ➤ Project തുറന്ന് blinking_led.qpf തിരഞ്ഞെടുക്കുക.
- ഫ്ലാറ്റ് ഡിസൈനിന്റെ ശ്രേണി വിശദീകരിക്കുന്നതിന്, പ്രോസസ്സിംഗ് ➤ ആരംഭിക്കുക ➤ വിശകലനവും സമന്വയവും ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക. പകരമായി, കമാൻഡ്-ലൈനിൽ, ഇനിപ്പറയുന്ന കമാൻഡ് പ്രവർത്തിപ്പിക്കുക: quartus_syn blinking_led -c blinking_led
ഒരു ഡിസൈൻ പാർട്ടീഷൻ ഉണ്ടാക്കുന്നു
നിങ്ങൾ ഭാഗികമായി പുനഃക്രമീകരിക്കാൻ ആഗ്രഹിക്കുന്ന ഓരോ PR മേഖലയ്ക്കും നിങ്ങൾ ഡിസൈൻ പാർട്ടീഷനുകൾ ഉണ്ടാക്കണം. ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ u_blinking_led ഉദാഹരണത്തിനായി ഒരു ഡിസൈൻ പാർട്ടീഷൻ സൃഷ്ടിക്കുന്നു.
ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുന്നു
- പ്രൊജക്റ്റ് നാവിഗേറ്ററിലെ u_blinking_led ഇൻസ്റ്റൻസിൽ വലത്-ക്ലിക്ക് ചെയ്ത് ഡിസൈൻ പാർട്ടീഷൻ ➤ റീകൺഫിഗർ ചെയ്യാവുന്നത് ക്ലിക്കുചെയ്യുക. ഒരു പാർട്ടീഷനായി സജ്ജീകരിച്ചിരിക്കുന്ന ഓരോ സന്ദർഭത്തിനും അടുത്തായി ഒരു ഡിസൈൻ പാർട്ടീഷൻ ഐക്കൺ ദൃശ്യമാകുന്നു.
- അസൈൻമെന്റുകൾ ➤ ഡിസൈൻ പാർട്ടീഷനുകൾ വിൻഡോ ക്ലിക്ക് ചെയ്യുക. പ്രോജക്റ്റിലെ എല്ലാ ഡിസൈൻ പാർട്ടീഷനുകളും വിൻഡോ പ്രദർശിപ്പിക്കുന്നു.
- പേര് ഡബിൾ ക്ലിക്ക് ചെയ്ത് ഡിസൈൻ പാർട്ടീഷനുകൾ വിൻഡോയിൽ പാർട്ടീഷൻ പേര് എഡിറ്റ് ചെയ്യുക. ഈ റഫറൻസ് ഡിസൈനിനായി, പാർട്ടീഷന്റെ പേര് pr_partition എന്ന് പുനർനാമകരണം ചെയ്യുക
- കുറിപ്പ്: നിങ്ങൾ ഒരു പാർട്ടീഷൻ സൃഷ്ടിക്കുമ്പോൾ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ സ്വയമേവ ഒരു പാർട്ടീഷൻ നാമം സൃഷ്ടിക്കുന്നു, ഉദാഹരണ നാമവും ശ്രേണി പാതയും അടിസ്ഥാനമാക്കി. ഈ ഡിഫോൾട്ട് പാർട്ടീഷൻ നാമം ഓരോ സന്ദർഭത്തിലും വ്യത്യാസപ്പെടാം.
- ബേസ് റിവിഷൻ കംപൈലിൽ നിന്ന് അന്തിമമാക്കിയ സ്റ്റാറ്റിക് റീജിയൻ എക്സ്പോർട്ട് ചെയ്യാൻ, പോസ്റ്റ് ഫൈനൽ എക്സ്പോർട്ടിലെ റൂട്ട്_പാർട്ടീഷനുള്ള എൻട്രിയിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക. File കോളം, ഒപ്പം blinking_led_static എന്ന് ടൈപ്പ് ചെയ്യുക. ജിഡിബി.
ഡിസൈൻ പാർട്ടീഷനുകളുടെ വിൻഡോയിൽ പോസ്റ്റ് ഫൈനൽ സ്നാപ്പ്ഷോട്ട് കയറ്റുമതി ചെയ്യുന്നുനിങ്ങളുടെ പുനഃക്രമീകരിക്കാവുന്ന ഡിസൈൻ പാർട്ടീഷനുമായി ബന്ധപ്പെട്ട, blinking_led.qsf-ൽ ഇനിപ്പറയുന്ന അസൈൻമെന്റുകൾ അടങ്ങിയിട്ടുണ്ടെന്ന് പരിശോധിക്കുക:
ബന്ധപ്പെട്ട വിവരങ്ങൾ
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡിൽ “ഡിസൈൻ പാർട്ടീഷനുകൾ സൃഷ്ടിക്കുക”: ഭാഗിക പുനർക്രമീകരണം
ഒരു പിആർ പാർട്ടീഷനായി പ്ലെയ്സ്മെന്റും റൂട്ടിംഗ് മേഖലയും അനുവദിക്കുന്നു
നിങ്ങൾ സൃഷ്ടിക്കുന്ന ഓരോ അടിസ്ഥാന പുനരവലോകനത്തിനും, പിആർ ഡിസൈൻ ഫ്ലോ നിങ്ങളുടെ പിആർ പാർട്ടീഷൻ റീജിയണിൽ അനുബന്ധ വ്യക്തിത്വ കോർ സ്ഥാപിക്കുന്നു. നിങ്ങളുടെ അടിസ്ഥാന പുനരവലോകനത്തിനായി ഉപകരണ ഫ്ലോർപ്ലാനിലെ PR മേഖല കണ്ടെത്തുന്നതിനും അസൈൻ ചെയ്യുന്നതിനും:
- പ്രോജക്റ്റ് നാവിഗേറ്ററിലെ u_blinking_led ഇൻസ്റ്റൻസിൽ വലത്-ക്ലിക്കുചെയ്ത് ലോജിക് ലോക്ക് റീജിയൻ ക്ലിക്ക് ചെയ്യുക ➤ പുതിയ ലോജിക് ലോക്ക് റീജിയൺ സൃഷ്ടിക്കുക. പ്രദേശം ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോയിൽ ദൃശ്യമാകുന്നു.
- നിങ്ങളുടെ പ്ലെയ്സ്മെന്റ് പ്രദേശം ബ്ലിങ്കിംഗ്_ലെഡ് ലോജിക് ഉൾപ്പെടുത്തണം. ചിപ്പ് പ്ലാനറിൽ നോഡ് കണ്ടെത്തി പ്ലെയ്സ്മെന്റ് മേഖല തിരഞ്ഞെടുക്കുക. ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോയിലെ u_blinking_led പ്രദേശത്തിന്റെ പേരിൽ വലത്-ക്ലിക്കുചെയ്ത് ക്ലിക്കുചെയ്യുക
നോഡ് കണ്ടെത്തുക ➤ ചിപ്പ് പ്ലാനറിൽ കണ്ടെത്തുക. u_blinking_led പ്രദേശം കളർ-കോഡുചെയ്തതാണ്
blinking_led എന്നതിനുള്ള ചിപ്പ് പ്ലാനർ നോഡ് ലൊക്കേഷൻ
- ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോയിൽ, ഒറിജിൻ കോളത്തിൽ പ്ലേസ്മെന്റ് റീജിയൻ കോർഡിനേറ്റുകൾ വ്യക്തമാക്കുക. ഉത്ഭവം പ്രദേശത്തിന്റെ താഴെ ഇടത് കോണുമായി യോജിക്കുന്നു. ഉദാample, (X1 Y1) കോർഡിനേറ്റുകൾ (163 4) ആയി ഒരു പ്ലേസ്മെന്റ് മേഖല സജ്ജമാക്കാൻ, ഉത്ഭവം X163_Y4 ആയി വ്യക്തമാക്കുക. നിങ്ങൾ വ്യക്തമാക്കുന്ന ഉയരവും വീതിയും അടിസ്ഥാനമാക്കി, പ്ലെയ്സ്മെന്റ് മേഖലയ്ക്കായുള്ള (X2 Y2) കോർഡിനേറ്റുകൾ (മുകളിൽ-വലത്) Intel Quartus Prime സോഫ്റ്റ്വെയർ സ്വയമേവ കണക്കാക്കുന്നു.
- കുറിപ്പ്: ഈ ട്യൂട്ടോറിയൽ (X1 Y1) കോർഡിനേറ്റുകൾ ഉപയോഗിക്കുന്നു - (163 4), കൂടാതെ പ്ലെയ്സ്മെന്റ് മേഖലയ്ക്ക് 20 ഉയരവും വീതിയും. പ്ലെയ്സ്മെന്റ് മേഖലയ്ക്കായി ഏതെങ്കിലും മൂല്യം നിർവ്വചിക്കുക. പ്രദേശം ബ്ലിങ്കിംഗ്_ലെഡ് ലോജിക് ഉൾക്കൊള്ളുന്നുവെന്ന് ഉറപ്പാക്കുക.
- റിസർവ് ചെയ്തതും കോർ-ഒൺലി ഓപ്ഷനുകളും പ്രവർത്തനക്ഷമമാക്കുക.
- റൂട്ടിംഗ് റീജിയൻ ഓപ്ഷനിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക. Logic Lock Routing Region Settings ഡയലോഗ് ബോക്സ് ദൃശ്യമാകുന്നു.
- റൂട്ടിംഗ് തരത്തിനായുള്ള വിപുലീകരണത്തോടുകൂടിയ ഫിക്സ്ഡ് തിരഞ്ഞെടുക്കുക. ഈ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുന്നത് സ്വയമേവ 2 ന്റെ വിപുലീകരണ ദൈർഘ്യം നൽകുന്നു.
- കുറിപ്പ്: വ്യത്യസ്ത വ്യക്തികളെ എഞ്ചിൻ റൂട്ട് ചെയ്യുമ്പോൾ ഫിറ്ററിന് അധിക ഫ്ലെക്സിബിലിറ്റി നൽകുന്നതിന് റൂട്ടിംഗ് മേഖല പ്ലേസ്മെന്റ് മേഖലയേക്കാൾ വലുതായിരിക്കണം.
ലോജിക് ലോക്ക് റീജിയൻസ് വിൻഡോblinking_led.qsf-ൽ നിങ്ങളുടെ ഫ്ലോർ പ്ലാനിംഗുമായി ബന്ധപ്പെട്ട ഇനിപ്പറയുന്ന അസൈൻമെന്റുകൾ അടങ്ങിയിട്ടുണ്ടെന്ന് പരിശോധിക്കുക:
ബന്ധപ്പെട്ട വിവരങ്ങൾ
ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡിലെ “ഭാഗിക റീകോൺഫിഗറേഷൻ ഡിസൈൻ ഫ്ലോർപ്ലാൻ ചെയ്യുക”: ഭാഗിക പുനർക്രമീകരണം
ഭാഗിക പുനഃക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ Intel FPGA IP ചേർക്കുന്നു
ബിറ്റ്സ്ട്രീം ഉറവിടം കൈകാര്യം ചെയ്യുന്നതിനായി ഇന്റൽ അജിലെക്സ് പിആർ കൺട്രോൾ ബ്ലോക്കുമായി ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപി ഇന്റർഫേസ് ചെയ്യുന്നു. ബാഹ്യ കോൺഫിഗറേഷൻ നടപ്പിലാക്കാൻ ഈ ഐപി നിങ്ങളുടെ ഡിസൈനിലേക്ക് ചേർക്കണം. ഭാഗിക റീകോൺഫിഗറേഷൻ എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ കൺട്രോളർ ചേർക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക
നിങ്ങളുടെ പ്രോജക്റ്റിലേക്കുള്ള Intel FPGA IP:
- IP കാറ്റലോഗ് തിരയൽ ഫീൽഡിൽ ഭാഗിക പുനഃക്രമീകരണം എന്ന് ടൈപ്പ് ചെയ്യുക (ടൂളുകൾ ➤ IP കാറ്റലോഗ്).
- ഭാഗിക പുനർക്രമീകരണം ബാഹ്യ കോൺഫിഗറേഷൻ കൺട്രോളർ ഇന്റൽ എഫ്പിജിഎ ഐപിയിൽ ഇരട്ട-ക്ലിക്കുചെയ്യുക.
- ഐപി വേരിയന്റ് സൃഷ്ടിക്കുക എന്ന ഡയലോഗ് ബോക്സിൽ, എക്സ്റ്റേണൽ_ഹോസ്റ്റ്_pr_ip എന്ന് ടൈപ്പ് ചെയ്യുക File പേര്, തുടർന്ന് സൃഷ്ടിക്കുക ക്ലിക്കുചെയ്യുക. പാരാമീറ്റർ എഡിറ്റർ ദൃശ്യമാകുന്നു.
- തിരക്കുള്ള ഇന്റർഫേസ് പാരാമീറ്ററിനെ പ്രവർത്തനക്ഷമമാക്കുന്നതിന്, പ്രവർത്തനരഹിതമാക്കുക (സ്ഥിരസ്ഥിതി ക്രമീകരണം) തിരഞ്ഞെടുക്കുക. നിങ്ങൾക്ക് ഈ സിഗ്നൽ ഉപയോഗിക്കേണ്ടിവരുമ്പോൾ, നിങ്ങൾക്ക് ക്രമീകരണം പ്രവർത്തനക്ഷമമാക്കുക എന്നതിലേക്ക് മാറ്റാം.
പാരാമീറ്റർ എഡിറ്ററിൽ തിരക്കുള്ള ഇന്റർഫേസ് പാരാമീറ്റർ പ്രവർത്തനക്ഷമമാക്കുക
- ക്ലിക്ക് ചെയ്യുക File ➤ സിസ്റ്റം ജനറേറ്റ് ചെയ്യാതെ തന്നെ പാരാമീറ്റർ എഡിറ്റർ സംരക്ഷിച്ച് പുറത്തുകടക്കുക. പാരാമീറ്റർ എഡിറ്റർ external_host_pr_ip.ip IP വ്യതിയാനം സൃഷ്ടിക്കുന്നു file കൂടാതെ file blinking_led പ്രോജക്റ്റിലേക്ക്. AN 991: കോൺഫിഗറേഷൻ പിന്നുകൾ വഴിയുള്ള ഭാഗിക പുനർക്രമീകരണം (ബാഹ്യ ഹോസ്റ്റ്) റഫറൻസ് ഡിസൈൻ 750856 | 2022.11.14 AN 991:
- കുറിപ്പ്:
- a. നിങ്ങൾ external_host_pr_ip.ip പകർത്തുകയാണെങ്കിൽ file pr ഡയറക്ടറിയിൽ നിന്ന്, blinking_led.qsf സ്വമേധയാ എഡിറ്റ് ചെയ്യുക file ഇനിപ്പറയുന്ന വരി ഉൾപ്പെടുത്താൻ: set_global_assignment -name IP_FILE pr_ip.ip (പ്രിന്റ്_ഐപി)
- b. IP_ സ്ഥാപിക്കുകFILE SDC_ ന് ശേഷമുള്ള അസൈൻമെന്റ്FILE നിങ്ങളുടെ blinking_led.qsf-ൽ അസൈൻമെന്റുകൾ (blinking_led. dc). file. ഈ ഓർഡറിംഗ് ഭാഗിക റീകോൺഫിഗറേഷൻ കൺട്രോളർ ഐപി കോറിന്റെ ഉചിതമായ നിയന്ത്രണം ഉറപ്പാക്കുന്നു.
- കുറിപ്പ്: ക്ലോക്കുകൾ കണ്ടെത്തുന്നതിന്, .sdc file PR IP, IP കോർ ഉപയോഗിക്കുന്ന ക്ലോക്കുകൾ സൃഷ്ടിക്കുന്ന ഏതെങ്കിലും .sdc പിന്തുടരേണ്ടതാണ്. .ip എന്ന് ഉറപ്പുവരുത്തി നിങ്ങൾ ഈ ഓർഡർ സുഗമമാക്കുന്നു file PR IP കോർ ഏതെങ്കിലും .ip ന് ശേഷം ദൃശ്യമാകും files അല്ലെങ്കിൽ .sdc file.qsf-ൽ ഈ ക്ലോക്കുകൾ നിർവ്വചിക്കാൻ നിങ്ങൾ ഉപയോഗിക്കുന്ന s file നിങ്ങളുടെ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് പുനരവലോകനത്തിനായി. കൂടുതൽ വിവരങ്ങൾക്ക്, ഭാഗിക റീകോൺഫിഗറേഷൻ ഐപി സൊല്യൂഷൻസ് ഉപയോക്തൃ ഗൈഡ് കാണുക.
ഉയർന്ന തലത്തിലുള്ള ഡിസൈൻ അപ്ഡേറ്റ് ചെയ്യുന്നു
top.sv അപ്ഡേറ്റ് ചെയ്യാൻ file PR_IP ഉദാഹരണം ഉപയോഗിച്ച്:
- ടോപ്പ് ലെവൽ ഡിസൈനിലേക്ക് external_host_pr_ip ഇൻസ്റ്റൻസ് ചേർക്കാൻ, top.sv-ൽ ഇനിപ്പറയുന്ന കോഡ് ബ്ലോക്കുകൾ അൺകമന്റ് ചെയ്യുക file:
വ്യക്തികളെ നിർവചിക്കുന്നു
ഈ റഫറൻസ് ഡിസൈൻ ഒരൊറ്റ പിആർ പാർട്ടീഷനായി മൂന്ന് വ്യത്യസ്ത വ്യക്തികളെ നിർവചിക്കുന്നു. നിങ്ങളുടെ പ്രോജക്റ്റിലെ വ്യക്തികളെ നിർവചിക്കാനും ഉൾപ്പെടുത്താനും:
- മൂന്ന് SystemVerilog സൃഷ്ടിക്കുക files, blinking_led.sv, blinking_led_slow.sv, blinking_led_empty.sv എന്നീ മൂന്ന് വ്യക്തികൾക്കായി നിങ്ങളുടെ വർക്കിംഗ് ഡയറക്ടറിയിൽ.
റഫറൻസ് ഡിസൈൻ വ്യക്തികൾ
കുറിപ്പ്:
- blinking_led.sv ഇതിന്റെ ഭാഗമായി ഇതിനകം ലഭ്യമാണ് fileനിങ്ങൾ ഫ്ലാറ്റ് / സബ് ഡയറക്ടറിയിൽ നിന്ന് പകർത്തുന്നു. നിങ്ങൾക്ക് ഇത് വീണ്ടും ഉപയോഗിക്കാൻ കഴിയും file.
- നിങ്ങൾ SystemVerilog സൃഷ്ടിക്കുകയാണെങ്കിൽ fileഇന്റൽ ക്വാർട്ടസ് പ്രൈം ടെക്സ്റ്റ് എഡിറ്ററിൽ നിന്ന്, ആഡ് പ്രവർത്തനരഹിതമാക്കുക file സംരക്ഷിക്കുമ്പോൾ നിലവിലെ പ്രോജക്റ്റ് ഓപ്ഷനിലേക്ക് files.
പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു
PR ഡിസൈൻ ഫ്ലോ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിലെ പ്രോജക്റ്റ് റിവിഷൻ ഫീച്ചർ ഉപയോഗിക്കുന്നു. നിങ്ങളുടെ പ്രാരംഭ രൂപകൽപ്പന അടിസ്ഥാന പുനരവലോകനമാണ്, അവിടെ നിങ്ങൾ എഫ്പിജിഎയിലെ സ്റ്റാറ്റിക് റീജിയൻ അതിരുകളും വീണ്ടും ക്രമീകരിക്കാവുന്ന പ്രദേശങ്ങളും നിർവചിക്കുന്നു. അടിസ്ഥാന പുനരവലോകനത്തിൽ നിന്ന്, നിങ്ങൾ ഒന്നിലധികം പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു. ഈ പുനരവലോകനങ്ങളിൽ പിആർ മേഖലകൾക്കായുള്ള വ്യത്യസ്ത നിർവ്വഹണങ്ങൾ അടങ്ങിയിരിക്കുന്നു. എന്നിരുന്നാലും, എല്ലാ PR നടപ്പിലാക്കൽ പുനരവലോകനങ്ങളും അടിസ്ഥാന പുനരവലോകനത്തിൽ നിന്നുള്ള ഉയർന്ന തലത്തിലുള്ള പ്ലെയ്സ്മെന്റും റൂട്ടിംഗ് ഫലങ്ങളും ഉപയോഗിക്കുന്നു. ഒരു PR ഡിസൈൻ കംപൈൽ ചെയ്യുന്നതിന്, ഓരോ വ്യക്തിക്കും വേണ്ടി നിങ്ങൾ ഒരു PR നടപ്പിലാക്കൽ പുനരവലോകനം സൃഷ്ടിക്കണം. കൂടാതെ, ഓരോ പുനരവലോകനത്തിനും നിങ്ങൾ പുനരവലോകന തരങ്ങൾ നൽകണം. ലഭ്യമായ പുനരവലോകന തരങ്ങൾ ഇവയാണ്:
- ഭാഗിക പുനർക്രമീകരണം - അടിസ്ഥാനം
- ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ
ഓരോ പുനരവലോകനത്തിന്റെയും പുനരവലോകന നാമവും പുനരവലോകന തരവും ഇനിപ്പറയുന്ന പട്ടിക പട്ടികപ്പെടുത്തുന്നു:
പേരുകളും തരങ്ങളും പുനരവലോകനം ചെയ്യുക
പുനരവലോകന നാമം | റിവിഷൻ തരം |
blinking_led.qsf | ഭാഗിക പുനർക്രമീകരണം - അടിസ്ഥാനം |
blinking_led_default.qsf | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
ബ്ലിങ്കിംഗ്_ലെഡ്_സ്ലോ.ക്യുഎസ്എഫ് | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
ബ്ലിങ്കിംഗ്_ലെഡ്_എംപ്റ്റി.ക്യുഎസ്എഫ് | ഭാഗികമായ പുനർക്രമീകരണം - വ്യക്തിത്വം നടപ്പിലാക്കൽ |
അടിസ്ഥാന റിവിഷൻ തരം സജ്ജീകരിക്കുന്നു
- പ്രൊജക്റ്റ് ➤ റിവിഷനുകൾ ക്ലിക്ക് ചെയ്യുക.
- പുനരവലോകന നാമത്തിൽ, blinking_led റിവിഷൻ തിരഞ്ഞെടുക്കുക, തുടർന്ന് സെറ്റ് കറന്റ് ക്ലിക്ക് ചെയ്യുക.
- പ്രയോഗിക്കുക ക്ലിക്ക് ചെയ്യുക. ബ്ലിങ്കിംഗ്_ലെഡ് റിവിഷൻ നിലവിലെ റിവിഷൻ ആയി പ്രദർശിപ്പിക്കുന്നു.
- Blinking_led എന്നതിനായി റിവിഷൻ തരം സജ്ജീകരിക്കാൻ, അസൈൻമെന്റുകൾ ➤ ക്രമീകരണങ്ങൾ ➤ പൊതുവായത് ക്ലിക്കുചെയ്യുക.
- റിവിഷൻ തരത്തിനായി, ഭാഗിക പുനർക്രമീകരണം - അടിസ്ഥാനം തിരഞ്ഞെടുക്കുക, തുടർന്ന് ശരി ക്ലിക്കുചെയ്യുക.
- blinking_led.qsf-ൽ ഇപ്പോൾ ഇനിപ്പറയുന്ന അസൈൻമെന്റ് അടങ്ങിയിട്ടുണ്ടെന്ന് പരിശോധിക്കുക: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
നടപ്പാക്കൽ പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു
- പുനരവലോകന ഡയലോഗ് ബോക്സ് തുറക്കാൻ, Project ➤ Revisions ക്ലിക്ക് ചെയ്യുക.
- ഒരു പുതിയ പുനരവലോകനം സൃഷ്ടിക്കാൻ, < ഡബിൾ ക്ലിക്ക് ചെയ്യുക >.
- പുനരവലോകന നാമത്തിൽ, blinking_led_default വ്യക്തമാക്കുകയും പുനരവലോകനത്തെ അടിസ്ഥാനമാക്കി blinking_led തിരഞ്ഞെടുക്കുക.
- പുനരവലോകന തരത്തിനായി, ഭാഗിക പുനർക്രമീകരണം - വ്യക്തിഗത ഇംപ്ലിമെന്റേഷൻ തിരഞ്ഞെടുക്കുക.
പുനരവലോകനങ്ങൾ സൃഷ്ടിക്കുന്നു
- അതുപോലെ, blinking_led_slow, blinking_led_empty റിവിഷനുകൾക്കായി റിവിഷൻ തരം സജ്ജമാക്കുക.
- ഓരോ .qsf എന്ന് പരിശോധിക്കുക file ഇപ്പോൾ ഇനിപ്പറയുന്ന അസൈൻമെന്റ് അടങ്ങിയിരിക്കുന്നു: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led എവിടെ, place_holder എന്നത് പുതുതായി സൃഷ്ടിച്ച PR നടപ്പിലാക്കൽ പുനരവലോകനത്തിന്റെ സ്ഥിരസ്ഥിതി നാമമാണ്.
പദ്ധതി പുനരവലോകനങ്ങൾ
അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുന്നു
- അടിസ്ഥാന പുനരവലോകനം കംപൈൽ ചെയ്യുന്നതിന്, പ്രോസസ്സിംഗ് ➤ കംപൈലേഷൻ ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക. പകരമായി, ഇനിപ്പറയുന്ന കമാൻഡ് അടിസ്ഥാന പുനരവലോകനം സമാഹരിക്കുന്നു: quartus_sh –flow കംപൈൽ blinking_led -c blinking_led
- ബിറ്റ്സ്ട്രീം പരിശോധിക്കുക fileഔട്ട്പുട്ടിൽ സൃഷ്ടിക്കുന്നത്_fileയുടെ ഡയറക്ടറി.
സൃഷ്ടിച്ചത് Files
പേര് | ടൈപ്പ് ചെയ്യുക | വിവരണം |
ബ്ലിങ്കിംഗ്_ലെഡ്.സോഫ് | അടിസ്ഥാന പ്രോഗ്രാമിംഗ് file | പൂർണ്ണ ചിപ്പ് അടിസ്ഥാന കോൺഫിഗറേഷനായി ഉപയോഗിക്കുന്നു |
ബ്ലിങ്കിംഗ്_ലെഡ്.പ്രി_പാർട്ടിഷൻ.ആർബിഎഫ് | പിആർ ബിറ്റ്സ്ട്രീം file അടിസ്ഥാന വ്യക്തിത്വത്തിന് | അടിസ്ഥാന വ്യക്തിത്വത്തിന്റെ ഭാഗിക പുനർക്രമീകരണത്തിനായി ഉപയോഗിക്കുന്നു. |
ബ്ലിങ്കിംഗ്_ലെഡ്_സ്റ്റാറ്റിക്.ക്യുഡിബി | .qdb ഡാറ്റാബേസ് file | അന്തിമ ഡാറ്റാബേസ് file സ്റ്റാറ്റിക് പ്രദേശം ഇറക്കുമതി ചെയ്യാൻ ഉപയോഗിക്കുന്നു. |
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡിലെ “ഭാഗിക റീകോൺഫിഗറേഷൻ ഡിസൈൻ ഫ്ലോർപ്ലാൻ ചെയ്യുക”: ഭാഗിക പുനർക്രമീകരണം
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡിൽ “ഫ്ലോർപ്ലാൻ നിയന്ത്രണങ്ങൾ വർദ്ധിപ്പിച്ച് പ്രയോഗിക്കുന്നു”: ഭാഗിക പുനർക്രമീകരണം
പിആർ നടപ്പാക്കൽ പുനരവലോകനങ്ങൾ തയ്യാറാക്കുന്നു
ഉപകരണ പ്രോഗ്രാമിംഗിനായി പിആർ ബിറ്റ്സ്ട്രീം കംപൈൽ ചെയ്യുന്നതിനും ജനറേറ്റ് ചെയ്യുന്നതിനും മുമ്പ് നിങ്ങൾ പിആർ നടപ്പിലാക്കൽ പുനരവലോകനങ്ങൾ തയ്യാറാക്കണം. ഈ സജ്ജീകരണത്തിൽ സ്റ്റാറ്റിക് റീജിയൻ .qdb ചേർക്കുന്നത് ഉൾപ്പെടുന്നു file ഉറവിടമായി file ഓരോ നിർവ്വഹണ പുനരവലോകനത്തിനും. കൂടാതെ, നിങ്ങൾ PR മേഖലയുടെ അനുബന്ധ സ്ഥാപനം വ്യക്തമാക്കണം.
- നിലവിലെ പുനരവലോകനം സജ്ജീകരിക്കുന്നതിന്, Project ➤ Revisions എന്നതിൽ ക്ലിക്ക് ചെയ്യുക, റിവിഷൻ നാമമായി blinking_led_default തിരഞ്ഞെടുക്കുക, തുടർന്ന് സെറ്റ് കറന്റ് ക്ലിക്ക് ചെയ്യുക.
- ഓരോ നിർവ്വഹണ പുനരവലോകനത്തിനും ശരിയായ ഉറവിടം പരിശോധിക്കാൻ, പ്രൊജക്റ്റ് ➤ചേർക്കുക/നീക്കം ചെയ്യുക ക്ലിക്ക് ചെയ്യുക Fileപദ്ധതിയിൽ എസ്. Blinking_led.sv file ൽ ദൃശ്യമാകുന്നു file പട്ടിക.
Fileയുടെ പേജ്
- മറ്റ് നിർവ്വഹണ പുനരവലോകന ഉറവിടം പരിശോധിക്കുന്നതിന് 1 മുതൽ 2 വരെയുള്ള ഘട്ടങ്ങൾ ആവർത്തിക്കുക files:
നടപ്പാക്കൽ പുനരവലോകന നാമം | ഉറവിടം File |
blinking_led_default | ബ്ലിങ്കിംഗ്_ലെഡ്.എസ്വി |
ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം | blinking_led_empty.sv |
മിന്നുന്ന_ലെഡ്_സ്ലോ | blinking_led_slow.sv |
- .qdb പരിശോധിക്കാൻ file റൂട്ട് പാർട്ടീഷനുമായി ബന്ധപ്പെട്ട, അസൈൻമെന്റുകൾ ➤ ഡിസൈൻ പാർട്ടീഷനുകളുടെ വിൻഡോ ക്ലിക്ക് ചെയ്യുക. പാർട്ടീഷൻ ഡാറ്റാബേസ് എന്ന് സ്ഥിരീകരിക്കുക File blinking_led_static.qdb വ്യക്തമാക്കുന്നു file, അല്ലെങ്കിൽ പാർട്ടീഷൻ ഡാറ്റാബേസിൽ ഡബിൾ ക്ലിക്ക് ചെയ്യുക File ഇത് വ്യക്തമാക്കാൻ സെൽ file. പകരമായി, ഇനിപ്പറയുന്ന കമാൻഡ് ഇത് അസൈൻ ചെയ്യുന്നു file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- എന്റിറ്റി റീ-ബൈൻഡിംഗ് സെല്ലിൽ, നടപ്പിലാക്കൽ പുനരവലോകനത്തിൽ നിങ്ങൾ മാറ്റുന്ന ഓരോ പിആർ പാർട്ടീഷന്റെയും എന്റിറ്റി നാമം വ്യക്തമാക്കുക. blinking_led_default നടപ്പിലാക്കൽ പുനരവലോകനത്തിന്, എന്റിറ്റിയുടെ പേര് blinking_led ആണ്. ഈ ട്യൂട്ടോറിയലിൽ, നിങ്ങൾ u_blinking_led ഇൻസ്റ്റൻസ് അടിസ്ഥാന റിവിഷൻ കംപൈലിൽ നിന്ന് പുതിയ blinking_led എന്റിറ്റി ഉപയോഗിച്ച് പുനരാലേഖനം ചെയ്യുന്നു.
കുറിപ്പ്: ഒരു പ്ലെയ്സ്ഹോൾഡർ എന്റിറ്റി റീബൈൻഡിംഗ് അസൈൻമെന്റ് സ്വയമേവ നടപ്പിലാക്കൽ പുനരവലോകനത്തിലേക്ക് ചേർത്തു. എന്നിരുന്നാലും, അസൈൻമെന്റിലെ ഡിഫോൾട്ട് എന്റിറ്റിയുടെ പേര് നിങ്ങളുടെ ഡിസൈനിന് അനുയോജ്യമായ ഒരു എന്റിറ്റി നാമത്തിലേക്ക് മാറ്റണം.
നടപ്പാക്കൽ പുനരവലോകന നാമം | എന്റിറ്റി റീ-ബൈൻഡിംഗ് |
blinking_led_default | മിന്നിമറയുന്നു |
മിന്നുന്ന_ലെഡ്_സ്ലോ | മിന്നുന്ന_ലെഡ്_സ്ലോ |
ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം | ബ്ലിങ്കിംഗ്_ലെഡ്_ശൂന്യം |
എന്റിറ്റി റീബൈൻഡിംഗ്
- ഡിസൈൻ കംപൈൽ ചെയ്യുന്നതിന്, പ്രോസസ്സിംഗ് ➤ കംപൈലേഷൻ ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക. പകരമായി, ഇനിപ്പറയുന്ന കമാൻഡ് ഈ പ്രോജക്റ്റ് കംപൈൽ ചെയ്യുന്നു: quartus_sh –flow കംപൈൽ blinking_led –c blinking_led_default
- blinking_led_slow, blinking_led_empty റിവിഷനുകൾ തയ്യാറാക്കാൻ മുകളിലുള്ള ഘട്ടങ്ങൾ ആവർത്തിക്കുക: quartus_sh –flow കംപൈൽ blinking_led –c blinking_led_slow quartus_sh –flow കംപൈൽ blinking_led –c blinking_led_empt
കുറിപ്പ്: PR നടപ്പിലാക്കൽ സമാഹരണ വേളയിൽ നിങ്ങൾ പ്രയോഗിക്കാൻ ആഗ്രഹിക്കുന്ന ഏതെങ്കിലും ഫിറ്റർ നിർദ്ദിഷ്ട ക്രമീകരണങ്ങൾ നിങ്ങൾക്ക് വ്യക്തമാക്കാം. ഇറക്കുമതി ചെയ്ത സ്റ്റാറ്റിക് മേഖലയെ ബാധിക്കാതെ, ഫിറ്റർ നിർദ്ദിഷ്ട ക്രമീകരണങ്ങൾ വ്യക്തിയുടെ ഫിറ്റിനെ മാത്രം സ്വാധീനിക്കുന്നു.
ബോർഡ് പ്രോഗ്രാമിംഗ്
ഈ ട്യൂട്ടോറിയൽ നിങ്ങളുടെ ഹോസ്റ്റ് മെഷീനിലെ PCIe* സ്ലോട്ടിന് പുറത്ത് ബെഞ്ചിൽ ഒരു Intel Agilex F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡ് ഉപയോഗിക്കുന്നു. നിങ്ങൾ ബോർഡ് പ്രോഗ്രാം ചെയ്യുന്നതിന് മുമ്പ്, നിങ്ങൾ ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ പൂർത്തിയാക്കിയെന്ന് ഉറപ്പാക്കുക:
- Intel Agilex F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡിലേക്ക് വൈദ്യുതി വിതരണം ബന്ധിപ്പിക്കുക.
- ഡെവലപ്മെന്റ് ബോർഡിലെ നിങ്ങളുടെ PC USB പോർട്ടിനും Intel FPGA ഡൗൺലോഡ് കേബിൾ പോർട്ടിനുമിടയിൽ Intel FPGA ഡൗൺലോഡ് കേബിൾ ബന്ധിപ്പിക്കുക.
Intel Agilex F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡിൽ ഡിസൈൻ പ്രവർത്തിപ്പിക്കുന്നതിന്:
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ തുറന്ന് ടൂൾസ് ➤ പ്രോഗ്രാമർ ക്ലിക്ക് ചെയ്യുക.
- പ്രോഗ്രാമറിൽ, ഹാർഡ്വെയർ സെറ്റപ്പ് ക്ലിക്ക് ചെയ്ത് USB-Blaster തിരഞ്ഞെടുക്കുക.
- സ്വയമേവ കണ്ടെത്തുക ക്ലിക്ക് ചെയ്ത് ഉപകരണം തിരഞ്ഞെടുക്കുക, AGFB014R24AR0.
- ശരി ക്ലിക്ക് ചെയ്യുക. ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ ബോർഡിലെ മൂന്ന് FPGA ഉപകരണങ്ങൾ ഉപയോഗിച്ച് പ്രോഗ്രാമറെ കണ്ടെത്തുകയും അപ്ഡേറ്റ് ചെയ്യുകയും ചെയ്യുന്നു.
- AGFB014R24AR0 ഉപകരണം തിരഞ്ഞെടുക്കുക, മാറ്റുക ക്ലിക്കുചെയ്യുക File ഒപ്പം blinking_led_default.sof ലോഡുചെയ്യുക file.
- Blinking_led_default.sof-നായി പ്രോഗ്രാം/കോൺഫിഗർ പ്രാപ്തമാക്കുക file.
- ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക, പുരോഗതി ബാർ 100% എത്തുന്നതുവരെ കാത്തിരിക്കുക.
- യഥാർത്ഥ ഫ്ലാറ്റ് ഡിസൈനിന്റെ അതേ ആവൃത്തിയിൽ ബോർഡിലെ LED-കൾ മിന്നിമറയുന്നത് നിരീക്ഷിക്കുക.
- PR റീജിയൻ മാത്രം പ്രോഗ്രാം ചെയ്യുന്നതിന്, blinking_led_default.sof-ൽ വലത് ക്ലിക്ക് ചെയ്യുക file പ്രോഗ്രാമറിൽ, ആഡ് പിആർ പ്രോഗ്രാമിംഗ് ക്ലിക്ക് ചെയ്യുക File.
- blinking_led_slow.pr_partition.rbf തിരഞ്ഞെടുക്കുക file.
- Blinking_led_default.sof എന്നതിനായുള്ള പ്രോഗ്രാം/കോൺഫിഗർ പ്രവർത്തനരഹിതമാക്കുക file.
- Blinking_led_slow.pr_partition.rbf-നായി പ്രോഗ്രാം/കോൺഫിഗർ പ്രാപ്തമാക്കുക file ആരംഭിക്കുക ക്ലിക്ക് ചെയ്യുക. ബോർഡിൽ, LED[0], LED[1] മിന്നുന്നത് തുടരുന്നത് നിരീക്ഷിക്കുക. പ്രോഗ്രസ് ബാർ 100% എത്തുമ്പോൾ, LED[2], LED[3] എന്നിവ മന്ദഗതിയിലാകും.
- PR റീജിയൻ റീപ്രോഗ്രാം ചെയ്യാൻ, .rbf-ൽ റൈറ്റ് ക്ലിക്ക് ചെയ്യുക file പ്രോഗ്രാമറിൽ, PR പ്രോഗ്രാമിംഗ് മാറ്റുക ക്ലിക്കുചെയ്യുക File.
- .rbf തിരഞ്ഞെടുക്കുക fileബോർഡിലെ പെരുമാറ്റം മറ്റ് രണ്ട് വ്യക്തികൾ നിരീക്ഷിക്കണം. blinking_led_default.rbf ലോഡുചെയ്യുന്നു file LED-കൾ ഒരു പ്രത്യേക ആവൃത്തിയിൽ മിന്നിമറയുന്നതിനും blinking_led_empty.rbf ലോഡുചെയ്യുന്നതിനും കാരണമാകുന്നു file LED-കൾ ഓണായിരിക്കുന്നതിന് കാരണമാകുന്നു.
Intel Agilex F-Series FPGA ഡവലപ്മെന്റ് ബോർഡ് പ്രോഗ്രാമിംഗ്
ഹാർഡ്വെയർ ടെസ്റ്റിംഗ് ഫ്ലോ
ഇനിപ്പറയുന്ന സീക്വൻസുകൾ റഫറൻസ് ഡിസൈൻ ഹാർഡ്വെയർ ടെസ്റ്റിംഗ് ഫ്ലോ വിവരിക്കുന്നു.
ഇന്റൽ അജിലെക്സ് ഡിവൈസ് എക്സ്റ്റേണൽ ഹോസ്റ്റ് ഹാർഡ്വെയർ സെറ്റപ്പ്
സഹായി FPGA (ബാഹ്യ ഹോസ്റ്റ്) പ്രോഗ്രാം ചെയ്യുക
പിആർ പ്രോസസ് എക്സ്റ്റേണൽ ഹോസ്റ്റായി പ്രവർത്തിക്കുന്ന ഹെൽപ്പർ എഫ്പിജിഎ പ്രോഗ്രാമിംഗിനെ ഇനിപ്പറയുന്ന ശ്രേണി വിവരിക്കുന്നു:
- നിങ്ങൾ തിരഞ്ഞെടുക്കുന്ന (x8, x16, അല്ലെങ്കിൽ x32) മോഡുമായി പൊരുത്തപ്പെടുന്ന Avalon സ്ട്രീമിംഗ് ഇന്റർഫേസ് ക്രമീകരണം വ്യക്തമാക്കുക.
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോഗ്രാമറും ബന്ധിപ്പിച്ച കോൺഫിഗറേഷൻ കേബിളും ഉപയോഗിച്ച് സഹായി FPGA പ്രോഗ്രാം ചെയ്തുകൊണ്ട് പ്ലാറ്റ്ഫോം ആരംഭിക്കുക.
- സഹായി FPGA ഉപയോഗിച്ച്, CONF_DONE, AVST_READY സിഗ്നലുകൾ വായിക്കുക. CONF_DONE 0 ആയിരിക്കണം, AVST_READY 1 ആയിരിക്കണം. ഈ പിന്നിലെ ഉയർന്ന ലോജിക് ഒരു ബാഹ്യ ഹോസ്റ്റിൽ നിന്നുള്ള ഡാറ്റ സ്വീകരിക്കാൻ SDM തയ്യാറാണെന്ന് സൂചിപ്പിക്കുന്നു. ഈ ഔട്ട്പുട്ട് SDM I/O യുടെ ഭാഗമാണ്.
കുറിപ്പ്: ബിറ്റ്സ്ട്രീം കൈമാറ്റം വിജയകരമാണെന്ന് CONF_DONE പിൻ ഒരു ബാഹ്യ ഹോസ്റ്റിനെ സൂചിപ്പിക്കുന്നു. പൂർണ്ണ ചിപ്പ് കോൺഫിഗറേഷൻ പ്രക്രിയ നിരീക്ഷിക്കാൻ മാത്രം ഈ സിഗ്നലുകൾ ഉപയോഗിക്കുക. ഈ പിൻ സംബന്ധിച്ച കൂടുതൽ വിവരങ്ങൾക്ക് Intel Agilex കോൺഫിഗറേഷൻ ഉപയോക്തൃ ഗൈഡ് കാണുക.
ബാഹ്യ ഹോസ്റ്റ് വഴി ഫുൾ ചിപ്പ് SOF ഉപയോഗിച്ച് DUT FPGA പ്രോഗ്രാം ചെയ്യുക, പൂർണ്ണ ചിപ്പ് SRAM ഒബ്ജക്റ്റ് ഉപയോഗിച്ച് DUT FPGA പ്രോഗ്രാം ചെയ്യുന്നതിനെ ഇനിപ്പറയുന്ന ശ്രേണി വിവരിക്കുന്നു File (.sof) ഹോസ്റ്റ് അവലോൺ സ്ട്രീമിംഗ് ഇന്റർഫേസ് ഉപയോഗിക്കുന്നു:
- ഹെൽപ്പർ FPGA-യുടെ (ബാഹ്യ ഹോസ്റ്റ്) DDR4 ബാഹ്യ മെമ്മറിയിലേക്ക് മുഴുവൻ ചിപ്പ് ബിറ്റ്സ്ട്രീമും എഴുതുക.
- Avalon സ്ട്രീമിംഗ് ഇന്റർഫേസ് (x8, x16, x32) ഉപയോഗിച്ച് മുഴുവൻ ചിപ്പ് .sof ഉപയോഗിച്ച് DUT FPGA കോൺഫിഗർ ചെയ്യുക.
- സ്റ്റാറ്റസ് DUT FPGA കോൺഫിഗറേഷൻ സിഗ്നലുകൾ വായിക്കുക. CONF_DONE 1 ആയിരിക്കണം, AVST_READY 0 ആയിരിക്കണം.
സമയ സവിശേഷതകൾ: ഭാഗിക പുനർക്രമീകരണം ബാഹ്യ കൺട്രോളർ ഇന്റൽ FPGA IP
എക്സ്റ്റേണൽ ഹോസ്റ്റ് വഴി ഫസ്റ്റ് പേഴ്സണയ്ക്കൊപ്പം DUT FPGA പ്രോഗ്രാം ചെയ്യുക
- DUT FPGA-യിലെ ടാർഗെറ്റ് PR മേഖലയിൽ ഫ്രീസ് പ്രയോഗിക്കുക.
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സിസ്റ്റം കൺസോൾ ഉപയോഗിച്ച്, ഭാഗികമായ പുനർക്രമീകരണം ആരംഭിക്കാൻ pr_request ഉറപ്പിക്കുക. AVST_READY 1 ആയിരിക്കണം.
- സഹായി FPGA-യുടെ (ബാഹ്യ ഹോസ്റ്റ്) DDR4 ബാഹ്യ മെമ്മറിയിലേക്ക് ആദ്യത്തെ PR വ്യക്തി ബിറ്റ്സ്ട്രീം എഴുതുക.
- അവലോൺ സ്ട്രീമിംഗ് ഇന്റർഫേസ് (x8, x16, x32) ഉപയോഗിച്ച്, ആദ്യ വ്യക്തി ബിറ്റ്സ്ട്രീം ഉപയോഗിച്ച് DUT FPGA പുനഃക്രമീകരിക്കുക.
- പിആർ നില നിരീക്ഷിക്കാൻ, സിസ്റ്റം കൺസോൾ സമാരംഭിക്കുന്നതിന് ടൂളുകൾ ➤ സിസ്റ്റം കൺസോൾ ക്ലിക്ക് ചെയ്യുക. സിസ്റ്റം കൺസോളിൽ, PR നില നിരീക്ഷിക്കുക:
- pr_error 2 ആണ്-റീ കോൺഫിഗറേഷൻ പ്രക്രിയയിലാണ്.
- pr_error ആണ് 3-റീ കോൺഫിഗറേഷൻ പൂർത്തിയായി.
- DUT FPGA-യിലെ PR മേഖലയിൽ അൺഫ്രീസ് പ്രയോഗിക്കുക.
കുറിപ്പ്: പിആർ ഓപ്പറേഷൻ സമയത്ത്, പതിപ്പ് പരിശോധനയിലോ അംഗീകാര പരിശോധനയിലോ പരാജയം സംഭവിക്കുകയാണെങ്കിൽ, പിആർ പ്രവർത്തനം അവസാനിപ്പിക്കും.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- Intel Agilex കോൺഫിഗറേഷൻ ഉപയോക്തൃ ഗൈഡ്
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷൻ ഉപയോക്തൃ ഗൈഡ്: ഡീബഗ് ടൂളുകൾ
AN 991-നുള്ള ഡോക്യുമെന്റ് റിവിഷൻ ഹിസ്റ്ററി: കോൺഫിഗറേഷൻ പിൻസ് (എക്സ്റ്റേണൽ ഹോസ്റ്റ്) വഴിയുള്ള ഭാഗിക പുനർക്രമീകരണം ഇന്റൽ അജിലെക്സ് എഫ്-സീരീസ് എഫ്പിജിഎ ഡെവലപ്മെന്റ് ബോർഡിനായുള്ള റഫറൻസ് ഡിസൈൻ
പ്രമാണ പതിപ്പ് | ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പതിപ്പ് | മാറ്റങ്ങൾ |
2022.11.14 | 22.3 | • പ്രാരംഭ റിലീസ്. |
AN 991: കോൺഫിഗറേഷൻ പിന്നുകൾ വഴിയുള്ള ഭാഗിക പുനർക്രമീകരണം (ബാഹ്യ ഹോസ്റ്റ്) റഫറൻസ് ഡിസൈൻ: Intel Agilex F-Series FPGA ഡെവലപ്മെന്റ് ബോർഡിനായി
മുൻനിര FAQ-കൾക്കുള്ള ഉത്തരങ്ങൾ:
- Q കോൺഫിഗറേഷൻ പിന്നുകൾ വഴിയുള്ള പിആർ എന്താണ്?
- A പേജ് 3-ൽ ബാഹ്യ ഹോസ്റ്റ് കോൺഫിഗറേഷൻ
- Q ഈ റഫറൻസ് ഡിസൈനിന് എനിക്ക് എന്താണ് വേണ്ടത്?
- A പേജ് 6-ലെ റഫറൻസ് ഡിസൈൻ ആവശ്യകതകൾ
- Q എനിക്ക് റഫറൻസ് ഡിസൈൻ എവിടെ നിന്ന് ലഭിക്കും?
- A പേജ് 6-ലെ റഫറൻസ് ഡിസൈൻ ആവശ്യകതകൾ
- Q എക്സ്റ്റേണൽ കോൺഫിഗറേഷൻ വഴി ഞാൻ എങ്ങനെയാണ് പിആർ നടത്തുന്നത്?
- A പേജ് 6-ലെ റഫറൻസ് ഡിസൈൻ വാക്ക്ത്രൂ
- Q എന്താണ് ഒരു PR വ്യക്തിത്വം?
- A പേജ് 11-ൽ വ്യക്തികളെ നിർവചിക്കുന്നു
- Q ബോർഡ് എങ്ങനെ പ്രോഗ്രാം ചെയ്യാം?
- A പേജ് 17-ൽ ബോർഡ് പ്രോഗ്രാം ചെയ്യുക
- Q PR അറിയപ്പെടുന്ന പ്രശ്നങ്ങളും പരിമിതികളും എന്തൊക്കെയാണ്?
- A ഇന്റൽ FPGA പിന്തുണാ ഫോറങ്ങൾ: PR
- Q നിങ്ങൾക്ക് PR-ൽ പരിശീലനം ഉണ്ടോ?
- A ഇന്റൽ FPGA സാങ്കേതിക പരിശീലന കാറ്റലോഗ്
ഓൺലൈൻ പതിപ്പ് ഫീഡ്ബാക്ക് അയയ്ക്കുക
- ഐഡി: 750856
- പതിപ്പ്: 2022.11.14
പ്രമാണങ്ങൾ / വിഭവങ്ങൾ
![]() |
ഇന്റൽ 750856 അജിലക്സ് എഫ്പിജിഎ ഡെവലപ്മെന്റ് ബോർഡ് [pdf] ഉപയോക്തൃ ഗൈഡ് 750856, 750857, 750856 Agilex FPGA ഡവലപ്മെന്റ് ബോർഡ്, Agilex FPGA ഡവലപ്മെന്റ് ബോർഡ്, FPGA ഡവലപ്മെന്റ് ബോർഡ്, ഡവലപ്മെന്റ് ബോർഡ്, ബോർഡ് |