intel-LOGO

Intel 750856 Agilex FPGA ڊولپمينٽ بورڊ

intel-750856-Agilex-FPGA-ترقي-بورڊ-پراڊڪٽ

پيداوار جي ڄاڻ

هي حوالو ڊيزائن Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ لاءِ آهي. اهو استعمال ڪري ٿو جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP ۽ هڪ سادي PR علائقو آهي. Intel Agilex Device External Host Hardware Setup تي مشتمل آهي هڪ خارجي ڊيوائس (Helper FPGA)، هڪ DUT FPGA، ۽ توهان جي خارجي ميزبان ڊيزائن. خارجي ڊوائيس ۾ ميزبان ڊيزائن پي آر پروسيس جي ميزباني لاء ذميوار آهي. پي آر پن ٻنهي ڊوائيسز کي ڳنڍڻ لاء استعمال ڪيو ويندو آهي ۽ ڪنهن به دستياب صارف I/OS ٿي سگهي ٿو.

پيداوار جي استعمال جون هدايتون

خارجي ميزبان جي ترتيب

ٻاهرين ميزبان جي ترتيب کي انجام ڏيڻ لاء، انهن قدمن تي عمل ڪريو:

  1. PR پروسيس کي ميزباني ڪرڻ لاءِ ٻاهرين ڊوائيس ۾ ميزبان ڊيزائن ٺاهيو.
  2. DUT FPGA ۾ PR پنن کي خارجي ڊوائيس مان جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP سان ڳنڍيو.
  3. اسٽريم ڪنفيگريشن ڊيٽا ميزبان ڊيزائن کان Intel Agilex Avalon اسٽريمنگ انٽرفيس پنن تائين جيڪي IP کان پي آر هٿ ڪرڻ واري سگنلن سان ملن ٿيون.

ڪنفيگريشن پنن آپريشن ذريعي جزوي ٻيهر ترتيب ڏيڻ

هيٺ ڏنل ترتيب بيان ڪري ٿو جزوي بحالي جي آپريشن کي ترتيب واري پنن ذريعي:

  1. جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP سان ڳنڍيل pr_request پن کي اصرار ڪريو.
  2. IP هڪ مصروف سگنل کي ظاهر ڪري ٿو ته PR عمل جاري آهي (اختياري).
  3. جيڪڏهن ترتيب ڏيڻ وارو نظام PR آپريشن لاءِ تيار آهي، avst_ready پن کي زور ڏنو ويو آهي، اهو ظاهر ڪري ٿو ته اهو ڊيٽا قبول ڪرڻ لاءِ تيار آهي.
  4. PR ڪنفيگريشن ڊيٽا کي avst_data پنن ۽ avst_valid پن تي اسٽريم ڪريو، Avalon streaming specification جي پٺيان ڊيٽا جي منتقلي لاءِ backpressure سان.
  5. اسٽريمنگ بند ٿي وڃي ٿي جڏهن avst_ready پن کي ختم ڪيو وڃي.
  6. avst_ready پن کي زور ڀريو ته ظاهر ڪرڻ لاءِ ته پي آر آپريشن لاءِ وڌيڪ ڊيٽا جي ضرورت ناهي.
  7. جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP ڊي-اسٽارٽ مصروف سگنل کي عمل جي آخر کي ظاهر ڪرڻ لاءِ (اختياري).

ڪنفيگريشن پنن ذريعي جزوي ٻيهر ترتيب ڏيڻ (خارجي ميزبان) ريفرنس ڊيزائن

هي ايپليڪيشن نوٽ جزوي ٻيهر ترتيب ڏيڻ کي ظاهر ڪري ٿو ڪنفيگريشن پنن (ٻاهرين ميزبان) ذريعي Intel® Agilex® F-Series FPGA ڊولپمينٽ بورڊ تي.

ريفرنس ڊيزائن اوورview

جزوي ريڪنفيگريشن (PR) خصوصيت توهان کي FPGA جي هڪ حصي کي متحرڪ طور تي ٻيهر ترتيب ڏيڻ جي اجازت ڏئي ٿي، جڏهن ته باقي FPGA ڊيزائن ڪم ڪرڻ جاري رکي ٿي. توھان پنھنجي ڊزائن ۾ ھڪڙي خاص علائقي لاءِ گھڻن شخصيتن کي ٺاھي سگھو ٿا جيڪي ھن علائقي کان ٻاھر وارن علائقن ۾ آپريشن کي متاثر نه ڪن. اهو طريقو سسٽم ۾ اثرائتو آهي جتي گھڻا افعال وقت جي حصيداري ڪندا آهن ساڳئي FPGA ڊوائيس وسيلن. Intel Quartus® Prime Pro Edition سافٽ ويئر جو موجوده ورزن جزوي ٻيهر ترتيب ڏيڻ لاءِ نئون ۽ آسان تاليف وارو وهڪرو متعارف ڪرايو آهي. هي Intel Agilex ريفرنس ڊيزائن استعمال ڪري ٿو جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP ۽ ھڪڙو سادو PR علائقو آھي.

Intel Agilex ڊوائيس خارجي ميزبان هارڊويئر سيٽ اپintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (1)

خارجي ميزبان جي ترتيب

خارجي ميزبان جي ترتيب ۾، توهان کي لازمي طور تي PR پروسيس کي ميزباني ڪرڻ لاءِ هڪ خارجي ڊيوائس ۾ ميزبان ڊيزائن ٺاهڻ گهرجي، جيئن Intel Agilex Device External Host Hardware Setup ڏيکاري ٿو. ميزبان ڊيزائن انٽيل Agilex Avalon اسٽريمنگ انٽرفيس پنن کي ترتيب ڏيڻ واري ڊيٽا کي اسٽريم ڪري ٿو جيڪي پي آر هينڊ شيڪنگ سگنلن سان ملن ٿا جيڪي جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP کان ايندا آهن. پي آر پن جيڪي توهان ٻنهي ڊوائيسن کي ڳنڍڻ لاءِ استعمال ڪندا آهيو ڪنهن به دستياب صارف I/OS ٿي سگهن ٿا.

ھيٺ ڏنل تسلسل بيان ڪري ٿو جزوي بحالي جي ترتيب واري پنن جي آپريشن ذريعي:

  1. پهرين pr_request پن کي زور ڏيو جيڪو جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP سان ڳنڍيل آهي.
  2. IP هڪ مصروف سگنل کي ظاهر ڪري ٿو ته PR عمل جاري آهي (اختياري).
  3. جيڪڏهن ٺاھ جوڙ وارو نظام PR آپريشن ڪرڻ لاءِ تيار آھي، avst_ready پن تي زور ڀريو ويو آھي ته اھو ڊيٽا قبول ڪرڻ لاءِ تيار آھي.
  4. پي آر ترتيب واري ڊيٽا کي avst_data پنن ۽ avst_valid پنن تي اسٽريم ڪرڻ شروع ڪريو، جڏهن ته Avalon اسٽريمنگ وضاحتن کي مشاهدو ڪندي ڊيٽا جي منتقلي لاءِ backpressure سان.
  5. جڏهن به avst_ready پن کي رد ڪيو وڃي ته اسٽريمنگ بند ٿي وڃي ٿي.
  6. سموري ترتيب واري ڊيٽا کي اسٽريم ڪرڻ کان پوءِ، avst_ready پن کي ڊي-اسٽار ڪيو ويو آهي ظاهر ڪرڻ لاءِ ته پي آر آپريشن لاءِ وڌيڪ ڊيٽا جي ضرورت ناهي.
  7. جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP ڊريسٽس مصروف سگنل کي عمل جي پڇاڙي کي ظاهر ڪرڻ لاءِ (اختياري).
  8. توهان چيڪ ڪري سگهو ٿا pr_done ۽ pr_error پنن کي پڪ ڪرڻ لاءِ ته ڇا PR آپريشن ڪاميابي سان مڪمل ٿيو. جيڪڏهن ڪا غلطي ٿئي ٿي، جيئن نسخي جي چڪاس ۽ اختيار جي چڪاس ۾ ناڪامي، پي آر آپريشن ختم ٿي ويندو آهي.

لاڳاپيل معلومات

  • Intel Agilex F-Series FPGA ڊولپمينٽ کٽ Web صفحو
  • Intel Agilex F-Series FPGA ڊولپمينٽ کٽ يوزر گائيڊ
  • Intel Quartus Prime Pro Edition يوزر گائيڊ: جزوي ٻيهر ترتيب

جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP
جزوي بحالي واري خارجي ترتيب واري ڪنٽرولر کي پي آر آپريشن لاءِ پي آر ڊيٽا کي وهڪرو ڪرڻ لاءِ ترتيب واري پنن کي استعمال ڪرڻ جي ضرورت آهي. توهان کي لازمي طور تي جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP جي مٿين سطح جي سڀني بندرگاهن کي pr_request پن سان ڳنڍڻ گهرجي ته جيئن هوسٽ جي هٿ ملائڻ جي اجازت ڏئي سگهي محفوظ ڊيوائس مئنيجر (SDM) سان. SDM توهان جي MSEL سيٽنگ جي مطابق، ڪهڙي قسم جي ترتيب واري پنن کي استعمال ڪرڻ جو تعين ڪري ٿو.

جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IPintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (2)

جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر پيراميٽر سيٽنگون

پيرا ميٽر قدر وصف
مصروف انٽرفيس کي فعال ڪريو فعال ڪريو or

نااهل

توهان کي اجازت ڏئي ٿو فعال يا غير فعال ڪرڻ جي مصروف انٽرفيس، جيڪو اشارو ڏئي ٿو هڪ سگنل ظاهر ڪرڻ لاءِ ته PR پروسيسنگ جاري آهي خارجي ترتيب جي دوران.

ڊفالٽ سيٽنگ آهي نااهل.

جزوي ٻيهر ترتيب ڏيڻ خارجي ترتيب واري ڪنٽرولر بندرگاهن

پورٽ جو نالو ويڪر ھدايت فنڪشن
pr_request 1 ان پٽ اشارو ڪري ٿو ته پي آر عمل شروع ڪرڻ لاء تيار آهي. سگنل هڪ ڪنڊو آهي جيڪو ڪنهن به ڪلاڪ سگنل سان هم وقت سازي ناهي.
pr_error 2 ٻاھر هڪ جزوي ٻيهر ترتيب ڏيڻ جي غلطي کي ظاهر ڪري ٿو.:

• 2'b01-جنرل PR غلطي

• 2'b11- نامناسب بٽ اسٽريم غلطي

اهي سگنل ڪنڊيوٽس آهن جيڪي ڪنهن به ڪلاڪ جي ذريعن سان هم وقت سازي نه ڪندا آهن.

pr_done 1 ٻاھر اشارو ڪري ٿو ته پي آر عمل مڪمل ٿي چڪو آهي. سگنل هڪ ڪنڊو آهي جيڪو ڪنهن به ڪلاڪ سگنل سان هم وقت سازي ناهي.
start_addr 1 ان پٽ فعال سيريل فليش ۾ پي آر ڊيٽا جي شروعاتي ايڊريس کي بيان ڪري ٿو. توھان ھن سگنل کي چالو ڪري سگھو ٿا يا ته چونڊيو ايولن®- ايس ٽي or فعال سيريز لاءِ Avalon-ST پنن يا فعال سيريل پنن کي فعال ڪريو پيرا ميٽر. سگنل هڪ ڪنڊو آهي جيڪو ڪنهن به ڪلاڪ سگنل سان هم وقت سازي ناهي.
ري سيٽ 1 ان پٽ فعال اعلي، هم وقت سازي ري سيٽ سگنل.
out_clk 1 ٻاھر گھڙي جو ذريعو جيڪو اندروني اوسيليٽر مان پيدا ٿئي ٿو.
مصروف 1 ٻاھر IP هن سگنل کي زور ڏئي ٿو ته پي آر ڊيٽا جي منتقلي کي ترقي ۾ ظاهر ڪرڻ لاءِ. توھان ھن سگنل کي منتخب ڪندي فعال ڪريو فعال ڪريو لاءِ مصروف انٽرفيس کي فعال ڪريو پيرا ميٽر.

ريفرنس ڊيزائن جي گهرج

ھن ريفرنس ڊيزائن جي استعمال ھيٺ ڏنل ضرورت آھي:

  • Intel Quartus Prime Pro Edition 22.3 جي انسٽاليشن Intel Agilex ڊوائيس خاندان جي سپورٽ سان.
  • بينچ تي Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ سان ڪنيڪشن.
  • ڊيزائن جي ڊائون لوڊ اڳampهيٺ ڏنل جڳهه تي دستياب آهي: https://github.com/intel/fpga-partial-reconfig.

ڊزائين ڊائون لوڊ ڪرڻ لاءِ exampاليزي:

  1. ڪلون تي ڪلڪ ڪريو يا ڊائون لوڊ ڪريو.
  2. ڪلڪ ڪريو ڊائون لوڊ زپ. ان زپ ڪريو fpga-partial-reconfig-master.zip file.
  3. ريفرنس ڊيزائن تائين رسائي حاصل ڪرڻ لاءِ سبق/agilex_external_pr_configuration ذيلي فولڊر ڏانھن وڃو.

ريفرنس ڊيزائن جي واکٿرو

هيٺيان قدم جزوي بحالي جي عمل کي بيان ڪن ٿا ڪنفيگريشن پنن ذريعي (ٻاهرين ميزبان) Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ تي:

  • قدم 1: شروع ڪرڻ
  • قدم 2: ڊيزائن ورهاڱي ٺاهڻ
  • قدم 3: مختص ڪرڻ جي جڳھ ۽ رستي جي علائقن
  • قدم 4: جزوي ٻيهر ترتيب ڏيڻ خارجي ڪنفيگريشن ڪنٽرولر IP شامل ڪرڻ
  • قدم 5: شخصيتن جي تعريف ڪرڻ
  • قدم 6: ترميمون ٺاهڻ
  • قدم 7: بنيادي نظرثاني کي گڏ ڪرڻ
  • قدم 8: پي آر تي عملدرآمد جي ترميمن جي تياري
  • قدم 9: بورڊ جي پروگرامنگ

قدم 1: شروع ڪرڻ
ريفرنس ڊيزائن کي نقل ڪرڻ لاء fileتوهان جي ڪم ڪندڙ ماحول ڏانهن وڃو ۽ blinking_led فليٽ ڊيزائن کي گڏ ڪريو:

  1. پنھنجي ڪم ڪندڙ ماحول ۾ ڊاريڪٽري ٺاھيو، agilex_pcie_devkit_blinking_led_pr.
  2. ڊائون لوڊ ڪيل سبق/agilex_pcie_devkit_blinking_led/flat ذيلي فولڊر کي ڊاريڪٽري ۾ نقل ڪريو، agilex_pcie_devkit_blinking_led_pr.
  3. Intel Quartus Prime Pro Edition سافٽ ويئر ۾، ڪلڪ ڪريو File ➤ پروجيڪٽ کوليو ۽ چونڊيو blinking_led.qpf.
  4. فليٽ ڊيزائن جي درجه بندي کي واضع ڪرڻ لاءِ، ڪلڪ ڪريو پروسيسنگ ➤ شروع ڪريو ➤ شروع ڪريو تجزيو ۽ ترکیب. متبادل طور تي، ڪمانڊ لائن تي، ھيٺ ڏنل حڪم ھلايو: quartus_syn blinking_led -c blinking_led

ڊيزائن ورهاڱي ٺاهڻ

توھان کي ھر پي آر علائقي لاءِ ڊيزائين پارٽيشنز ٺاهڻ گھرجي جنھن کي توھان جزوي طور تي ٻيهر ترتيب ڏيڻ چاھيو ٿا. هيٺيون قدم u_blinking_led مثال لاءِ ڊيزائن ورهاڱي ٺاهي.

ڊيزائن پارٽيشن ٺاهڻintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (3)

  1. پروجيڪٽ نيويگيٽر ۾ u_blinking_led مثال تي ساڄي ڪلڪ ڪريو ۽ ڪلڪ ڪريو Design Partition ➤ Reconfigurable. هر مثال جي اڳيان هڪ ڊزائين ورشن آئڪن ظاهر ٿئي ٿو جيڪو ورهاڱي جي طور تي مقرر ڪيو ويو آهي.
  2. ڪلڪ ڪريو اسائنمينٽس ➤ ڊيزائن پارٽيشن ونڊو. ونڊو پروجيڪٽ ۾ سڀني ڊيزائن ڊويزن کي ڏيکاري ٿو.
  3. ورهاڱي جو نالو تبديل ڪريو ڊيزائن پارٽيشن ونڊو ۾ نالي تي ڊبل ڪلڪ ڪري. هن ريفرنس ڊيزائن لاءِ، ورهاڱي جو نالو مٽايو pr_partition
    • نوٽ: جڏهن توهان هڪ ورهاڱي ٺاهيندا آهيو، Intel Quartus Prime سافٽ ويئر خود بخود هڪ ورهاڱي جو نالو ٺاهي ٿو، مثال جي نالي جي بنياد تي ۽ ترتيب واري رستي جي بنياد تي. هي ڊفالٽ ورهاڱي جو نالو هر مثال سان مختلف ٿي سگهي ٿو.
  4. حتمي جامد علائقي کي برآمد ڪرڻ لاءِ بنيادي ترميمي ڪمپائل مان، داخل ٿيڻ تي ڊبل ڪلڪ ڪريو روٽ_پارٽيشن پوسٽ فائنل ايڪسپورٽ ۾ File ڪالم، ۽ ٽائپ ڪريو blinking_led_static. جي ڊي بي

ڊيزائن پارٽيشن ونڊو ۾ پوسٽ فائنل سنيپ شاٽ برآمد ڪرڻintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (4)تصديق ڪريو ته blinking_led.qsf ھيٺ ڏنل اسائنمنٽس تي مشتمل آھي، توھان جي ٻيهر ترتيب ڏيڻ واري ڊيزائن ورشن سان ملندڙ:intel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (5)

لاڳاپيل معلومات
Intel Quartus Prime Pro Edition ۾ ”ڊزائن جا حصا ٺاهيو“ يوزر گائيڊ: جزوي ريڪنفيگريشن

پي آر ورهاڱي لاءِ جڳهه ۽ روٽنگ واري علائقي کي مختص ڪرڻ
توهان جي ٺاهيل هر بنيادي نظرثاني لاءِ، پي آر ڊيزائن فلو توهان جي PR ورهاڱي واري علائقي ۾ لاڳاپيل شخصا ڪور رکي ٿو. توھان جي بنيادي نظرثاني لاءِ ڊوائيس فلور پلان ۾ پي آر علائقي کي ڳولڻ ۽ تفويض ڪرڻ لاءِ:

  1. پروجيڪٽ نيويگيٽر ۾ u_blinking_led مثال تي ساڄي ڪلڪ ڪريو ۽ ڪلڪ ڪريو Logic Lock Region ➤ Create New Logic Lock Region. علائقو Logic Lock Regions Window تي ظاهر ٿئي ٿو.
  2. توھان جي جڳھ واري علائقي کي لازمي طور تي بند ڪرڻ گھرجي blinking_led منطق. چِپ پلانر ۾ نوڊ کي ڳولهڻ سان جڳهه واري علائقي کي چونڊيو. Logic Lock Regions Window ۾ u_blinking_led علائقي جي نالي تي ساڄي ڪلڪ ڪريو ۽ ڪلڪ ڪريو

ڳوليو نوڊ ➤ چپ پلانر ۾ جڳھ ڪريو. u_blinking_led علائقو رنگ-ڪوڊ ٿيل آهي

چپ پلانر نوڊ جو مقام blinking_led لاءِintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (6)

  1. Logic Lock Regions ونڊو ۾، Origin ڪالمن ۾ جڳھ واري علائقي جي ڪوآرڊينيٽس جي وضاحت ڪريو. اصليت واري علائقي جي هيٺين کاٻي ڪنڊ سان ملندڙ جلندڙ آهي. مثال لاءِample، (X1 Y1) ڪوآرڊينيٽس سان گڏ (163 4) جي جڳهه واري علائقي کي سيٽ ڪرڻ لاءِ، X163_Y4 جي طور تي اصليت بيان ڪريو. Intel Quartus Prime سافٽ ويئر خود بخود حساب ڪري ٿو (X2 Y2) ڪوآرڊينيٽس (مٿي ساڄي) جڳهه واري علائقي لاءِ، توهان جي بيان ڪيل اونچائي ۽ چوٽي جي بنياد تي.
    • نوٽ: هي سبق استعمال ڪري ٿو (X1 Y1) ڪوآرڊينيٽس - (163 4)، ۽ جڳهه واري علائقي لاءِ 20 جي اوچائي ۽ ويڪر. مقرري واري علائقي لاءِ ڪنهن به قدر جي وضاحت ڪريو. پڪ ڪريو ته علائقو blinking_led منطق کي ڍڪي ٿو.
  2. فعال ڪريو محفوظ ۽ بنيادي صرف اختيارن.
  3. ڊبل ڪلڪ ڪريو روٽنگ علائقي اختيار. Logic Lock Routing Region Settings ڊائلاگ باڪس ظاهر ٿئي ٿو.
  4. روٽنگ جي قسم لاءِ توسيع سان مقرر ٿيل چونڊيو. ھن اختيار کي چونڊڻ سان خودڪار طور تي 2 جي توسيع جي ڊيگهه تفويض ڪري ٿي.
    • نوٽ: رستي واري علائقي کي جڳهه واري علائقي کان وڏو هجڻ گهرجي، فٽر کي اضافي لچڪ فراهم ڪرڻ لاء جڏهن انجڻ مختلف شخصن کي رستن تي آڻيندي.

لاجڪ لاڪ ريجنز ونڊوintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (7)تصديق ڪريو ته blinking_led.qsf ھيٺ ڏنل اسائنمنٽس تي مشتمل آھي، توھان جي فلور پلاننگ جي مطابق:intel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (8)intel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (9)

لاڳاپيل معلومات
انٽيل ڪوارٽس پرائم پرو ايڊيشن ۾ ”فرش پلان جزوي ريڪنفيگريشن ڊيزائن“ يوزر گائيڊ: جزوي ريڪنفيگريشن

جزوي ٻيهر ترتيب ڏيڻ خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP شامل ڪرڻ
جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر Intel FPGA IP انٽرفيس سان گڏ Intel Agilex PR ڪنٽرول بلاڪ بٽ اسٽريم ماخذ کي منظم ڪرڻ لاءِ. توھان کي لازمي طور تي ھن IP کي پنھنجي ڊيزائن ۾ شامل ڪرڻ گھرجي خارجي تشڪيل کي لاڳو ڪرڻ لاءِ. جزوي ريڪنفيگريشن خارجي ڪنفيگريشن ڪنٽرولر شامل ڪرڻ لاءِ ھنن قدمن تي عمل ڪريو
Intel FPGA IP توهان جي پروجيڪٽ ڏانهن:

  1. IP Catalog سرچ فيلڊ ۾ جزوي ريڪنفيگريشن ٽائپ ڪريو (ٽولز ➤ IP ڪيٽلاگ).
  2. ڊبل ڪلڪ ڪريو جزوي ريڪنفيگريشن External Configuration Controller Intel FPGA IP.
  3. IP Variant ٺاھيو ڊائلاگ باڪس ۾، ٽائپ ڪريو external_host_pr_ip جيئن File نالو، ۽ پوء ڪلڪ ڪريو ٺاھيو. پراميٽر ايڊيٽر ظاهر ٿئي ٿو.
  4. مصروف انٽرفيس پيراميٽر کي فعال ڪرڻ لاء، غير فعال (ڊفالٽ سيٽنگ) کي منتخب ڪريو. جڏهن توهان کي هي سگنل استعمال ڪرڻ جي ضرورت آهي، توهان سيٽنگ کي تبديل ڪري سگهو ٿا فعال ڪريو.

پيراميٽر ايڊيٽر ۾ مصروف انٽرفيس پيٽرول کي فعال ڪريوintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (10)

  1. ڪلڪ ڪريو File ➤ سسٽم کي پيدا ڪرڻ کان سواءِ پيراميٽر ايڊيٽر کي محفوظ ڪريو ۽ نڪرڻ. پيٽرولر ايڊيٽر ٺاهي ٿو external_host_pr_ip.ip IP تبديلي file ۽ شامل ڪري ٿو file blinking_led پروجيڪٽ ڏانهن. AN 991: ڪنفيگريشن پنن ذريعي جزوي ٻيهر ترتيب ڏيڻ (خارجي ميزبان) ريفرنس ڊيزائن 750856 | 2022.11.14 AN 991:
    • نوٽ:
    • a. جيڪڏهن توهان نقل ڪري رهيا آهيو external_host_pr_ip.ip file pr ڊاريڪٽري مان، دستي طور تي ترميم ڪريو blinking_led.qsf file ھيٺ ڏنل لائين شامل ڪرڻ لاء: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ رکيFILE SDC_ کان پوء تفويضFILE تفويض (blinking_led. dc) توهان جي blinking_led.qsf ۾ file. هي حڪم جزوي ريڪنفيگريشن ڪنٽرولر IP ڪور جي مناسب پابندي کي يقيني بڻائي ٿو.
    • نوٽ: گھڙين کي ڳولڻ لاء، .sdc file PR IP لاءِ لازمي طور تي ڪنهن به .sdc جي پيروي ڪرڻ گهرجي جيڪا گھڙي ٺاهي ٿي جيڪا IP ڪور استعمال ڪري ٿي. توهان هن آرڊر کي يقيني بڻائي سگهو ٿا ته .ip file ڪنهن به .ip کان پوءِ PR IP ڪور ظاهر ٿئي ٿو files يا .sdc files جنهن کي توهان .qsf ۾ انهن گھڙين جي وضاحت ڪرڻ لاءِ استعمال ڪندا آهيو file توهان جي Intel Quartus Prime پروجيڪٽ جي نظرثاني لاءِ. وڌيڪ معلومات لاءِ، ڏسو جزوي ريڪنفيگريشن IP حل يوزر گائيڊ.

مٿين سطح جي ڊيزائن کي اپڊيٽ ڪرڻ

اپڊيٽ ڪرڻ لاء top.sv file PR_IP مثال سان:

  1. external_host_pr_ip مثال کي مٿين سطح جي ڊيزائن ۾ شامل ڪرڻ لاءِ، top.sv ۾ ھيٺ ڏنل ڪوڊ بلاڪ کي غير تبصرو ڪريو file:intel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (11)

شخصيتن جي تعريف
هي ريفرنس ڊيزائن واحد پي آر ورهاڱي لاءِ ٽن الڳ الڳ شخصيتن کي بيان ڪري ٿو. توھان جي منصوبي ۾ شخصيتن کي بيان ڪرڻ ۽ شامل ڪرڻ لاءِ:

  1. ٽي SystemVerilog ٺاهيو files، blinking_led.sv، blinking_led_slow.sv، ۽ blinking_led_empty.sv ٽن شخصيتن لاءِ توھان جي ڪم ڪندڙ ڊاريڪٽري ۾.

حوالو ڊيزائن شخصيتونintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (12) intel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (13)

نوٽ:

  • blinking_led.sv جي حصي طور اڳ ۾ ئي موجود آهي files توهان فليٽ/ذيلي ڊاريڪٽري مان نقل ڪريو ٿا. توهان صرف هن کي ٻيهر استعمال ڪري سگهو ٿا file.
  • جيڪڏهن توهان ٺاهيندا آهيو SystemVerilog files Intel Quartus Prime Text Editor کان، شامل کي غير فعال ڪريو file موجوده پروجيڪٽ آپشن ڏانهن، جڏهن بچت files.

ترميمون ٺاهڻ

پي آر ڊيزائن فلو استعمال ڪري ٿو پروجيڪٽ جي نظرثاني جي خصوصيت Intel Quartus Prime سافٽ ويئر ۾. توهان جي شروعاتي ڊيزائن بنيادي نظرثاني آهي، جتي توهان FPGA تي جامد علائقي جون حدون ۽ ٻيهر ترتيب ڏيڻ وارا علائقا بيان ڪندا آهيو. بنيادي ترميمن کان، توهان ڪيترن ئي ترميمن کي ٺاهيندا آهيو. انهن ترميمن ۾ پي آر علائقن لاءِ مختلف عمل شامل آهن. بهرحال، سڀني پي آر تي عمل درآمد جي نظرثاني ساڳيا مٿين سطح جي جڳهه ۽ رستن جي نتيجن کي بنيادي نظرثاني مان استعمال ڪن ٿا. پي آر ڊيزائن کي گڏ ڪرڻ لاءِ، توهان کي هر شخص لاءِ پي آر تي عملدرآمد جي نظرثاني ٺاهڻ گهرجي. اضافي طور تي، توهان کي لازمي طور تي تفويض ڪرڻ گهرجي نظرثاني جا قسم هر هڪ لاءِ. موجوده نظرثاني جا قسم آهن:

  • جزوي ٻيهر ترتيب ڏيڻ - بنياد
  • جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد

ھيٺ ڏنل جدول ۾ نظرثاني جو نالو ۽ نظر ثاني جو قسم آھي ھر ھڪ لاءِ:

نظرثاني جا نالا ۽ قسم

نظرثاني جو نالو نظرثاني جو قسم
blinking_led.qsf جزوي ٻيهر ترتيب ڏيڻ - بنياد
blinking_led_default.qsf جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد
blinking_led_slow.qsf جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد
blinking_led_empty.qsf جزوي ٻيهر ترتيب ڏيڻ - شخصيت تي عمل درآمد

بنيادي نظرثاني جي قسم کي ترتيب ڏيڻ

  1. ڪلڪ ڪريو پروجيڪٽ ➤ نظرثانيون.
  2. Revision Name ۾، blinking_led revision چونڊيو، ۽ پوءِ ڪلڪ ڪريو سيٽ ڪرنٽ.
  3. لاڳو ڪريو تي ڪلڪ ڪريو. blinking_led نظر ثاني موجوده ترميم جي طور تي ڏيکاري ٿي.
  4. Blinking_led لاءِ نظرثاني جو قسم سيٽ ڪرڻ لاءِ، ڪلڪ ڪريو اسائنمينٽس ➤ سيٽنگون ➤ جنرل.
  5. Revision Type لاءِ، Partial Reconfiguration – Base چونڊيو، ۽ پوءِ ٺيڪ تي ڪلڪ ڪريو.
  6. تصديق ڪريو ته blinking_led.qsf ھاڻي ھيٺ ڏنل اسائنمينٽ تي مشتمل آھي: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

ٺاھڻ تي عملدرآمد جي نظرثاني

  1. Revisions dialog box کي کولڻ لاءِ پروجيڪٽ ➤ Revisions تي ڪلڪ ڪريو.
  2. نئين نظرثاني ٺاھڻ لاءِ، ڊبل ڪلڪ ڪريو < >.
  3. نظرثاني جي نالي ۾، وضاحت ڪريو blinking_led_default ۽ چونڊيو blinking_led لاءِ نظرثاني جي بنياد تي.
  4. نظر ثاني جي قسم لاءِ، جزوي ريڪنفيگريشن کي منتخب ڪريو - PersonaImplementation.

ترميمون ٺاهڻintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (14)

  1. اهڙي طرح، Blinking_led_slow ۽ blinking_led_empty ترميمن لاءِ نظرثاني جو قسم مقرر ڪريو.
  2. تصديق ڪريو ته هر .qsf file ھاڻي ھيٺ ڏنل اسائنمينٽ تي مشتمل آھي: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led جتي، place_holder نئين ٺاهيل پي آر تي عمل درآمد جي نظرثاني لاءِ ڊفالٽ اداري جو نالو آھي.

پروجيڪٽ جي نظرثانيintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (16)

بنيادي نظرثاني کي گڏ ڪرڻ

  1. بنيادي نظرثاني کي گڏ ڪرڻ لاء، ڪلڪ ڪريو پروسيسنگ ➤ مرتب ڪرڻ شروع ڪريو. متبادل طور تي، ھيٺ ڏنل حڪم بنيادي نظرثاني کي گڏ ڪري ٿو: quartus_sh -flow compile blinking_led -c blinking_led
  2. بٽ اسٽريم جو معائنو ڪريو files جيڪو پيداوار ۾ پيدا ٿئي ٿو_files ڊاريڪٽري.

پيدا ٿيل Files

نالو قسم وصف
blinking_led.sof بنيادي پروگرامنگ file مڪمل-چپ بنيادي جوڙجڪ لاء استعمال ڪيو
blinking_led.pr_partition.rbf پي آر بٽ اسٽريم file بنيادي شخصيت لاء بنيادي شخصيت جي جزوي reconfiguration لاء استعمال ڪيو.
blinking_led_static.qdb .qdb ڊيٽابيس file حتمي ڊيٽابيس file جامد علائقي کي درآمد ڪرڻ لاء استعمال ڪيو ويو.

لاڳاپيل معلومات

  • انٽيل ڪوارٽس پرائم پرو ايڊيشن ۾ ”فرش پلان جزوي ريڪنفيگريشن ڊيزائن“ يوزر گائيڊ: جزوي ريڪنفيگريشن
  • Intel Quartus Prime Pro Edition صارف گائيڊ: جزوي ٻيهر ترتيب ڏيڻ ۾ ”فلورپلان جي پابندين کي وڌ ۾ وڌ لاڳو ڪرڻ“

پي آر تي عملدرآمد جي نظرثاني تيار ڪرڻ
توھان کي لازمي طور تي تيار ڪرڻ گھرجي PR عمل درآمد جي نظرثانين کان پھريائين توھان گڏ ڪري سگھوٿا PR بٽ اسٽريم ڊيوائس پروگرامنگ لاءِ. ھن سيٽ اپ ۾ جامد علائقو .qdb شامل ڪرڻ شامل آھي file ذريعو file هر عمل جي نظرثاني لاء. اضافي طور تي، توهان کي PR علائقي جي لاڳاپيل اداري جي وضاحت ڪرڻ گهرجي.

  1. موجوده نظر ثاني کي سيٽ ڪرڻ لاء، ڪلڪ ڪريو پروجيڪٽ ➤ نظرثانيون، چونڊيو blinking_led_default جيئن نظرثاني جو نالو، ۽ پوء ڪلڪ ڪريو موجوده سيٽ ڪريو.
  2. هر عمل درآمد جي نظرثاني لاءِ صحيح ماخذ جي تصديق ڪرڻ لاءِ، ڪلڪ ڪريو پروجيڪٽ ➤شامل ڪريو/هٽايو Fileايس پروجيڪٽ ۾. The blinking_led.sv file ۾ ظاهر ٿئي ٿو file فهرست.

Files صفحوintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (17)

  1. ورجائي ورجايو قدم 1 کان 2 تائين تصديق ڪرڻ لاءِ ٻين تي عملدرآمد جي نظرثاني جي ذريعن جي files:
عملدرآمد جي نظرثاني جو نالو ذريعو File
blinking_led_default blinking_led.sv
blinking_led_empty blinking_led_empty.sv
چمڪندڙ_ليڊ_سلو blinking_led_slow.sv
  1. .qdb جي تصديق ڪرڻ لاء file روٽ ورهاڱي سان لاڳاپيل، ڪلڪ ڪريو اسائنمينٽس ➤ ڊيزائن پارٽيشن ونڊو. تصديق ڪريو ته ورهاڱي ڊيٽابيس File وضاحت ڪري ٿو blinking_led_static.qdb file، يا ڊبل ڪلڪ ڪريو ورهاڱي جي ڊيٽابيس File سيل هن جي وضاحت ڪرڻ لاء file. متبادل طور تي، ھيٺ ڏنل حڪم ھن کي تفويض ڪري ٿو file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. انٽيٽي ري-بائنڊنگ سيل ۾، هر پي آر ورهاڱي جي اداري جو نالو بيان ڪريو جيڪو توهان عمل درآمد جي نظرثاني ۾ تبديل ڪيو. blinking_led_default عمل درآمد جي نظرثاني لاءِ، اداري جو نالو آھي blinking_led. هن سبق ۾، توهان نئين blinking_led entity سان گڏ بنيادي نظر ثاني جي ترتيب مان u_blinking_led مثال مٿان لکندا.

نوٽ: پليس هولڊر ائنٽي ريبائنڊنگ اسائنمينٽ خودڪار طريقي سان عمل درآمد جي نظرثاني ۾ شامل ڪئي وئي آھي. تنهن هوندي، توهان کي لازمي طور تي مقرر ڪيل اداري جو نالو تبديل ڪرڻ گهرجي توهان جي ڊزائين لاء مناسب اداري جو نالو.

عملدرآمد جي نظرثاني جو نالو ادارو ٻيهر پابند ڪرڻ
blinking_led_default blinking_led
چمڪندڙ_ليڊ_سلو چمڪندڙ_ليڊ_سلو
blinking_led_empty blinking_led_empty

ادارو ريبائنڊنگintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (18)

  1. ڊزائن کي گڏ ڪرڻ لاء، ڪلڪ ڪريو پروسيسنگ ➤ ڪمپليشن شروع ڪريو. متبادل طور تي، ھيٺ ڏنل حڪم ھن منصوبي کي گڏ ڪري ٿو: quartus_sh -flow compile blinking_led -c blinking_led_default
  2. blinking_led_slow ۽ blinking_led_empty ترميمن کي تيار ڪرڻ لاءِ مٿي ڏنل قدمن کي ورجايو: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

نوٽ: توھان وضاحت ڪري سگھو ٿا ڪنھن فٽر مخصوص سيٽنگون جيڪي توھان لاڳو ڪرڻ چاھيو ٿا PR عمل درآمد جي تاليف دوران. فٽٽر جي مخصوص سيٽنگون صرف شخصيت جي فٽ تي اثر انداز ڪن ٿيون، درآمد ٿيل جامد علائقي کي متاثر ڪرڻ کان سواء.

بورڊ جي پروگرامنگ
هي سبق استعمال ڪري ٿو هڪ Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ بينچ تي، توهان جي ميزبان مشين ۾ PCIe* سلاٽ کان ٻاهر. بورڊ کي پروگرام ڪرڻ کان اڳ، پڪ ڪريو ته توھان ھيٺ ڏنل قدم مڪمل ڪيو آھي.

  1. پاور سپلائي کي Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ سان ڳنڍيو.
  2. Intel FPGA ڊائون لوڊ ڪيبل کي پنهنجي PC USB پورٽ ۽ Intel FPGA ڊائون لوڊ ڪيبل پورٽ جي وچ ۾ ڊولپمينٽ بورڊ تي ڳنڍيو.

Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ تي ڊيزائن کي هلائڻ لاءِ:

  1. Intel Quartus Prime سافٽ ويئر کوليو ۽ ڪلڪ ڪريو ٽولز ➤ پروگرامر.
  2. پروگرامر ۾، هارڊويئر سيٽ اپ تي ڪلڪ ڪريو ۽ USB-Blaster چونڊيو.
  3. ڪلڪ ڪريو خودڪار ڳولڻ ۽ ڊوائيس چونڊيو، AGFB014R24AR0.
  4. OK تي ڪلڪ ڪريو. Intel Quartus Prime سافٽ ويئر پروگرامر کي بورڊ تي ٽي FPGA ڊوائيسز سان ڳولي ٿو ۽ تازه ڪاري ڪري ٿو.
  5. منتخب ڪريو AGFB014R24AR0 ڊوائيس، ڪلڪ ڪريو تبديل ڪريو File ۽ لوڊ ڪريو blinking_led_default.sof file.
  6. blinking_led_default.sof لاءِ پروگرام/ڪانفيگر کي فعال ڪريو file.
  7. ڪلڪ ڪريو شروع ڪريو ۽ 100٪ تائين پهچڻ لاء ترقي واري بار جو انتظار ڪريو.
  8. بورڊ تي آيل LEDs جو مشاهدو ڪريو جيئن اصل فليٽ ڊيزائن جي ساڳي تعدد تي چمڪي رهي آهي.
  9. صرف PR علائقي کي پروگرام ڪرڻ لاءِ، ساڄي ڪلڪ ڪريو blinking_led_default.sof file پروگرامر ۾ ۽ پي آر پروگرامنگ شامل ڪريو تي ڪلڪ ڪريو File.
  10. blinking_led_slow.pr_partition.rbf چونڊيو file.
  11. blinking_led_default.sof لاءِ پروگرام/ڪانفيگر کي غير فعال ڪريو file.
  12. blinking_led_slow.pr_partition.rbf لاءِ پروگرام/ڪانفيگر کي فعال ڪريو file ۽ ڪلڪ ڪريو Start. بورڊ تي، LED[0] ۽ LED[1] جو مشاهدو جاري رکو. جڏهن ترقي وارو بار 100٪ تي پهچي ٿو، LED [2] ۽ LED [3] چمڪندڙ سست.
  13. PR علائقي کي ٻيهر پروگرام ڪرڻ لاءِ، .rbf تي ساڄي ڪلڪ ڪريو file پروگرامر ۾ ۽ ڪلڪ ڪريو پي آر پروگرامنگ تبديل ڪريو File.
  14. .rbf چونڊيو files ٻين ٻن شخصيتن لاء بورڊ تي رويي کي ڏسڻ لاء. لوڊ ڪندي blinking_led_default.rbf file LEDs کي هڪ خاص فريڪوئنسي تي بليڪ ڪرڻ جو سبب بڻائيندو آهي، ۽ لوڊ ڪندي blinking_led_empty.rbf file LEDs کي آن رهڻ جو سبب بڻائيندو آهي.

پروگرامنگ Intel Agilex F-Series FPGA ڊولپمينٽ بورڊintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (19)هارڊويئر ٽيسٽنگ فلو

هيٺيون ترتيبون بيان ڪن ٿيون ريفرنس ڊيزائن هارڊويئر ٽيسٽنگ فلو.
Intel Agilex ڊوائيس خارجي ميزبان هارڊويئر سيٽ اپintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (20)

پروگرام مددگار FPGA (خارجي ميزبان)
هيٺ ڏنل ترتيب بيان ڪري ٿو پروگرامنگ مددگار FPGA جيڪو هلائي ٿو PR پروسيس خارجي ميزبان طور:

  1. وضاحت ڪريو Avalon اسٽريمنگ انٽرفيس سيٽنگ جيڪا توهان جي چونڊيل موڊ سان مطابقت رکي ٿي (x8، x16، يا x32).
  2. پليٽ فارم کي شروع ڪريو مددگار FPGA پروگرامنگ ذريعي Intel Quartus Prime Programmer ۽ ڳنڍيل ڪنفيگريشن ڪيبل استعمال ڪندي.
  3. مددگار FPGA استعمال ڪندي، CONF_DONE ۽ AVST_READY سگنل پڙهو. CONF_DONE هجڻ گهرجي 0، AVST_READY هجڻ گهرجي 1. هن پن تي وڏو منطق اشارو ڪري ٿو SDM هڪ خارجي ميزبان کان ڊيٽا قبول ڪرڻ لاءِ تيار آهي. هي پيداوار SDM I/O جو حصو آهي.

نوٽ: CONF_DONE پن هڪ خارجي ميزبان کي اشارو ڏئي ٿو ته بٽ اسٽريم جي منتقلي ڪامياب آهي. انهن سگنلن کي استعمال ڪريو صرف مڪمل چپ جي ٺاھ جوڙ جي عمل جي نگراني ڪرڻ لاء. حوالي ڪريو Intel Agilex Configuration User Guide ھن پن تي وڌيڪ معلومات لاءِ.

پروگرام DUT FPGA کي مڪمل چپ SOF سان خارجي ميزبان ذريعي هيٺ ڏنل ترتيب بيان ڪري ٿو پروگرامنگ DUT FPGA کي مڪمل چپ SRAM آبجیکٹ سان File (.sof) ميزبان Avalon اسٽريمنگ انٽرفيس استعمال ڪندي:

  1. مڪمل چپ بٽ اسٽريم لکو DDR4 خارجي ياداشت ۾ مددگار FPGA (بيروني ميزبان).
  2. Avalon اسٽريمنگ انٽرفيس (x8, x16, x32) کي استعمال ڪندي DUT FPGA کي مڪمل چپ .sof سان ترتيب ڏيو.
  3. اسٽيٽس پڙهو DUT FPGA ٺاھ جوڙ سگنل. CONF_DONE هجڻ گهرجي 1، AVST_READY هجڻ گهرجي 0.

وقت جي وضاحت: جزوي ٻيهر ترتيب ڏيڻ خارجي ڪنٽرولر Intel FPGA IPintel-750856-Agilex-FPGA-ترقي-بورڊ-FIG-1 (21)

پروگرام ڪريو DUT FPGA پهرين شخصيت سان خارجي ميزبان ذريعي

  1. DUT FPGA ۾ ٽارگيٽ پي آر علائقي تي فريز لاڳو ڪريو.
  2. استعمال ڪندي Intel Quartus Prime System Console، assert pr_request جزوي ٻيهر ترتيب ڏيڻ شروع ڪرڻ لاءِ. AVST_READY هجڻ گهرجي 1.
  3. مدد ڪندڙ FPGA (بيروني ميزبان) جي DDR4 خارجي ياداشت ۾ پهريون PR شخصيت بٽ اسٽريم لکو.
  4. استعمال ڪندي Avalon اسٽريمنگ انٽرفيس (x8, x16, x32), DUT FPGA کي پھرين شخصيت بٽ اسٽريم سان ٻيهر ترتيب ڏيو.
  5. پي آر اسٽيٽس کي مانيٽر ڪرڻ لاءِ، ڪلڪ ڪريو اوزار ➤ سسٽم ڪنسول شروع ڪرڻ لاءِ سسٽم ڪنسول. سسٽم ڪنسول ۾، پي آر جي صورتحال جي نگراني ڪريو:
    • pr_error 2 آهي - پروسيس ۾ ٻيهر ترتيب ڏيڻ.
    • pr_error 3 آهي - ٻيهر ترتيب ڏيڻ مڪمل آهي.
  6. DUT FPGA ۾ پي آر علائقي تي اڻڄاڻ لاڳو ڪريو.

نوٽ: جيڪڏهن پي آر آپريشن دوران ڪا نقص ٿئي ٿي، جيئن نسخي جي چڪاس ۾ ناڪامي يا اجازت ڏيڻ جي چڪاس، پي آر آپريشن ختم ٿي ويندو آهي.

لاڳاپيل معلومات

  • Intel Agilex Configuration User Guide
  • Intel Quartus Prime Pro Edition يوزر گائيڊ: ڊيبگ ٽولز

AN 991 لاءِ دستاويز جي نظرثاني جي تاريخ: ڪنفيگريشن پنن ذريعي جزوي ٻيهر ترتيب ڏيڻ (خارجي ميزبان) ريفرنس ڊيزائن لاءِ Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ

دستاويزي نسخو Intel Quartus Prime نسخو تبديليون
2022.11.14 22.3 • شروعاتي ڇڏڻ.

AN 991: ڪنفيگريشن پنن ذريعي جزوي ٻيهر ترتيب (خارجي ميزبان) ريفرنس ڊيزائن: Intel Agilex F-Series FPGA ڊولپمينٽ بورڊ لاءِ

مٿين سوالن جا جواب:

  • Q ترتيب پنن ذريعي پي آر ڇا آهي؟
  • A صفحي 3 تي خارجي ميزبان جي ترتيب
  • Q مون کي هن ريفرنس ڊيزائن جي ڪهڙي ضرورت آهي؟
  • A صفحي 6 تي ريفرنس ڊيزائن جون ضرورتون
  • Q مان ريفرنس ڊيزائن ڪٿي حاصل ڪري سگهان ٿو؟
  • A صفحي 6 تي ريفرنس ڊيزائن جون ضرورتون
  • Q مان ڪيئن ڪريان پي آر کي خارجي ترتيب جي ذريعي؟
  • A صفحي 6 تي ريفرنس ڊيزائن جي واک تھرو
  • Q هڪ پي آر شخصيت ڇا آهي؟
  • A صفحو 11 تي شخصيتن جي تعريف
  • Q آئون بورڊ کي ڪيئن پروگرام ڪري سگهان ٿو؟
  • A صفحي 17 تي بورڊ جو پروگرام
  • Q ڇا پي آر ڄاڻايل مسئلا ۽ حدون آهن؟
  • A Intel FPGA سپورٽ فورمز: PR
  • Q ڇا توهان وٽ پي آر تي تربيت آهي؟
  • A Intel FPGA ٽيڪنيڪل ٽريننگ فهرست

آن لائين ورزن موٽ موڪليو

  • ID: 750856
  • نسخو: 2022.11.14

دستاويز / وسيلا

Intel 750856 Agilex FPGA ڊولپمينٽ بورڊ [pdf] استعمال ڪندڙ ھدايت
750856، 750857، 750856 Agilex FPGA ڊولپمينٽ بورڊ، Agilex FPGA ڊولپمينٽ بورڊ، FPGA ڊولپمينٽ بورڊ، ڊولپمينٽ بورڊ، بورڊ

حوالو

تبصرو ڇڏي ڏيو

توهان جو اي ميل پتو شايع نه ڪيو ويندو. گهربل فيلڊ نشان لڳل آهن *