intel 750856 Agilex FPGA garapen-plaka
Produktuaren informazioa
Erreferentzia-diseinu hau Intel Agilex F-Series FPGA Garapen Plaketarako da. Birkonfigurazio partziala kanpoko konfigurazio kontroladore Intel FPGA IP erabiltzen du eta PR eskualde sinple bat du. Intel Agilex Device External Host Hardware Setup kanpoko gailu batek (Helper FPGA), DUT FPGA batek eta zure kanpoko ostalariaren diseinuak osatzen dute. Kanpoko gailuko ostalariaren diseinua PR prozesua ostatzeaz arduratzen da. PR pinak bi gailuak konektatzeko erabiltzen dira eta erabilgarri dauden erabiltzaileen I/O izan daitezke.
Produktuak erabiltzeko jarraibideak
Kanpoko ostalariaren konfigurazioa
Kanpoko ostalariaren konfigurazioa egiteko, jarraitu urrats hauek:
- Sortu ostalariaren diseinua kanpoko gailu batean PR prozesua ostatatzeko.
- Konektatu kanpoko gailuko PR pinak birkonfigurazio partzialeko kanpoko konfigurazio kontroladore Intel FPGA IP DUT FPGA-n.
- Korrontearen konfigurazio-datuak ostalariaren diseinutik IPko PR esku-harremanaren seinaleei dagozkien Intel Agilex Avalon streaming interfazearen pinetara.
Birkonfigurazio partziala Konfigurazio Pinen Eragiketaren bidez
Ondorengo sekuentzian konfigurazio pinen bidez birkonfigurazio partzialaren funtzionamendua deskribatzen da:
- Berretsi pr_request pina birkonfigurazio partziala kanpoko konfigurazio kontroladore Intel FPGA IP-ra konektatuta.
- IPak okupatutako seinalea baieztatzen du PR prozesua abian dela adierazteko (aukerakoa).
- Konfigurazio-sistema PR eragiketa baterako prest badago, avst_ready pin-a baieztatzen da, datuak onartzeko prest dagoela adieraziz.
- Igorri PR konfigurazio-datuak avst_data pinen eta avst_valid pinaren gainean, atzera-presioarekin datuak transferitzeko Avalon-en streaming zehaztapenari jarraituz.
- Streaming-a gelditzen da avst_ready pin-a baliogabetzen denean.
- Desafirmatu avst_ready pin-a PR eragiketa egiteko datu gehiago behar ez dela adierazteko.
- Birkonfigurazio partziala kanpoko konfigurazio kontroladoreak Intel FPGA IP-k okupatuta dagoen seinalea kentzen du prozesuaren amaiera adierazteko (aukerakoa).
Konfigurazio pinen bidez birkonfigurazio partziala (kanpoko ostalariaren) erreferentzia-diseinua
Aplikazio-ohar honek birkonfigurazio partziala erakusten du Intel® Agilex® F-Series FPGA garapen-plakaren konfigurazio-pinen bidez (kanpoko ostalaria).
Erreferentzia Diseinua amaituview
Birkonfigurazio partziala (PR) funtzioak FPGAren zati bat dinamikoki birkonfiguratzeko aukera ematen du, gainerako FPGA diseinuak funtzionatzen jarraitzen duen bitartean. Eskualde jakin baterako hainbat pertsona sor ditzakezu zure diseinuan, eskualde honetatik kanpoko eremuetako funtzionamenduan eraginik ez dutenak. Metodologia hau eraginkorra da funtzio anitzek FPGA gailuen baliabide berdinak denbora partekatzen dituzten sistemetan. Intel Quartus® Prime Pro Edition softwarearen egungo bertsioak konpilazio-fluxu berri eta sinplifikatu bat sartzen du birkonfigurazio partzialerako. Intel Agilex erreferentzia-diseinu honek birkonfigurazio partziala kanpoko konfigurazio kontroladore Intel FPGA IP erabiltzen du eta PR eskualde sinple bat du.
Intel Agilex gailuaren kanpoko ostalariaren hardwarearen konfigurazioa
Kanpoko ostalariaren konfigurazioa
Kanpoko ostalariaren konfigurazioan, lehenik ostalari diseinu bat sortu behar duzu kanpoko gailu batean PR prozesua ostatzeko, Intel Agilex Device External Host Hardware Setup-ek erakusten duen moduan. Ostalariaren diseinuak konfigurazio-datuak Intel Agilex Avalon streaming interfazearen pinetara igortzen ditu, Intel FPGA IP Berregokitze Partzialaren Kanpoko Konfigurazio Kontrolagailutik datozen PR handshaking seinaleei dagozkienak. Bi gailuak konektatzeko erabiltzen dituzun PR pinak erabilgarri dauden edozein erabiltzaile-I/O izan daitezke.
Ondorengo sekuentzian konfigurazio pinen eragiketaren bidez birkonfigurazio partziala deskribatzen da:
- Lehenik eta behin, berretsi partziala birkonfigurazio kanpoko Intel FPGA IP kontrolagailura konektatuta dagoen pr_request pina.
- IPak okupatutako seinalea baieztatzen du PR prozesua abian dela adierazteko (aukerakoa).
- Konfigurazio-sistema PR eragiketa bat egiteko prest badago, avst_ready pin-a baieztatzen da datuak onartzeko prest dagoela adieraziz.
- Hasi PR konfigurazio-datuak avst_data pinen eta avst_valid pinaren gainean igortzen, atzera-presioarekin datuak transferitzeko Avalon-en streaming zehaztapena errespetatuz.
- Streaming-a gelditzen da avst_ready pin-a baliogabetzen den bakoitzean.
- Konfigurazio-datu guztiak erreproduzitu ondoren, avst_ready pin-a kentzen da PR eragiketa egiteko datu gehiago behar ez dela adierazteko.
- Birkonfigurazio partziala kanpoko konfigurazio kontrolagailu Intel FPGA IP-ek lanpetuta-seinalea postreratzen du prozesuaren amaiera adierazteko (aukerakoa).
- PR_done eta pr_error pinak egiazta ditzakezu PR eragiketa behar bezala burutu den baieztatzeko. Errore bat gertatzen bada, adibidez, bertsioen egiaztapenean eta baimenen egiaztapenean huts egitean, PR eragiketa amaitu egiten da.
Lotutako informazioa
- Intel Agilex F-Series FPGA garapen kit Web Orria
- Intel Agilex F-Series FPGA garapen kitaren erabiltzailearen gida
- Intel Quartus Prime Pro Edition erabiltzailearen gida: birkonfigurazio partziala
Birkonfigurazio partziala Kanpoko konfigurazio kontroladorea Intel FPGA IP
Birkonfigurazio partziala kanpoko konfigurazio kontrolatzailea beharrezkoa da konfigurazio-pinak erabiltzeko PR datuak PR funtzionatzeko. Birkonfigurazio partzialaren kanpoko konfigurazio kontrolatzailearen Intel FPGA IP goi-mailako ataka guztiak pr_request pin-era konektatu behar dituzu ostalariaren gailu-kudeatzaile seguruarekin (SDM) nukleotik esku-ematea ahalbidetzeko. SDMk zehazten du zein konfigurazio-pin mota erabili behar diren, zure MSEL ezarpenaren arabera.
Birkonfigurazio partziala Kanpoko konfigurazio kontroladorea Intel FPGA IP
Birkonfigurazio partziala Kanpoko konfigurazioa Kontrolagailuaren parametroen ezarpenak
Parametroa | Balioa | Deskribapena |
Gaitu Lanpetuta Interfazea | Gaitu or
Desgaitu |
Lanpetuta interfazea gaitzeko edo desgaitzeko aukera ematen du, kanpoko konfigurazioan PR prozesatzea abian dela adierazteko seinale bat baieztatzen duena.
Ezarpen lehenetsia da Desgaitu. |
Birkonfigurazio partziala Kanpoko konfigurazio kontroladorearen atakak
Portuaren izena | Zabalera | Norabidea | Funtzioa |
pr_eskaera | 1 | Sarrera | PR prozesua hasteko prest dagoela adierazten du. Seinalea edozein erloju-seinalerekin sinkronoa ez den kanala da. |
pr_error | 2 | Irteera | Birkonfigurazio-errore partzial bat adierazten du.:
• 2'b01—PR errore orokorra • 2'b11—bitstream errore bateraezina Seinale hauek edozein erloju-iturrirekin sinkronoak ez diren bideak dira. |
pr_eginda | 1 | Irteera | PR prozesua amaitu dela adierazten du. Seinalea edozein erloju-seinalerekin sinkronoa ez den kanala da. |
hasiera_helbidea | 1 | Sarrera | PR datuen hasierako helbidea zehazten du Active Serial Flash-en. Seinale hau bi hautatzen baduzu gaitzen duzu Avalon®-ST or Serie aktiboa rentzat Gaitu Avalon-ST pinak edo serieko pin aktiboak parametroa. Seinalea edozein erloju-seinalerekin sinkronoa ez den kanala da. |
berrezarri | 1 | Sarrera | Berrezartzeko seinale sinkrono handiko aktiboa. |
out_clk | 1 | Irteera | Barne osziladore batetik sortzen den erloju-iturria. |
lanpetuta | 1 | Irteera | IPak seinale hau baieztatzen du PR datuen transferentzia abian dela adierazteko. Seinale hau hautatuta gaituko duzu Gaitu rentzat Gaitu okupatutako interfazea parametroa. |
Erreferentzia Diseinuaren Baldintzak
Erreferentzia-diseinu hau erabiltzeko honako hau eskatzen da:
- Intel Quartus Prime Pro Edition 22.3 bertsioaren instalazioa Intel Agilex gailu familiarako laguntzarekin.
- Intel Agilex F-Series FPGA garapen plakarekin konektatzea bankuan.
- Diseinua deskargatu adibidezampLeku honetan eskuragarri dago: https://github.com/intel/fpga-partial-reconfig.
Diseinua deskargatzeko adibidezample:
- Sakatu Klonatu edo deskargatu.
- Sakatu Deskargatu ZIP. Deskonprimitu fpga-partial-reconfig-master.zip file.
- Nabigatu tutorials/agilex_external_pr_configuration azpikarpetera erreferentzia diseinura sartzeko.
Erreferentzia Diseinuaren Ibilbidea
Ondorengo urratsek konfigurazio pinen bidez (kanpoko ostalariaren) birkonfigurazio partziala ezartzea deskribatzen dute Intel Agilex F-Series FPGA garapen-plakan:
- 1. urratsa: Hasten
- 2. urratsa: Diseinu-partizioa sortzea
- 3. urratsa: Kokapen- eta bideratze-eskualdeak esleitzea
- 4. urratsa: Birkonfigurazio partziala kanpoko konfigurazio kontroladorearen IPa gehitzea
- 5. urratsa: Pertsonak definitzea
- 6. urratsa: Berrikuspenak sortzea
- 7. urratsa: Oinarrizko Berrikuspena osatzen
- 8. urratsa: PR Ezarpenaren Berrikuspenak prestatzea
- 9. urratsa: Mahaia programatzea
1. urratsa: Hasteko
Erreferentzia-diseinua kopiatzeko files zure lan ingurunera eta konpilatu blinking_led diseinu laua:
- Sortu direktorio bat zure lan-ingurunean, agilex_pcie_devkit_blinking_led_pr.
- Kopiatu deskargatutako tutorialak/agilex_pcie_devkit_blinking_led/flat azpikarpeta agilex_pcie_devkit_blinking_led_pr direktoriora.
- Intel Quartus Prime Pro Edition softwarean, egin klik File ➤ Ireki Proiektua eta hautatu blinking_led.qpf.
- Diseinu lauaren hierarkia lantzeko, sakatu Prozesatzea ➤ Hasi ➤ Hasi Analisia eta Sintesia. Bestela, komando-lerroan, exekutatu komando hau: quartus_syn blinking_led -c blinking_led
Diseinu-partizioa sortzea
Partzialki birkonfiguratu nahi duzun PR eskualde bakoitzeko diseinu-partizioak sortu behar dituzu. Urrats hauek diseinu-partizioa sortzen dute u_blinking_led instantziarako.
Diseinu Partizioak sortzea
- Egin klik eskuineko botoiaz u_blinking_led instantzia Proiektuaren nabigatzailean eta egin klik Diseinuaren partizioa ➤ Birkonfiguragarria. Diseinuko partizioaren ikono bat agertzen da partizio gisa ezarrita dagoen instantzia bakoitzaren ondoan.
- Sakatu Esleipenak ➤ Diseinu partizioak leihoa. Leihoak proiektuko diseinu-partizio guztiak bistaratzen ditu.
- Editatu partizioaren izena Diseinuaren partizioak leihoan klik bikoitza eginez. Erreferentzia-diseinu honetarako, aldatu izena partizioaren izena pr_partition
- Oharra: Partizio bat sortzen duzunean, Intel Quartus Prime softwareak automatikoki partizioaren izena sortzen du, instantziaren izenaren eta hierarkiaren bidearen arabera. Partizio-izen lehenetsi hau instantzia bakoitzaren arabera alda daiteke.
- Oinarrizko berrikuspen konpilaziotik amaitutako eskualde estatikoa esportatzeko, egin klik bikoitza root_partition sarreran Post Final Export-en File zutabea eta idatzi blinking_led_static. gdb.
Esportatzen azken argazkia Diseinu-partizioen leihoanEgiaztatu blinking_led.qsf-ek esleipen hauek dituela, zure diseinu-partizio birkonfiguragarriari dagozkionak:
Lotutako informazioa
"Sortu Diseinu Partizioak" Intel Quartus Prime Pro Edition Erabiltzaile-gidan: birkonfigurazio partziala
PR partizio baterako kokapen eta bideratze eskualdea esleitzea
Sortzen duzun oinarrizko berrikuspen bakoitzeko, PR diseinuaren fluxuak dagokion pertsonaren muina jartzen du zure PR partizioaren eskualdean. Zure oinarrizko berrikuspenerako gailuaren oinplanoan PR eskualdea kokatzeko eta esleitzeko:
- Egin klik eskuineko botoiarekin u_blinking_led instantzia Proiektuaren nabigatzailean eta egin klik Blokeo logikoko eskualdea ➤ Sortu blokeo logikoko eskualde berria. Eskualdea Logic Lock Regions leihoan agertzen da.
- Zure kokapen-eskualdeak blinking_led logika sartu behar du. Hautatu kokapen-eskualdea nodoa Chip Planner-en kokatuz. Egin klik eskuineko botoiaz u_blinking_led eskualdearen izena Logic Lock Regions leihoan eta egin klik
Kokatu nodoa ➤ Kokatu Chip Planner-en. u_blinking_led eskualdea kolorez kodetuta dago
Chip Planner nodo kokapena blinking_led-rako
- Blokeo logikoko eskualdeak leihoan, zehaztu kokapen-eskualdearen koordenatuak Jatorria zutabean. Jatorria eskualdearen behe-ezkerreko izkinari dagokio. Adibidezample, (X1 Y1) koordenatuak dituen (163 4) kokapen-eskualde bat ezartzeko, zehaztu Jatorria X163_Y4 gisa. Intel Quartus Prime softwareak automatikoki kalkulatzen ditu (X2 Y2) koordenatuak (goi-eskuinean) kokapen-eskualderako, zehazten dituzun altueran eta zabaleran oinarrituta.
- Oharra: Tutorial honek (X1 Y1) koordenatuak - (163 4) eta 20ko altuera eta zabalera erabiltzen ditu kokapen-eskualderako. Definitu kokapen-eskualderako edozein balio. Ziurtatu eskualdeak blinking_led logika estaltzen duela.
- Gaitu Erreserbatuak eta Core-Only aukerak.
- Egin klik bikoitza Bideratze eskualdea aukeran. Blokeo logikoa bideratze-eskualdearen ezarpenak elkarrizketa-koadroa agertzen da.
- Hautatu Konpondu hedapenarekin Bideratze motarako. Aukera hau hautatzeak automatikoki 2eko hedapen luzera esleitzen du.
- Oharra: Bideratze-eskualdeak kokapen-eskualdea baino handiagoa izan behar du, Motorrak pertsona desberdinak bideratzen dituenean malgutasun gehigarria eskaintzeko Muntatzaileari.
Blokeo logikoko eskualdeen leihoaEgiaztatu blinking_led.qsf-ek esleipen hauek dituela, zure oinplanoari dagozkionak:
Lotutako informazioa
"Oinarritutako birkonfigurazio partziala diseinua" Intel Quartus Prime Pro Edition Erabiltzailearen Gida: birkonfigurazio partziala
Birkonfigurazio partziala kanpoko konfigurazio kontroladore Intel FPGA IP gehitzea
Birkonfigurazio partziala kanpoko konfigurazio kontroladore Intel FPGA IP Intel Agilex PR kontrol blokearekin interfazea bitstream iturria kudeatzeko. IP hau zure diseinuari gehitu behar diozu kanpoko konfigurazioa ezartzeko. Jarraitu urrats hauek Birkonfigurazio Partziala Kanpoko Konfigurazio Kontrolatzailea gehitzeko
Intel FPGA IP zure proiekturako:
- Idatzi Birkonfigurazio partziala IP Katalogoko bilaketa eremuan (Tresnak ➤ IP Katalogoa).
- Egin klik bikoitza Birkonfigurazio partziala Kanpoko konfigurazio kontroladore Intel FPGA IP.
- Sortu IP aldaera elkarrizketa-koadroan, idatzi external_host_pr_ip gisa File izena eta, gero, egin klik Sortu. Parametroen editorea agertzen da.
- Gaitu lanpetuta interfazea parametrorako, hautatu Desgaitu (ezarpen lehenetsia). Seinale hau erabili behar duzunean, ezarpena Gaitu aukerara alda dezakezu.
Gaitu Busy Interface parametroa parametroen editorean
- Egin klik File ➤ Gorde eta irten parametroen editoretik sistema sortu gabe. Parametro editoreak external_host_pr_ip.ip IP aldakuntza sortzen du file eta gehitzen du file blinking_led proiektura. AN 991: konfigurazio pinen bidez birkonfiguratu partziala (kanpoko ostalariaren) erreferentzia-diseinua 750856 | 2022.11.14/991/XNUMX AN XNUMX:
- Oharra:
- a. external_host_pr_ip.ip kopiatzen ari bazara file pr direktoriotik, editatu eskuz blinking_led.qsf file lerro hau sartzeko: set_global_assignment -name IP_FILE pr_ip.ip
- b. Jarri IP_FILE esleipena SDC_ ondorenFILE zereginak (blinking_led. dc) zure blinking_led.qsf file. Ordenaketa honek Berregokitze Partzialaren Kontrolagailuaren IP nukleoaren mugaketa egokia bermatzen du.
- Oharra: Erlojuak detektatzeko, .sdc file izan ere, PR IPak IP nukleoak erabiltzen dituen erlojuak sortzen dituen edozein .sdc jarraitu behar du. Ordena hori errazten duzu .ip file izan ere, PR IP muina edozein .ip ondoren agertzen da files edo .sdc file.qsf-n erloju hauek definitzeko erabiltzen dituzunak file zure Intel Quartus Prime proiektuaren berrikuspenerako. Informazio gehiago lortzeko, ikus Partal Reconfiguration IP Solutions Erabiltzailearen Gidara.
Goi Mailako Diseinua eguneratzea
Top.sv eguneratzeko file PR_IP instantziarekin:
- external_host_pr_ip instantzia goi-mailako diseinuari gehitzeko, kendu iruzkinak top.sv-ko kode-bloke hauek. file:
Pertsonak definitzea
Erreferentzia-diseinu honek hiru pertsona bereizten ditu PR partizio bakarrerako. Pertsonak zure proiektuan definitzeko eta sartzeko:
- Sortu hiru SystemVerilog files, blinking_led.sv, blinking_led_slow.sv eta blinking_led_empty.sv zure lan-direktorioan hiru pertsonarentzat.
Erreferentzia Diseinu Pertsonak
Oharra:
- blinking_led.sv dagoeneko eskuragarri dago files lauko/ azpidirektoriotik kopiatzen duzun. Besterik gabe, hau berrerabili dezakezu file.
- SystemVerilog sortzen baduzu files Intel Quartus Prime Test Editor-etik, desgaitu Gehitu file uneko proiektuaren aukerara, gordetzean files.
Berrikuspenak sortzea
PR diseinuaren fluxuak Intel Quartus Prime softwareko proiektuen berrikuspen funtzioa erabiltzen du. Zure hasierako diseinua oinarrizko berrikuspena da, non eskualde estatikoen mugak eta eskualde birkonfiguragarriak definitzen dituzun FPGAn. Oinarrizko berrikuspenetik, hainbat berrikuspen sortzen dituzu. Berrikuspen hauek PR eskualdeetarako ezarpen desberdinak jasotzen dituzte. Hala ere, PR ezarpenaren berrikuspen guztiek oinarrizko berrikuspeneko goi-mailako kokapen- eta bideratze-emaitza berberak erabiltzen dituzte. PR diseinua osatzeko, pertsona bakoitzarentzako PR ezarpenaren berrikuspena sortu behar duzu. Horrez gain, berrikuspen mota bakoitzari esleitu behar dizkiozu. Eskuragarri dauden berrikuspen motak hauek dira:
- Birkonfigurazio partziala – Oinarria
- Birkonfigurazio partziala - Pertsonaren ezarpena
Hurrengo taulan berrikuspen bakoitzaren izena eta berrikuspen mota zerrendatzen dira:
Berrikuspen izenak eta motak
Berrikuspenaren izena | Berrikuspen mota |
blinking_led.qsf | Birkonfigurazio partziala – Oinarria |
blinking_led_default.qsf | Birkonfigurazio partziala - Pertsonaren ezarpena |
blinking_led_slow.qsf | Birkonfigurazio partziala - Pertsonaren ezarpena |
blinking_led_empty.qsf | Birkonfigurazio partziala - Pertsonaren ezarpena |
Oinarrizko berrikuspen mota ezartzea
- Sakatu Proiektua ➤ Berrikuspenak.
- Berrikuspenaren izenan, hautatu blinking_led berrikuspena eta, ondoren, sakatu Ezarri unekoa.
- Sakatu Aplikatu. Blinking_led berrikuspena uneko berrikuspen gisa bistaratzen da.
- blinking_led-en Berrikuspen mota ezartzeko, sakatu Esleipenak ➤ Ezarpenak ➤ Orokorra.
- Berrikuspen motarako, hautatu Berregokitze partziala – Oinarria eta, ondoren, egin klik Ados.
- Egiaztatu blinking_led.qsf-ek orain esleipen hau duela: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
Ezarpen-berrikuspenak sortzea
- Berrikuspenak elkarrizketa-koadroa irekitzeko, sakatu Proiektua ➤ Berrikuspenak.
- Berrikuspen berri bat sortzeko, egin klik bikoitza < >.
- Berrikuspenaren izenan, zehaztu blinking_led_default eta hautatu blinking_led Berrikuspenean oinarrituta.
- Berrikuspen motarako, hautatu Berregokitze partziala - Pertsonalaren ezarpena.
Berrikuspenak sortzea
- Era berean, ezarri Berrikuspen mota blinking_led_slow eta blinking_led_empty berrikuspenetarako.
- Egiaztatu .qsf bakoitzak file orain esleipen hau dauka: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led non, place_holder sortu berri den PR ezarpenaren berrikuspenaren lehenetsitako entitate-izena da.
Proiektuaren Berrikuspenak
Oinarrizko Berrikuspena osatzea
- Oinarrizko berrikuspena osatzeko, sakatu Prozesatzen ➤ Hasi konpilazioa. Bestela, komando honek oinarrizko berrikuspena konpilatzen du: quartus_sh –flow compile blinking_led -c blinking_led
- Ikuskatu bitstream-a fileirteeran sortzen diren s_files direktorioa.
Sortutakoa Files
Izena | Mota | Deskribapena |
blinking_led.sof | Oinarrizko programazioa file | Txip osoko oinarrizko konfiguraziorako erabiltzen da |
blinking_led.pr_partition.rbf | PR bitstream file oinarrizko personarentzat | Oinarrizko pertsonaren birkonfigurazio partzialerako erabiltzen da. |
blinking_led_static.qdb | .qdb datu-basea file | Amaitutako datu-basea file eskualde estatikoa inportatzeko erabiltzen da. |
Lotutako informazioa
- "Oinarritutako birkonfigurazio partziala diseinua" Intel Quartus Prime Pro Edition Erabiltzailearen Gida: birkonfigurazio partziala
- Intel Quartus Prime Pro Edition erabiltzailearen gidan "Oinplanoaren murrizketak pixkanaka aplikatzea": birkonfigurazio partziala
PR Ezarpenaren Berrikuspenak prestatzea
PR inplementazioaren berrikuspenak prestatu behar dituzu gailuen programaziorako PR bit-streama konpilatu eta sortu aurretik. Konfigurazio honek .qdb eskualde estatikoa gehitzea barne hartzen du file iturri gisa file ezarpenaren berrikuspen bakoitzeko. Horrez gain, PR eskualdeko dagokion entitatea zehaztu behar duzu.
- Uneko berrikuspena ezartzeko, sakatu Proiektua ➤ Berrikuspenak, hautatu blinking_led_default Berrikuspen izen gisa eta, ondoren, sakatu Ezarri unekoa.
- Inplementazio-berrikuspen bakoitzaren iturri egokia egiaztatzeko, sakatu Proiektua ➤Gehitu/Kendu Files Proiektuan. blinking_led.sv file atalean agertzen da file zerrenda.
Files Orria
- Errepikatu 1etik 2ra bitarteko urratsak beste inplementazioaren berrikuspen-iturburua egiaztatzeko files:
Ezarpenaren berrikuspenaren izena | Iturria File |
blinking_led_default | blinking_led.sv |
keinua_led_hutsik | blinking_led_empty.sv |
keinuka_led_motel | blinking_led_slow.sv |
- .qdb egiaztatzeko file erroko partizioari lotutakoa, sakatu Esleipenak ➤ Diseinu partizioak leihoa. Ziurtatu Partizio Datu-basea dela File blinking_led_static.qdb zehazten du file, edo egin klik bikoitza Partizio datu-basean File gelaxka hau zehazteko file. Bestela, hurrengo komandoak hau esleitzen du file: ezarri_instantzia_esleipena -izena QDB_FILE_PARTIZIOA \ blinking_led_static.qdb -to |
- Entitatea berriro lotzeko gelaxkan, zehaztu ezarpenaren berrikuspenean aldatzen duzun PR partizio bakoitzaren entitate-izena. blinking_led_default ezarpenaren berrikuspenerako, entitatearen izena blinking_led da. Tutorial honetan, oinarrizko berrikuspenaren konpilazioko u_blinking_led instantzia gainidazten duzu blinking_led entitate berriarekin.
Oharra: Leku-markaren entitatearen birloketa-esleipena automatikoki gehitzen da ezarpenaren berrikuspenean. Hala ere, esleipeneko entitate-izen lehenetsia zure diseinurako entitate-izen egoki batera aldatu behar duzu.
Ezarpenaren berrikuspenaren izena | Entitatea berriro loteslea |
blinking_led_default | keinuka_dirudi |
keinuka_led_motel | keinuka_led_motel |
keinua_led_hutsik | keinua_led_hutsik |
Entitatea birlokatzea
- Diseinua konpilatzeko, sakatu Prozesatzen ➤ Hasi konpilazioa. Bestela, komando honek proiektu hau konpilatzen du: quartus_sh –flow compile blinking_led –c blinking_led_default
- Errepikatu goiko urratsak blinking_led_slow eta blinking_led_empty berrikuspenak prestatzeko: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Oharra: PR ezarpena konpilatzean aplikatu nahi dituzun Fitter-en ezarpen zehatzak zehaztu ditzakezu. Doitzaileen ezarpen espezifikoek pertsonaren doikuntzan bakarrik eragiten dute, inportatutako eskualde estatikoari eragin gabe.
Mahaia programatzea
Tutorial honek Intel Agilex F-Series FPGA garapen-plaka bat erabiltzen du bankuan, zure ostalari makina PCIe* zirrikitutik kanpo. Taula programatu aurretik, ziurtatu urrats hauek bete dituzula:
- Konektatu elikadura-iturria Intel Agilex F-Series FPGA garapen plakara.
- Konektatu Intel FPGA deskarga-kablea zure ordenagailuko USB atakaren eta garapen-plakako Intel FPGA-ren deskarga-kablearen atakaren artean.
Diseinua Intel Agilex F-Series FPGA garapen-plakan exekutatzeko:
- Ireki Intel Quartus Prime softwarea eta egin klik Tresnak ➤ Programatzailea.
- Programatzailean, sakatu Hardwarearen konfigurazioa eta hautatu USB-Blaster.
- Sakatu Auto Detect eta hautatu gailua, AGFB014R24AR0.
- Sakatu Ados. Intel Quartus Prime softwareak programatzailea detektatzen eta eguneratzen du taulako hiru FPGA gailuekin.
- Hautatu AGFB014R24AR0 gailua, sakatu Aldatu File eta kargatu blinking_led_default.sof file.
- Gaitu Programa/Konfiguratu blinking_led_default.sof file.
- Egin klik Hasi eta itxaron aurrerapen-barra %100era arte iritsi arte.
- Behatu plakako LEDak keinuka egiten ari diren jatorrizko diseinu lauaren maiztasun berean.
- PR eskualdea soilik programatzeko, egin klik eskuineko botoiarekin blinking_led_default.sof file Programatzailean eta egin klik Gehitu PR programazioa File.
- Hautatu blinking_led_slow.pr_partition.rbf file.
- Desgaitu Programa/Konfiguratu blinking_led_default.sof file.
- Gaitu Programa/Konfiguratu blinking_led_slow.pr_partition.rbf-rako file eta sakatu Hasi. Arbelean, behatu LED [0] eta LED [1] keinuka jarraitzen dutela. Aurrerapen-barra %100era iristen denean, LED[2] eta LED[3] motelago keinu egiten dute.
- PR eskualdea birprogramatzeko, egin klik eskuineko botoiarekin .rbf file Programatzailean eta sakatu Aldatu PR programazioa File.
- Hautatu .rbf files beste bi personak taula gaineko portaera behatzeko. blinking_led_default.rbf kargatzen file LEDak maiztasun zehatz batean keinu egitea eragiten du eta blinking_led_empty.rbf kargatzen du file LEDak piztuta egotea eragiten du.
Intel Agilex F-Series FPGA garapen-plaka programatzen
Hardwarearen probaren fluxua
Ondorengo sekuentziak erreferentziazko diseinuaren hardware probaren fluxua deskribatzen du.
Intel Agilex gailuaren kanpoko ostalariaren hardwarearen konfigurazioa
Programatu laguntzailea FPGA (kanpoko ostalaria)
Honako sekuentzia honek PR prozesuko kanpoko ostalari gisa funtzionatzen duen FPGA laguntzailea programatzea deskribatzen du:
- Zehaztu aukeratzen duzun moduarekin bat datorren Avalon streaming interfazearen ezarpena (x8, x16 edo x32).
- Hasieratu plataforma FPGA laguntzailea programatuz Intel Quartus Prime Programatzailea eta konektatutako konfigurazio kablea erabiliz.
- FPGA laguntzailea erabiliz, irakurri CONF_DONE eta AVST_READY seinaleak. CONF_DONE 0 izan behar du, AVST_READY 1 izan behar du. Pin honen logikak altua adierazten du SDM kanpoko ostalari baten datuak onartzeko prest dagoela. Irteera hau SDM I/O-ren parte da.
Oharra: CONF_DONE pinak kanpoko ostalari bati bit-stream transferentzia arrakastatsua dela adierazten dio. Erabili seinale hauek txiparen konfigurazio-prozesu osoa kontrolatzeko soilik. Ikusi Intel Agilex Configuration Erabiltzailearen Gidara pin honi buruzko informazio gehiago lortzeko.
Programatu DUT FPGA txip osoarekin SOF kanpoko ostalariaren bidez Hurrengo sekuentzian DUT FPGA txip osoko SRAM objektuarekin programatzea deskribatzen da. File (.sof) ostalariaren Avalon streaming interfazea erabiliz:
- Idatzi txip-bitstream osoa FPGA laguntzailearen (kanpoko ostalariaren) DDR4 kanpoko memorian.
- Konfiguratu DUT FPGA .sof txip osoarekin Avalon streaming interfazea erabiliz (x8, x16, x32).
- Irakurri egoera DUT FPGA konfigurazio-seinaleak. CONF_DONE 1 izan behar du, AVST_READY 0.
Denboraren zehaztapenak: Birkonfigurazio partziala Kanpoko kontrolagailua Intel FPGA IP
Programatu DUT FPGA First Persona-rekin kanpoko ostalari baten bidez
- Aplikatu izoztea xede PR eskualdean DUT FPGAn.
- Intel Quartus Prime System Console erabiliz, baieztatu pr_request birkonfigurazio partziala hasteko. AVST_READY 1 izan behar du.
- Idatzi lehen PR persona bitstream FPGA laguntzailearen (kanpoko ostalariaren) DDR4 kanpoko memorian.
- Avalon streaming interfazea erabiliz (x8, x16, x32), birkonfiguratu DUT FPGA lehen pertsona bitstream-arekin.
- PR egoera kontrolatzeko, sakatu Tresnak ➤ Sistemaren kontsola Sistemaren kontsola abiarazteko. Sistemaren kontsolan, kontrolatu PR egoera:
- pr_error 2 da: birkonfigurazioa prozesuan.
- pr_error 3 da: birkonfigurazioa amaitu da.
- Aplikatu desizoztea DUT FPGA-n PR eskualdean.
Oharra: PR eragiketan erroreren bat gertatzen bada, adibidez, bertsioaren egiaztapenean edo baimenen egiaztapenean huts egitean, PR eragiketa amaitu egiten da.
Lotutako informazioa
- Intel Agilex konfigurazio erabiltzailearen gida
- Intel Quartus Prime Pro Edition erabiltzailearen gida: arazketa tresnak
AN 991-rako dokumentuaren berrikuspen-historia: konfigurazio-pinen bidez birkonfiguratu partziala (kanpoko ostalariaren) erreferentzia-diseinua Intel Agilex F-Series FPGA garapen plakarako
Dokumentuaren bertsioa | Intel Quartus Prime bertsioa | Aldaketak |
2022.11.14 | 22.3 | • Hasierako kaleratzea. |
AN 991: birkonfigurazio partziala konfigurazio pinen bidez (kanpoko ostalariaren) erreferentzia-diseinua: Intel Agilex F-Series FPGA garapen-plaketarako
Ohiko galdera nagusien erantzunak:
- Q Zer da PR konfigurazio pinen bidez?
- A Kanpoko ostalariaren konfigurazioa 3. orrialdean
- Q Zer behar dut erreferentziazko diseinu honetarako?
- A Erreferentzia Diseinuaren Baldintzak 6. orrialdean
- Q Non lor dezaket erreferentziazko diseinua?
- A Erreferentzia Diseinuaren Baldintzak 6. orrialdean
- Q Nola egin dezaket PR kanpoko konfigurazioaren bidez?
- A Erreferentzia Diseinuaren Ibilbidea 6. orrialdean
- Q Zer da PR pertsona bat?
- A Pertsonak zehaztea 11. orrialdean
- Q Nola programatzen dut taula?
- A Programatu taula 17. orrialdean
- Q Zeintzuk dira PR ezagunak diren arazo eta mugak?
- A Intel FPGA laguntza-foroak: PR
- Q PR-ri buruzko prestakuntzarik ba al duzu?
- A Intel FPGA Prestakuntza Teknikoen Katalogoa
Lineako bertsioa Bidali iritzia
- ID: 750856
- Bertsioa: 2022.11.14
Dokumentuak / Baliabideak
![]() |
Intel 750856 Agilex FPGA Garapen Plaka [pdfErabiltzailearen gida 750856, 750857, 750856 Agilex FPGA garapen-plaka, Agilex FPGA garapen-plaka, FPGA garapen-plaka, garapen-plaka, taula |