intel-LOGO

intel 750856 Lijneya Pêşveçûna Agilex FPGA

intel-750856-Agilex-FPGA-Development-Board-PRODUCT

Agahiya hilberê

Ev sêwirana referansê ji bo Desteya Pêşkeftinê ya FPGA ya Intel Agilex F-Series e. Ew Veavakirina Parçeyî Kontrolkerê Vesazkirina Derve ya Intel FPGA IP-yê bikar tîne û xwedan herêmek PR-ya hêsan e. Sazkirina Hardware Hosta Derveyî ya Amûra Intel Agilex ji amûrek derveyî (Alîkar FPGA), DUT FPGA, û sêwirana mêvandarê weya derveyî pêk tê. Sêwirana mêvandar a di cîhaza derveyî de berpirsiyariya mêvandariya pêvajoya PR-ê ye. Pînên PR-ê ji bo girêdana her du cîhazan têne bikar anîn û dikarin bibin I/O-ya her bikarhênerek berdest.

Talîmatên Bikaranîna Product

Veavakirina Host Derve

Ji bo pêkanîna mîhengê mêvandarê derveyî, van gavan bişopînin:

  1. Di cîhazek derveyî de sêwiranek mêvandar biafirînin ku pêvajoya PR-yê mêvandar bike.
  2. Pînên PR-ê ji cîhaza derveyî ve bi Veavakirina Parçeyî ve Kontrolkera Vesazkirina Derve ya Intel FPGA IP-ya DUT FPGA ve girêdin.
  3. Daneyên veavakirinê ji sêwirana mêvandar berbi pêlên pêwendiya guheztinê ya Intel Agilex Avalon-ê ku bi îşaretên destanên PR-ê yên IP-yê re têkildar in bişînin.

Veavakirina Qismî bi Operasyona Pînên Veavakirinê

Rêzeya jêrîn operasyona ji nû veavakirina qismî bi navgîniya pîneyên mîhengê vedibêje:

  1. Pîneya pr_daxwaza ku bi Veavakirina Parçeyî ya Kontrolkerê Vesazkirina Derveyî ya Intel FPGA ve girêdayî ye, bicîh bikin.
  2. IP îşaretek mijûl destnîşan dike ku destnîşan dike ku pêvajoya PR di pêş de ye (vebijarkî).
  3. Ger pergala veavakirinê ji bo operasyonek PR amade ye, pîneya avst_ready tê destnîşan kirin, ku destnîşan dike ku ew amade ye ku daneyan qebûl bike.
  4. Daneyên veavakirina PR-ê li ser pinên avst_data û pîneya avst_valid biherikînin, li pey taybetmendiya weşana Avalon-ê ji bo veguheztina daneyê bi paşperdeya.
  5. Dema ku pîneya avst_ready were rakirin, weşan disekine.
  6. Pîneya avst_ready rakin da ku destnîşan bikin ku ji bo operasyona PR bêtir dane ne hewce ye.
  7. Veavakirina Qismî Kontrolkerê Vesazkirina Derve ya Intel FPGA IP-ê sînyala mijûl vediqetîne da ku dawiya pêvajoyê destnîşan bike (vebijarkî).

Veavakirina Qismî bi rêya Pînên Vesazkirinê (Mêvandarê Derve) Sêwirana Referansê

Vê nîşeya serîlêdanê ji nû ve veavakirina qismî bi pêlên mîhengê (mêvandarê derve) li ser panela pêşkeftinê ya Intel® Agilex® F-Series FPGA nîşan dide.

Reference Design Overview

Taybetmendiya veavakirina qismî (PR) dihêle hûn beşek ji FPGA-ya dînamîkî ji nû ve mîheng bikin, dema ku sêwirana mayî ya FPGA berdewam dike. Hûn dikarin di sêwirana xwe de ji bo herêmek taybetî gelek kesan biafirînin ku bandorê li operasyona li deverên derveyî vê herêmê nakin. Ev metodolojî di pergalên ku gelek fonksiyonên demsalê heman çavkaniyên cîhaza FPGA-yê parve dikin de bandorker e. Guhertoya heyî ya nermalava Intel Quartus® Prime Pro Edition ji bo veavakirina qismî pêvekek berhevokê ya nû û hêsan destnîşan dike. Ev sêwirana referansê ya Intel Agilex, Kontrolkera Vesazkirina Derve ya Veavakirina Parçeyî Intel FPGA IP-yê bikar tîne û xwedan herêmek PR-ya hêsan e.

Amûra Intel Agilex Sazkirina Hardware Hosta Derveyîintel-750856-Agilex-FPGA-Development-Board-FIG-1 (1)

Veavakirina Host Derve

Di veavakirina mêvandarê derveyî de, divê hûn pêşî di cîhazek derveyî de sêwirana mêvandar biafirînin da ku pêvajoya PR-yê mêvandar bike, wekî ku Sazkirina Hardware Hosta Derve ya Intel Agilex destnîşan dike. Sêwirana mêvandar daneyên mîhengê diherikîne pêlên pêwendiya guheztinê ya Intel Agilex Avalon ku bi îşaretên PR-ê yên ku ji Rêvebiriya Veavakirina Derve ya Veavakirina Parçeyî tê Intel FPGA IP-yê tê. Pînên PR-ê yên ku hûn bikar tînin ji bo girêdana her du cîhazan dikarin I/O-ya bikarhênerek berdest bin.

Rêzeya jêrîn ji nû veavakirina qismî bi operasyona pinên vesazkirinê ve diyar dike:

  1. Pêşî pînê pr_request ku bi Veavakirina Parçeyî ya Veavakirina Derve ya Kontrolkera Intel FPGA ve girêdayî ye destnîşan bikin.
  2. IP îşaretek mijûl destnîşan dike ku destnîşan dike ku pêvajoya PR di pêş de ye (vebijarkî).
  3. Ger pergala veavakirinê amade ye ku bikeve operasyonek PR, pînê avst_ready tê destnîşan kirin ku destnîşan dike ku ew amade ye ku daneyan qebûl bike.
  4. Dest pê bikin ku daneya veavakirina PR-ê li ser pinên avst_data û pîneya avst_valid biherikînin, dema ku ji bo veguheztina daneyê bi paşperdeya veguheztina danûstendina Avalon-ê temaşe bikin.
  5. Dema ku pînê avst_ready tê rakirin, weşan disekine.
  6. Piştî weşana hemî daneyên vesazkirinê, pîneya avst_ready tê rakirin da ku destnîşan bike ku ji bo operasyona PR-ê bêtir dane ne hewce ye.
  7. Veavakirina Qismî Kontrolkerê Vesazkirina Derve ya Intel FPGA IP-ê sînyala mijûl vedişêre da ku dawiya pêvajoyê destnîşan bike (vebijarkî).
  8. Hûn dikarin pînên pr_done û pr_error kontrol bikin da ku piştrast bikin ka operasyona PR bi serfirazî qediya. Ger xeletiyek çêbibe, wekî têkçûna di kontrolkirina guhertoyê û kontrolkirina destûrnameyê de, operasyona PR bi dawî dibe.

Information Related

  • Kit Pêşveçûna FPGA ya Intel Agilex F-Series Web Rûpel
  • Intel Agilex F-Series FPGA Pêşveçûna Kit Rêbernameya Bikarhêner
  • Intel Quartus Prime Pro Edition Rêbernameya Bikarhêner: Veavakirina Parçe

Veavakirina qismî Kontrolkera Vesazkirina Derve ya Intel FPGA IP
Kontrolkerê Vesazkirina Derveyî Veavakirina Parçeyî pêdivî ye ku pinên vesazkirinê bikar bîne da ku daneyên PR-ê ji bo xebata PR-ê bişîne. Pêdivî ye ku hûn hemî benderên asta jorîn ên Veavakirina Parçeyî ya Veavakirina Veavakirina Derve ya Kontrolker Intel FPGA IP-yê bi pîneya pr_request ve girêdin da ku destûr bidin destankirina mêvandarê bi rêvebirê cîhaza ewledar (SDM) ji bingehîn. SDM li gorî mîhenga weya MSEL-ê diyar dike ka kîjan celeb pinên mîhengê bikar bînin.

Veavakirina qismî Kontrolkera Vesazkirina Derve ya Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (2)

Veavakirina Qismî Mîhengên Parametreyê Kontrolkerê Vesazkirina Derveyî

Parametre Giranî Terîf
Navbera mijûlî çalak bike Bikêrkirin or

Neçalak bike

Destûrê dide we ku hûn navbeynkariya Busy çalak bikin an neçalak bikin, ku îşaretek destnîşan dike ku destnîşan dike ku pêvajoyek PR-ê di dema veavakirina derveyî de pêşve diçe.

Mîhenga xwerû ye Neçalak bike.

Veavakirina Qismî Portên Kontrolkerê Vesazkirina Derveyî

Navê Port Berî Ber Karkirin
pr_request 1 Beyan Nîşan dide ku pêvajoya PR amade ye ku dest pê bike. Sînyal rêgezek e ku bi ti sînyala demjimêrê re ne hevdem e.
pr_error 2 Karûabr Çewtiyek ji nû veavakirinê ya qismî nîşan dide.:

• 2'b01-çewtiya giştî ya PR

• 2'b11-çewtîya bitstreamê ya nelihev

Van îşaretan rêgezên ku bi tu çavkaniyek demjimêrê re ne hevdem in.

pr_done 1 Karûabr Nîşan dide ku pêvajoya PR qediya. Sînyal rêgezek e ku bi ti sînyala demjimêrê re ne hevdem e.
start_addr 1 Beyan Navnîşana destpêkê ya daneyên PR-ê di Active Serial Flash de diyar dike. Hûn vê sînyalê bi bijartina yekê çalak bikin Avalon®-ST or Seriya Çalak bo Pînên Avalon-ST an Pînên Rêzeya Çalak çalak bikin parametre. Sînyal rêgezek e ku bi ti sînyala demjimêrê re ne hevdem e.
reset 1 Beyan Sînyala vesazkirinê ya hemdem û bilind a çalak.
out_clk 1 Karûabr Çavkaniya demjimêrê ku ji oscilatorek navxweyî çêdibe.
bikar 1 Karûabr IP vê îşaretê destnîşan dike da ku veguheztina daneya PR ya di pêşkeftinê de destnîşan bike. Hûn vê sînyalê bi bijartinê çalak bikin Bikêrkirin bo Têkiliya mijûl çalak bike parametre.

Reference Design Requirements

Bikaranîna vê sêwirana referansê hewceyê jêrîn hewce dike:

  • Sazkirina guhertoya 22.3 ya Intel Quartus Prime Pro Edition bi piştgirî ji bo malbata cîhaza Intel Agilex.
  • Girêdana bi panela pêşkeftinê ya FPGA ya Intel Agilex F-Series li ser rûkê.
  • Daxistina sêwiranê exampli cîhê jêrîn peyda dibe: https://github.com/intel/fpga-partial-reconfig.

Ji bo daxistina sêwirana berêample:

  1. Clone bikirtînin an dakêşînin.
  2. Bikirtînin Daxistina ZIP. Fpga-partial-reconfig-master.zip veke file.
  3. Ji bo ku bigihîjin sêwirana referansê, biçin jêrpeldanka tutorials/agilex_external_pr_configuration.

Reference Design Walkthrough

Pêngavên jêrîn pêkanîna veavakirina qismî bi navgîniya pîneyên mîhengê (mêvandarê derve) li ser panela pêşkeftinê ya Intel Agilex F-Series FPGA vedibêjin:

  • Asta 1ê: Destpêkirin
  • Gav 2: Afirandina Parçeyek sêwiranê
  • Gav 3: Veqetandina Herêmên Cihkirin û Rêvekirinê
  • Gav 4: Zêdekirina IP-ya Kontrolkerê Vesazkirina Derve ya Veavakirina Parçeyî
  • Asta 5ê: Diyarkirina Personas
  • Gav 6: Çêkirina Guhertoyan
  • Asta 7ê: Berhevkirina Guhertoya Bingehê
  • Asta 8ê: Amadekirina Guhertoyên Pêkanîna PR
  • Gav 9: Programming Board

Gav 1: Destpêkirin
Ji bo kopîkirina sêwirana referansê fileli hawîrdora xebata xwe bigerin û sêwirana daîreya blinking_led berhev bikin:

  1. Di hawîrdora xebata xwe de pelrêçek biafirînin, agilex_pcie_devkit_blinking_led_pr.
  2. Dersên dakêşandî/agilex_pcie_devkit_blinking_led/bin-peldanka dakêşan li pelrêça, agilex_pcie_devkit_blinking_led_pr kopî bikin.
  3. Di nermalava Intel Quartus Prime Pro Edition de, bikirtînin File ➤ Projeyê vekin û blinking_led.qpf hilbijêrin.
  4. Ji bo berfirehkirina hiyerarşiya sêwirana daîreyê, pêvajokirin ➤ Destpêk ➤ Destpêkirina Analîz & Sentez bikirtînin. Wekî din, di rêzika fermanê de, emrê jêrîn bimeşînin: quartus_syn blinking_led -c blinking_led

Afirandina Parçeyek sêwiranê

Pêdivî ye ku hûn ji bo her herêmek PR-ê ku hûn dixwazin qismî ji nû ve saz bikin, dabeşên sêwiranê biafirînin. Pêngavên jêrîn ji bo mînaka u_blinking_led dabeşek sêwiranê diafirînin.

Afirandina Parçeyên sêwiranêintel-750856-Agilex-FPGA-Development-Board-FIG-1 (3)

  1. Di Project Navigatorê de mînaka u_blinking_led rast-klîk bike û Dabeşkirina Designê bikirtîne ➤ Veavakirin. Li kêleka her mînakek ku wekî dabeşek hatî danîn îkonek dabeşkirina sêwiranê xuya dike.
  2. Bikirtînin Assignments ➤ Sêwirana Parçeyên Paceyê. Pencere hemî dabeşên sêwiranê yên di projeyê de nîşan dide.
  3. Navê dabeşkirinê di Pencera Dabeşkirina Dîzaynê de bi du-klîkkirina navê biguherînin. Ji bo vê sêwirana referansê, navê dabeşkirinê bi pr_partition biguherînin
    • Not: Dema ku hûn dabeşek diafirînin, nermalava Intel Quartus Prime bixweber navek dabeşkirinê çêdike, li ser bingeha navê nimûne û riya hiyerarşiyê. Ev navê dabeşkirina xwerû dikare bi her nimûneyê re diguhere.
  4. Ji bo hinardekirina herêma statîk a dawîn ji berhevoka guhertoya bingehîn, têketina root_partition ya di Post Final Export de du caran bikirtînin. File stûn, û blinking_led_static binivîsin. gdb.

Di Paceya Dabeşkirina Sêwiranê de Nîşaneya Dawî ya Postê derdixeintel-750856-Agilex-FPGA-Development-Board-FIG-1 (4)Verast bikin ku blinking_led.qsf peywirên jêrîn hene, ku bi dabeşkirina sêwirana weya ji nû ve vesazkirî re têkildar in:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (5)

Information Related
Rêbernameya Bikarhêner a Intel Quartus Prime Pro Edition "Partisyonên sêwiranê biafirînin": Veavakirina parçeyî

Ji bo Parvekirinek PR Herêma Cihkirin û Rêvekirinê veqetandin
Ji bo her guhertoya bingehîn a ku hûn diafirînin, herikîna sêwirana PR-ya bingehîn ya kesane ya têkildar li herêma dabeşkirina PR-ya we cîh dike. Ji bo guhertoya bingehîna xwe di plansaziya qata cîhazê de devera PR-yê bi cih bikin û destnîşan bikin:

  1. Di Project Navigatorê de mînaka u_blinking_led rast bikirtînin û bikirtînin Herêma Logic Logic ➤ Create New Logic Lock Region. Herêm li ser Pencera Herêmên Logic Logic xuya dibe.
  2. Divê herêma cîhê we mantiqa blinking_led vegire. Di Chip Planner de cîhê girêk bi cîh bikin, herêma danînê hilbijêrin. Di pencereya Herêmên Logic Logicê de li ser navê herêmê u_blinking_led rast-klîk bikin û bikirtînin

Cihê Nodê ➤ Di Chip Planner de cih bigire. Herêma u_blinking_led bi rengê kodkirî ye

Chip Planner Node Cihê ji bo blinking_ledintel-750856-Agilex-FPGA-Development-Board-FIG-1 (6)

  1. Di pencereya Herêmên Logic Logic de, di stûna Origin de hevrêzên herêma danînê diyar bikin. Bi eslê xwe bi quncika jêrîn-çepê ya herêmê re têkildar e. Ji bo example, ji bo danîna herêmek danînê bi hevrêzên (X1 Y1) wekî (163 4), Origin wekî X163_Y4 diyar bikin. Nermalava Intel Quartus Prime bixweber hevrêzên (X2 Y2) (jor-rast) ji bo devera danînê, li ser bingeha bilindî û firehiya ku hûn diyar dikin, hesab dike.
    • Not: Ev tutorial hevrêzên (X1 Y1) bikar tîne - (163 4), û bilindahî û firehiya 20 ji bo devera danînê. Ji bo herêma danînê her nirxek diyar bikin. Piştrast bikin ku herêm mantiqa blinking_led vedigire.
  2. Vebijarkên Reserved û Core-Only çalak bikin.
  3. Vebijarka Herêma Rêvekirinê du caran bikirtînin. Qutiya diyalogê ya Mîhengên Rêvekirina Herêmê ya Logic Lock xuya dibe.
  4. Ji bo celebê Rêwîtkirinê Bi berfirehbûnê rastkirî hilbijêrin. Hilbijartina vê vebijarkê bixweber dirêjahiyek berfirehkirina 2 destnîşan dike.
    • Not: Pêdivî ye ku devera rêvekirinê ji devera danînê mezintir be, da ku nermbûnek zêde ji bo Fitter peyda bike dema ku motor kesayetên cihêreng rêve dike.

Paceya Herêmên Logic Logicintel-750856-Agilex-FPGA-Development-Board-FIG-1 (7)Verast bikin ku blinking_led.qsf peywirên jêrîn hene, ku bi plansaziya weya erdê re têkildar in:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (8)intel-750856-Agilex-FPGA-Development-Board-FIG-1 (9)

Information Related
"Floorplan Sêwirana Veavakirina Parçeyî" di Intel Quartus Prime Pro Edition Rêbernameya Bikarhêner: Veavakirina Parçeyî

Zêdekirina Veavakirina Parçeyî Kontrolkerê Vesazkirina Derve ya Intel FPGA IP
Veavakirina Parçeyî Kontrolkerê Vesazkirina Derve ya Intel FPGA bi bloka kontrolê ya Intel Agilex PR re têkildar dibe da ku çavkaniya bitstreamê birêve bibe. Pêdivî ye ku hûn vê IP-ê li sêwirana xwe zêde bikin da ku veavakirina derveyî bicîh bikin. Van gavan bişopînin da ku Kontrolkera Vesazkirina Derve ya Veavakirina Parçeyî lê zêde bikin
Intel FPGA IP ji bo projeya we:

  1. Di qada lêgerînê ya Kataloga IP-yê de Veavakirina Qismî binivîsin (Amûr ➤ Kataloga IP).
  2. Du caran bikirtînin Veavakirina Qismî Kontrolkera Vesazkirina Derve ya Intel FPGA IP.
  3. Di qutiya diyalogê ya Guhertoya IP-ê de biafirîne, wekî navnîşa external_host_pr_ip binivîsin File nav, û paşê bikirtînin Create. Edîtorê parametreyê xuya dike.
  4. Ji bo pîvana navbeynkariya mijûlî çalak bike, Neçalak bike (mîhenga xwerû). Dema ku hûn hewce ne ku vê nîşanê bikar bînin, hûn dikarin mîhengê veguherînin Çalak.

Di Edîtorê Parametreyê de Parametreya Navbera Busy Çalak bikeintel-750856-Agilex-FPGA-Development-Board-FIG-1 (10)

  1. Bikirtînin File ➤ Bêyî hilberandina pergalê, edîtorê parametreyê hilînin û derkevin. Edîtorê parametreyê guhertoya IP-ya external_host_pr_ip.ip çêdike file û lê zêde dike file ji bo projeya blinking_led. AN 991: Veavakirina parçeyî bi rêya Pînên Veavakirinê (Mêvandarê Derve) Sêwirana referansê 750856 | 2022.11.14 AN 991:
    • Not:
    • a. Heke hûn external_host_pr_ip.ip-ê kopî dikin file ji pelrêça pr, bi destan blinking_led.qsf biguherîne file rêzika jêrîn tê de hebe: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ bicîh bikinFILE peywira piştî SDC_FILE wezîfedarkirin (blinking_led. dc) li blinking_led.qsf te file. Vê fermanê astengkirina guncav a bingeha IP-ya Kontrolkerê Veavakirina Parçeyî misoger dike.
    • Not: Ji bo tespîtkirina demjimêran, .sdc file ji bo IP-ya PR divê her .sdc ku demjimêrên ku IP-ya bingehîn bikar tîne diafirîne bişopîne. Hûn vê fermanê bi piştrastkirina ku .ip hêsan dikin file ji bo PR core IP piştî her .ip xuya files an .sdc files ku hûn bikar tînin ji bo danasîna van demjimêran di .qsf de file ji bo guhertoya projeya weya Intel Quartus Prime. Ji bo bêtir agahdarî, serî li Rêbernameya Bikarhênerê Çareseriyên IP-ya Veavakirina Parçeyî bidin.

Nûvekirina sêwirana asta jorîn

Ji bo nûvekirina top.sv file bi mînaka PR_IP:

  1. Ji bo ku mînaka external_host_pr_ip li sêwirana asta jorîn zêde bikin, blokên kodê yên jêrîn di top.sv de şîrove bikin file:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (11)

Diyarkirina Personas
Ev sêwirana referansê sê kesayetên cihêreng ji bo dabeşkirina yekane ya PR diyar dike. Ji bo ku di projeya xwe de kesayetan diyar bikin û bi nav bikin:

  1. Sê SystemVerilog biafirînin files, blinking_led.sv, blinking_led_slow.sv, û blinking_led_empty.sv di pelrêça weya xebatê de ji bo sê kesan.

Reference Design Personasintel-750856-Agilex-FPGA-Development-Board-FIG-1 (12) intel-750856-Agilex-FPGA-Development-Board-FIG-1 (13)

Not:

  • blinking_led.sv jixwe wekî beşek ji heye files hûn ji pelrêça daîre/binerxetê kopî dikin. Hûn dikarin tenê vê yekê ji nû ve bikar bînin file.
  • Ger hûn SystemVerilog biafirînin files ji Edîtorê Nivîsê yê Intel Quartus Prime, lêzêdekirinê neçalak bike file vebijarka projeya heyî, dema hilanînê files.

Çêkirina Guhertoyan

Herikîna sêwirana PR taybetmendiya guhertoya projeyê di nermalava Intel Quartus Prime de bikar tîne. Sêwirana weya destpêkê guhertoya bingehîn e, ku hûn li ser FPGA sînorên herêma statîk û herêmên vesazkirî diyar dikin. Ji guhertoya bingehîn, hûn gelek guhertoyan diafirînin. Di van guhertoyan de pêkanînên cihêreng ên ji bo herêmên PR hene. Lêbelê, hemî guhertoyên pêkanîna PR-ê heman cîh û encamên rêvekirinê yên ji guhertoya bingehîn bikar tînin. Ji bo berhevkirina sêwirana PR-ê, divê hûn ji bo her kesan guhertoyek pêkanîna PR-ê biafirînin. Digel vê yekê, divê hûn ji bo her guhertoyan cûreyên guheztinê destnîşan bikin. Cureyên guhertoyên berdest ev in:

  • Veavakirina Qismî - Bingeh
  • Veavakirina Parçeyî - Pêkanîna Persona

Tabloya jêrîn navê revîzyonê û celebê guhertoya her yek ji guhertoyan destnîşan dike:

Nav û Cureyên Guhertoyê

Navê Guhertoya Cureyê Guhertoya
blinking_led.qsf Veavakirina Qismî - Bingeh
blinking_led_default.qsf Veavakirina Parçeyî - Pêkanîna Persona
blinking_led_slow.qsf Veavakirina Parçeyî - Pêkanîna Persona
blinking_led_empty.qsf Veavakirina Parçeyî - Pêkanîna Persona

Sazkirina Tîpa Guhertoya Bingehîn

  1. Proje ➤ Guhertoyan bikirtînin.
  2. Di Navê Guhertoyê de, guhertoya blinking_led hilbijêrin, û dûv re bikirtînin Set Current.
  3. Serlêdan bikirtînin. Guhertoya blinking_led wekî guhertoya heyî nîşan dide.
  4. Ji bo danîna Tîpa Guhertoya ji bo blinking_led, bikirtînin Assignments ➤ Settings ➤ General.
  5. Ji bo Tîpa Guhertoyê, Veavakirina Parçe - Bingeh hilbijêrin, û dûv re OK bikirtînin.
  6. Verast bikin ku blinking_led.qsf niha peywira jêrîn dihewîne: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

Çêkirina Guhertoyên Pêkanînê

  1. Ji bo vekirina qutiya diyalogê ya Guhertoyan, Proje ➤ Guhertoyên bikirtînin.
  2. Ji bo afirandina guhertoyek nû, du caran bikirtînin < >.
  3. Di navê Guhertoyê de, blinking_led_default destnîşan bikin û blinking_led ji bo Li gorî revîzyonê hilbijêrin.
  4. Ji bo celebê Guhertoyê, Veavakirina Parçe - PersonaImplementation hilbijêrin.

Çêkirina Guhertoyanintel-750856-Agilex-FPGA-Development-Board-FIG-1 (14)

  1. Bi heman rengî, ji bo guhertoyên blinking_led_slow û blinking_led_empty cureyê Guhertoyê saz bikin.
  2. Verast bike ku her .qsf file niha peywira jêrîn dihewîne: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led ku, place_holder navê saziya xwerû ye ji bo guhertoya pêkanîna PR ya nû hatî afirandin.

Guhertoyên Projeyêintel-750856-Agilex-FPGA-Development-Board-FIG-1 (16)

Berhevkirina Guhertoya Bingehê

  1. Ji bo berhevkirina guhertoya bingehîn, Pêvajoyê bikirtînin ➤ Berhevkirinê bidin destpêkirin. Wekî din, fermana jêrîn guhertoya bingehîn berhev dike: quartus_sh –flow compile blinking_led -c blinking_led
  2. Bitstreamê kontrol bikin fileyên ku di encam_ de çêdibinfiles directory.

Çê kirin Files

Nav Awa Terîf
blinking_led.sof Bernamekirina bingehîn file Ji bo veavakirina bingeha tevahî-çîp tê bikar anîn
blinking_led.pr_partition.rbf PR bitstream file ji bo kesayetiya bingehîn Ji bo veavakirina qismî ya kesayeta bingehîn tê bikar anîn.
blinking_led_static.qdb .qdb database file Database qedandî file ji bo îtxalkirina herêma statîk tê bikaranîn.

Information Related

  • "Floorplan Sêwirana Veavakirina Parçeyî" di Intel Quartus Prime Pro Edition Rêbernameya Bikarhêner: Veavakirina Parçeyî
  • Rêbernameya Bikarhêner a Intel Quartus Prime Pro Edition "Sepandina Destûrên Plansaziya Qatê Zêdeyî": Veavakirina Parçe

Amadekirina Guhertoyên Pêkanîna PR
Berî ku hûn ji bo bernameya amûrê bitstream PR-ê berhev bikin û çêbikin, divê hûn guheztinên pêkanîna PR amade bikin. Di vê sazkirinê de zêdekirina herêma statîk .qdb heye file wek çavkanî file ji bo her revîzyonek pêkanînê. Wekî din, divê hûn saziya têkildar a herêma PR-ê diyar bikin.

  1. Ji bo danîna guhertoya heyî, Project ➤ Guhertoyên bikirtînin, blinking_led_default wekî navê Guhertoyê hilbijêrin, û paşê bikirtînin Set Current.
  2. Ji bo verastkirina çavkaniya rast ji bo her guhertoya pêkanînê, Proje ➤ Zêde / Rake bikirtînin Files di Projeyê de. The blinking_led.sv file de xuya dike file rêzkirin.

Files Pageintel-750856-Agilex-FPGA-Development-Board-FIG-1 (17)

  1. Pêngavên 1 heta 2 dubare bikin da ku çavkaniya guhertoya bicîhkirinê ya din verast bikin files:
Pêkanîna Guhertoya Navê Kanî File
blinking_led_default blinking_led.sv
blinking_led_empty blinking_led_empty.sv
blinking_led_slow blinking_led_slow.sv
  1. Ji bo verastkirina .qdb file bi dabeşkirina root ve girêdayî ye, bikirtînin Assignments ➤ Paceya Partitions Design. Piştrast bike ku Database Partition File blinking_led_static.qdb diyar dike file, an jî du caran bikirtînin Daneya Parvekirinê File hucreya ku vê diyar bike file. Wekî din, fermana jêrîn vê yekê destnîşan dike file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. Di hucreya Entity Re-binding de, navê sazûmanek her dabeşkirina PR-ê ya ku hûn di guhertoya bicîhkirinê de diguhezînin diyar bikin. Ji bo guhertoya pêkanîna blinking_led_default, navê saziyê blinking_led e. Di vê tutoriyê de, hûn mînaka u_blinking_led ji berhevoka guhertoya bingehîn bi saziya nû ya blinking_led re dinivîsin.

Not: Peywirek ji nû ve girêdana saziyek cîhgir bixweber li guhertoya bicîhkirinê tê zêdekirin. Lêbelê, divê hûn di peywirê de navê saziyek xwerû biguhezînin navek saziyek guncan ji bo sêwirana xwe.

Pêkanîna Guhertoya Navê Entity Re-binding
blinking_led_default blinking_led
blinking_led_slow blinking_led_slow
blinking_led_empty blinking_led_empty

Entity Rebindingintel-750856-Agilex-FPGA-Development-Board-FIG-1 (18)

  1. Ji bo berhevkirina sêwiranê, bikirtînin Processing ➤ Destpêkirina Berhevkirinê. Wekî din, fermana jêrîn vê projeyê berhev dike: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. Pêngavên jorîn dubare bikin da ku guhertoyên blinking_led_slow û blinking_led_vala amade bikin: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

Not: Hûn dikarin mîhengên taybetî yên Fitter-ê yên ku hûn dixwazin di dema berhevkirina pêkanîna PR-ê de bicîh bikin diyar bikin. Mîhengên taybetî yên guncan tenê bandorê li serhevhatina kesayetê dikin, bêyî ku bandorê li herêma statîk a îthal bike.

Programming Board
Ev tutorial panelek pêşkeftinê ya Intel Agilex F-Series FPGA li ser rûkê, li derveyî hêlîna PCIe* di makîneya weya mêvandar de bikar tîne. Berî ku hûn panelê bername bikin, pê ewle bin ku we gavên jêrîn qedandine:

  1. Pêşkêşkirina hêzê bi panela pêşkeftinê ya Intel Agilex F-Series FPGA ve girêdin.
  2. Kabloya Daxistinê ya Intel FPGA di navbera porta USB ya PC-ya xwe û porta Kabloya Daxistinê ya Intel FPGA ya li ser panela pêşkeftinê ve girêdin.

Ji bo ku sêwiranê li ser panela pêşkeftinê ya Intel Agilex F-Series FPGA bimeşîne:

  1. Nermalava Intel Quartus Prime vekin û bikirtînin Tools ➤ Programmer.
  2. Di Bernameçêkerê de, Setup Hardware bikirtînin û USB-Blaster hilbijêrin.
  3. Vebijêrk Auto bikirtînin û amûrê, AGFB014R24AR0 hilbijêrin.
  4. OK bitikîne. Nermalava Intel Quartus Prime bi sê cîhazên FPGA yên li ser panelê Bernameçêker nas dike û nûve dike.
  5. Amûra AGFB014R24AR0 hilbijêrin, bikirtînin Guhertin File û blinking_led_default.sof bar bike file.
  6. Ji bo blinking_led_default.sof Bername/Vesazkirin çalak bike file.
  7. Destpêk bikirtînin û li bendê bin ku barê pêşkeftinê bigihîje 100%.
  8. Binêrin ku LED-yên li ser panelê bi heman frekansa sêwirana daîrê ya orîjînal dibiriqin.
  9. Ji bo ku tenê herêma PR bername bike, li blinking_led_default.sof rast-klîk bike file di Bernameçêkerê de bikirtînin û Bernamesaziya PR bikirtînin File.
  10. Blinking_led_slow.pr_partition.rbf hilbijêre file.
  11. Ji bo blinking_led_default.sof Bername/Vesazkirin neçalak bike file.
  12. Ji bo blinking_led_slow.pr_partition.rbf Bername/Vesazkirin çalak bike file û Destpêk bikirtînin. Li ser panelê, LED[0] û LED[1] berdewam bibiriqînin. Dema ku barê pêşkeftinê digihîje 100%, LED[2] û LED[3] hêdî hêdî diqelişe.
  13. Ji bo ji nû ve programkirina herêma PR, .rbf-ya rastê bikirtînin file di Bernameçêkerê de û bikirtînin Change Programing PR File.
  14. Hilbijêre .rbf files ji bo her du kesên din ku tevgerê li ser panelê bişopînin. Blinking_led_default.rbf bar dike file dibe sedem ku LED di frekansek taybetî de bibiriqe, û blinking_led_empty.rbf bar dike file dibe sedem ku LEDs ONAK bimînin.

Bernamekirina Lijneya Pêşveçûna FPGA ya Intel Agilex F-Seriesintel-750856-Agilex-FPGA-Development-Board-FIG-1 (19)Hardware Testing Flow

Rêzên jêrîn herikîna ceribandina hardware ya sêwirana referansê diyar dikin.
Amûra Intel Agilex Sazkirina Hardware Hosta Derveyîintel-750856-Agilex-FPGA-Development-Board-FIG-1 (20)

Alîkariya FPGA (Mêvandarê Derve) bername bike
Rêzeya jêrîn bernamekirina alîkarê FPGA-yê ku wekî mêvandarê derveyî pêvajoya PR-ê dixebite vedibêje:

  1. Mîhenga navbeynkariya weşana Avalon-ê ku bi moda ku hûn hilbijartî re têkildar e (x8, x16, an x32) diyar bikin.
  2. Destpêkirina platformê bi bernamekirina FPGA-ya arîkar bi karanîna Bernamesazê Intel Quartus Prime û kabloya veavakirinê ve girêdayî ye.
  3. Bi karanîna FPGA arîkar, îşaretên CONF_DONE û AVST_READY bixwînin. Divê CONF_DONE 0 be, AVST_READY divê 1 be. Mantiqa bilind a li ser vê pînê nîşan dide ku SDM amade ye ku daneyan ji mêvandarek derveyî qebûl bike. Ev encam beşek ji SDM I/O ye.

Not: Pîneya CONF_DONE nîşan dide mêvandarek derveyî ku veguheztina bitstream serketî ye. Van îşaretan tenê ji bo şopandina pêvajoya veavakirina çîpê ya tevahî bikar bînin. Ji bo bêtir agahdarî li ser vê pinê serî li Rêbernameya Bikarhêner Vesazkirina Intel Agilex bidin.

DUT FPGA bi Çîpa Tev SOF-ê bi Mêvandarê Derve re bername bike Rêzeya jêrîn bernamekirina DUT FPGA bi çîpa tevahî SRAM Tiştê vedibêje. File (.sof) bi karanîna navbeynkariya weşanê ya mêvandar Avalon:

  1. Bitstream-a tevahî ya çîpê di bîra derveyî DDR4 ya alîkarê FPGA (mêvandarê derve) de binivîsin.
  2. DUT FPGA bi çîpê tam .sof bi karanîna navrûya streaming Avalon (x8, x16, x32) veava bike.
  3. Nîşaneyên veavakirina statûya DUT FPGA bixwînin. CONF_DONE divê 1 be, AVST_READY divê 0 be.

Specifications Demjimêr: Veavakirina Parçeyî Kontrolkerê Derve yê Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (21)

DUT FPGA bi Personaliya Yekem re bi Mêvandarê Derve re bername bikin

  1. Di DUT FPGA de cemidandinê li ser herêma PR-ya mebestê bicîh bikin.
  2. Bi karanîna Konsola Pergalê ya Intel Quartus Prime, pr_request bipejirînin ku ji nû ve veavakirina qismî dest pê bike. AVST_READY divê 1 be.
  3. Yekem bitstreama kesane ya PR-ê di bîra derveyî DDR4 ya alîkarê FPGA (mêvandarê derve) de binivîsin.
  4. Bi karanîna navbeynkariya weşana Avalon (x8, x16, x32), DUT FPGA-ya bi bitstream-a yekem a kesane ji nû ve saz bikin.
  5. Ji bo şopandina rewşa PR, bikirtînin Amûr ➤ Konsola Pergalê da ku Konsola Pergalê bidin destpêkirin. Di Konsola Pergalê de, rewşa PR bişopînin:
    • pr_error 2 ye - veavakirin di pêvajoyê de ye.
    • pr_error 3 e — veavakirin qediya.
  6. Di DUT FPGA de li ser herêma PR-ê neqelandinê bicîh bikin.

Not: Ger di dema xebata PR de xeletiyek çêbibe, wek mînak têkçûna di kontrolkirina guhertoyê an kontrolkirina destûrnameyê de, operasyona PR bi dawî dibe.

Information Related

  • Rêbernameya Bikarhêner Veavakirina Intel Agilex
  • Rêbernameya Bikarhêner a Intel Quartus Prime Pro Edition: Amûrên Debug

Dîroka Guhertoya Belgeyê ji bo AN 991: Veavakirina parçeyî bi rêya Pînên Vesazkirinê (Mêvandarê Derve) Sêwirana referansê ji bo Lijneya Pêşkeftinê ya FPGA ya Intel Agilex F-Series

Guhertoya Belgeyê Guhertoya Serokwezîrê Intel Quartus Changes
2022.11.14 22.3 • serbestberdana destpêkê.

AN 991: Veavakirina qismî bi navgîniya Pînên Vesazkirinê (Mêvandarê Derve) Sêwirana Referansê: ji bo Lijneya Pêşkeftinê ya FPGA ya Intel Agilex F-Series

Bersivên Pirsiyarên Serûpel:

  • Q PR bi pêlên mîhengê çi ye?
  • A Veavakirina Hostê Derveyî li ser rûpela 3
  • Q Ez ji bo vê sêwirana referansê çi hewce dikim?
  • A Pêdiviyên sêwirana referansê li ser rûpela 6
  • Q Ez dikarim sêwirana referansê li ku bistînim?
  • A Pêdiviyên sêwirana referansê li ser rûpela 6
  • Q Ez çawa dikarim PR-ê bi konfigurasyona derveyî bikim?
  • A Referans Design Walkthrough li ser rûpela 6
  • Q Kesayetek PR çi ye?
  • A Diyarkirina Personas li ser rûpela 11
  • Q Ez çawa panelê bername bikim?
  • A Lijneyê li ser rûpela 17 bername bike
  • Q Pirsgirêk û tixûbên naskirî yên PR çi ne?
  • A Forumên Piştgiriya Intel FPGA: PR
  • Q Perwerdehiya we li ser PR heye?
  • A Kataloga Perwerdehiya Teknîkî ya Intel FPGA

Guhertoya Serhêl Send Feedback

  • Nasname: 750856
  • Awa: 2022.11.14

Belge / Çavkanî

Lijneya Pêşveçûna FPGA ya Agilex a intel 750856 [pdf] Rehbera bikaranînê
750856, 750857, 750856 Lijneya Pêşkeftinê ya Agilex FPGA, Lijneya Pêşkeftinê ya Agilex FPGA, Desteya Pêşkeftinê ya FPGA, Desteya Pêşkeftinê, Lijne

Çavkanî

Bihêle şîroveyek

Navnîşana e-nameya we nayê weşandin. Zeviyên pêwîst têne nîşankirin *