intel 750856 Agilex FPGA әзірлеу тақтасы
Өнім туралы ақпарат
Бұл анықтамалық дизайн Intel Agilex F-Series FPGA әзірлеу тақтасына арналған. Ол ішінара қайта конфигурациялаудың сыртқы конфигурация контроллерін Intel FPGA IP пайдаланады және қарапайым PR аймағына ие. Intel Agilex Device External Host Hardware Setup бағдарламасы сыртқы құрылғыдан (Helper FPGA), DUT FPGA және сыртқы хост дизайнынан тұрады. Сыртқы құрылғыдағы хост дизайны PR процесін орналастыруға жауап береді. PR түйреуіштері екі құрылғыны қосу үшін пайдаланылады және кез келген қолжетімді пайдаланушы енгізу/шығарулары болуы мүмкін.
Өнімді пайдалану нұсқаулары
Сыртқы хост конфигурациясы
Сыртқы хост конфигурациясын орындау үшін мына қадамдарды орындаңыз:
- PR процесін орналастыру үшін сыртқы құрылғыда хост дизайнын жасаңыз.
- Сыртқы құрылғыдан PR түйреуіштерін DUT FPGA ішіндегі ішінара қайта конфигурациялау сыртқы конфигурация контроллеріне Intel FPGA IP қосыңыз.
- Конфигурация деректерін хост дизайнынан IP мекенжайынан PR қол алысу сигналдарына сәйкес келетін Intel Agilex Avalon ағынды интерфейс түйреуіштеріне жіберіңіз.
Конфигурация түйреуіштерінің жұмысы арқылы ішінара қайта конфигурациялау
Келесі реттілік конфигурация түйреуіштері арқылы ішінара қайта конфигурациялау жұмысын сипаттайды:
- Ішінара қайта конфигурациялау сыртқы конфигурация контроллеріне Intel FPGA IP жалғанған pr_request істікшесін бекітіңіз.
- IP PR процесінің орындалып жатқанын көрсету үшін бос емес сигналды бекітеді (қосымша).
- Егер конфигурация жүйесі PR операциясына дайын болса, avst_ready пин коды бекітіледі, бұл оның деректерді қабылдауға дайын екенін көрсетеді.
- PR конфигурациясының деректерін кері қысыммен деректерді тасымалдауға арналған Avalon ағынының спецификациясына сәйкес avst_data түйреуіштері және avst_valid пин арқылы ағынмен жіберіңіз.
- avst_ready түйреуіш расталмаған кезде ағын тоқтайды.
- PR әрекеті үшін артық деректер қажет емес екенін көрсету үшін avst_ready істікшесін бекітіңіз.
- Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллері Intel FPGA IP процесінің аяқталуын көрсету үшін бос емес сигналды растайды (қосымша).
Конфигурация түйреуіштері (сыртқы хост) анықтамалық дизайны арқылы ішінара қайта конфигурациялау
Бұл қолданба жазбасы Intel® Agilex® F-Series FPGA әзірлеу тақтасындағы конфигурация түйреуіштері (сыртқы хост) арқылы ішінара қайта конфигурациялауды көрсетеді.
Анықтамалық дизайн аяқталдыview
Ішінара қайта конфигурациялау (PR) мүмкіндігі FPGA бөлігін динамикалық түрде қайта конфигурациялауға мүмкіндік береді, ал қалған FPGA дизайны жұмысын жалғастырады. Жобаңызда осы аймақтан тыс аумақтардағы жұмысқа әсер етпейтін белгілі бір аймақ үшін бірнеше тұлғаларды жасай аласыз. Бұл әдістеме бірнеше функциялар бірдей FPGA құрылғы ресурстарын уақытты бөлісетін жүйелерде тиімді. Intel Quartus® Prime Pro Edition бағдарламалық құралының ағымдағы нұсқасы ішінара қайта конфигурациялау үшін жаңа және жеңілдетілген жинақ ағынын ұсынады. Бұл Intel Agilex анықтамалық дизайны ішінара қайта конфигурациялаудың сыртқы конфигурация контроллерін Intel FPGA IP пайдаланады және қарапайым PR аймағына ие.
Intel Agilex құрылғысының сыртқы хост аппараттық құралын орнату
Сыртқы хост конфигурациясы
Сыртқы хост конфигурациясында алдымен Intel Agilex құрылғысының сыртқы хост аппараттық құралын орнатуы көрсеткендей, PR процесін орналастыру үшін сыртқы құрылғыда хост дизайнын жасау керек. Хост дизайны конфигурация деректерін Intel Agilex Avalon ағындық интерфейс түйреуіштеріне жібереді, олар жартылай қайта конфигурациялаудың сыртқы конфигурация контроллері Intel FPGA IP арқылы келетін PR қол алысу сигналдарына сәйкес келеді. Екі құрылғыны қосу үшін пайдаланылатын PR түйреуіштер кез келген қолжетімді пайдаланушы енгізу/шығарулары болуы мүмкін.
Келесі реттілік конфигурация түйреуіштері арқылы ішінара қайта конфигурациялауды сипаттайды:
- Алдымен ішінара қайта конфигурациялау сыртқы конфигурация контроллеріне Intel FPGA IP қосылған pr_request істікшесін бекітіңіз.
- IP PR процесінің орындалып жатқанын көрсету үшін бос емес сигналды бекітеді (қосымша).
- Егер конфигурация жүйесі PR операциясынан өтуге дайын болса, avst_ready пин оның деректерді қабылдауға дайын екенін көрсететін бекітіледі.
- Кері қысыммен деректерді тасымалдауға арналған Avalon ағынының спецификациясын сақтай отырып, PR конфигурация деректерін avst_data істіктері мен avst_valid пин арқылы ағынмен жіберуді бастаңыз.
- avst_ready түйреуіш расталған сайын ағын тоқтатылады.
- Барлық конфигурация деректерін ағынмен жібергеннен кейін, avst_ready пин PR операциясы үшін артық деректер қажет емес екенін көрсету үшін расталады.
- Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллері Intel FPGA IP процесінің аяқталуын көрсету үшін бос емес сигналды береді (қосымша).
- PR операциясының сәтті аяқталғанын растау үшін pr_done және pr_error түйреуіштерін тексеруге болады. Нұсқаны тексеру және авторизацияны тексеру сияқты қате орын алса, PR әрекеті тоқтатылады.
Қатысты ақпарат
- Intel Agilex F-Series FPGA әзірлеу жинағы Web Бет
- Intel Agilex F-Series FPGA әзірлеу жинағы пайдаланушы нұсқаулығы
- Intel Quartus Prime Pro Edition пайдаланушы нұсқаулығы: ішінара қайта конфигурациялау
Ішінара қайта конфигурациялау Сыртқы конфигурация контроллері Intel FPGA IP
Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллері PR жұмысы үшін PR деректерін ағынмен жіберу үшін конфигурация түйреуіштерін пайдалану үшін қажет. Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллерінің Intel FPGA IP барлық жоғарғы деңгейлі порттарын негізгіден қауіпсіз құрылғы менеджерімен (SDM) хосттың қол алысуына мүмкіндік беру үшін pr_request істікшесіне қосу керек. SDM MSEL параметріне сәйкес конфигурация түйреуіштерінің қандай түрлерін пайдалану керектігін анықтайды.
Ішінара қайта конфигурациялау Сыртқы конфигурация контроллері Intel FPGA IP
Ішінара қайта конфигурациялау Сыртқы конфигурация контроллерінің параметр параметрлері
Параметр | Мән | Сипаттама |
Бос емес интерфейсті қосыңыз | Қосу or
Өшіру |
Сыртқы конфигурация кезінде PR өңдеу орындалып жатқанын көрсететін сигналды бекітетін бос емес интерфейсті қосуға немесе өшіруге мүмкіндік береді.
Әдепкі параметр Өшіру. |
Ішінара қайта конфигурациялау Сыртқы конфигурация контроллері порттары
Порт атауы | Ені | Бағыт | Функция |
pr_quest | 1 | Енгізу | PR процесінің бастауға дайын екенін көрсетеді. Сигнал кез келген тактілік сигналға синхронды емес өткізгіш болып табылады. |
pr_error | 2 | Шығару | Ішінара қайта конфигурациялау қатесін көрсетеді.:
• 2'b01—жалпы PR қатесі • 2'b11 — үйлесімсіз биттік қате Бұл сигналдар кез келген сағат көзіне синхронды емес өткізгіштер болып табылады. |
орындалды | 1 | Шығару | PR процесінің аяқталғанын көрсетеді. Сигнал кез келген тактілік сигналға синхронды емес өткізгіш болып табылады. |
бастау_адр | 1 | Енгізу | Active Serial Flash ішіндегі PR деректерінің бастапқы мекенжайын көрсетеді. Бұл сигналды біреуін таңдау арқылы қосасыз Авалон®-СТ or Белсенді серия үшін Avalon-ST түйреуіштерін немесе белсенді сериялық түйреуіштерді қосыңыз параметр. Сигнал кез келген тактілік сигналға синхронды емес өткізгіш болып табылады. |
қалпына келтіру | 1 | Енгізу | Белсенді жоғары, синхронды қалпына келтіру сигналы. |
out_clk | 1 | Шығару | Ішкі осциллятордан туындайтын сағат көзі. |
бос емес | 1 | Шығару | IP бұл сигналды PR деректерін тасымалдау орындалып жатқанын көрсету үшін бекітеді. Таңдау арқылы бұл сигналды қосасыз Қосу үшін Бос емес интерфейсті қосыңыз параметр. |
Анықтамалық дизайнға қойылатын талаптар
Бұл анықтамалық дизайнды пайдалану мыналарды талап етеді:
- Intel Agilex құрылғылар тобына қолдау көрсететін Intel Quartus Prime Pro Edition 22.3 нұсқасын орнату.
- Орындықтағы Intel Agilex F-Series FPGA әзірлеу тақтасына қосылу.
- Дизайнды жүктеп алу бұрынғыampкелесі жерде қол жетімді: https://github.com/intel/fpga-partial-reconfig.
Дизайнды жүктеп алу үшін эксampле:
- Клондау немесе жүктеп алу түймесін басыңыз.
- ZIP жүктеп алу түймесін басыңыз. fpga-partial-reconfig-master.zip файлын ашыңыз file.
- Анықтамалық дизайнға қол жеткізу үшін оқулықтар/agilex_external_pr_configuration ішкі қалтасына өтіңіз.
Анықтамалық дизайнды шолу
Келесі қадамдар Intel Agilex F-Series FPGA әзірлеу тақтасындағы конфигурация түйреуіштері (сыртқы хост) арқылы ішінара қайта конфигурациялауды жүзеге асыруды сипаттайды:
- 1-қадам: Бастау
- 2-қадам: Дизайн бөлімін құру
- 3-қадам: Орналастыру және бағыттау аймақтарын бөлу
- 4-қадам: Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллерінің IP мекенжайын қосу
- 5-қадам: Тұлғаларды анықтау
- 6-қадам: Түзетулер жасау
- 7-қадам: Негізгі түзетуді құрастыру
- 8-қадам: PR енгізуді қайта қарауды дайындау
- 9-қадам: Басқарманы бағдарламалау
1-қадам: Жұмысты бастау
Анықтамалық дизайнды көшіру үшін files жұмыс ортаңызға орнатып, blinking_led flat дизайнын құрастырыңыз:
- Жұмыс ортаңызда каталог жасаңыз, agilex_pcie_devkit_blinking_led_pr.
- Жүктеп алынған оқулықтарды/agilex_pcie_devkit_blinking_led/flat ішкі қалтасын agilex_pcie_devkit_blinking_led_pr каталогына көшіріңіз.
- Intel Quartus Prime Pro Edition бағдарламалық құралында түймесін басыңыз File ➤ Жобаны ашып, blinking_led.qpf таңдаңыз.
- Тегіс дизайнның иерархиясын өңдеу үшін Өңдеу ➤ Бастау ➤ Талдау мен синтезді бастау түймешігін басыңыз. Немесе пәрмен жолында келесі пәрменді іске қосыңыз: quartus_syn blinking_led -c blinking_led
Дизайн бөлімін құру
Ішінара қайта конфигурациялағыңыз келетін әрбір PR аймағы үшін дизайн бөлімдерін жасауыңыз керек. Келесі қадамдар u_blinking_led данасы үшін дизайн бөлімін жасайды.
Дизайн бөлімдерін құру
- Project Navigator ішіндегі u_blinking_led данасын тінтуірдің оң жақ түймешігімен басып, Дизайн бөлімі ➤ Қайта конфигурацияланатын параметрін таңдаңыз. Дизайн бөлімі белгішесі бөлім ретінде орнатылған әрбір дананың жанында пайда болады.
- Тағайындаулар ➤ Бөлімдерді жобалау терезесі түймешігін басыңыз. Терезе жобадағы барлық дизайн бөлімдерін көрсетеді.
- Бөлім атауын екі рет басу арқылы Дизайн бөлімдері терезесінде өңдеңіз. Бұл анықтамалық дизайн үшін бөлім атауын pr_partition деп өзгертіңіз
- Ескерту: Бөлімді жасаған кезде, Intel Quartus Prime бағдарламалық құралы дана атауы мен иерархия жолына негізделген бөлім атауын автоматты түрде жасайды. Бұл әдепкі бөлім атауы әрбір данаға байланысты өзгеруі мүмкін.
- Түпкілікті статикалық аймақты базалық өңдеу компиляциясынан экспорттау үшін, соңғы экспорттан кейінгі root_partition жазбасын екі рет басыңыз. File бағанды таңдап, blinking_led_static деп теріңіз. gdb.
Дизайн бөлімдері терезесінде соңғы суретті экспорттауblinking_led.qsf файлында қайта конфигурацияланатын дизайн бөліміне сәйкес келесі тағайындаулар бар екенін тексеріңіз:
Қатысты ақпарат
Intel Quartus Prime Pro Edition пайдаланушы нұсқаулығында «Дизайн бөлімдерін жасау»: ішінара қайта конфигурациялау
PR бөлімі үшін орналастыру және бағыттау аймағын бөлу
Сіз жасаған әрбір негізгі түзету үшін PR дизайн ағыны PR бөліміңіздің аймағына сәйкес тұлға өзегін орналастырады. Негізгі қайта қарауға арналған құрылғының еден жоспарында PR аймағын табу және тағайындау үшін:
- Project Navigator ішіндегі u_blinking_led данасын тінтуірдің оң жақ түймешігімен басып, Логикалық құлыптау аймағы ➤ Жаңа логикалық құлып аймағын жасау түймешігін басыңыз. Аймақ логикалық құлыптау аймақтары терезесінде пайда болады.
- Орналастыру аймағы blinking_led логикасын қамтуы керек. Chip Planner ішіндегі түйінді табу арқылы орналастыру аймағын таңдаңыз. Логикалық құлыптау аймақтары терезесіндегі u_blinking_led аймақ атауын тінтуірдің оң жақ түймешігімен басып, басыңыз
Түйінді орналастырыңыз ➤ Чипті жоспарлаушыда орналастырыңыз. u_blinking_led аймағы түспен кодталған
blinking_led үшін чип жоспарлаушы түйінінің орны
- Логикалық құлыптау аймақтары терезесінде Түпнұсқа бағанында орналастыру аймағының координаттарын көрсетіңіз. Бастауыш аймақтың төменгі сол жақ бұрышына сәйкес келеді. Мысалыample, (X1 Y1) координаталары бар орналастыру аймағын (163 4) орнату үшін, Шығу орнын X163_Y4 ретінде көрсетіңіз. Intel Quartus Prime бағдарламалық құралы сіз көрсеткен биіктік пен енге негізделген орналастыру аймағы үшін (X2 Y2) координаттарын (жоғарғы оң жақта) автоматты түрде есептейді.
- Ескерту: Бұл оқулық (X1 Y1) координаттарын – (163 4) және орналастыру аймағы үшін биіктігі мен енін 20 пайдаланады. Орналастыру аймағы үшін кез келген мәнді анықтаңыз. Аймақтың blinking_led логикасын қамтитынына көз жеткізіңіз.
- Сақталған және Тек негізгі опцияларын қосыңыз.
- Маршруттау аймағы опциясын екі рет басыңыз. Logic Lock Routing Region Settings тілқатысу терезесі пайда болады.
- Маршруттау түрі үшін Кеңейтілген Бекітілген опциясын таңдаңыз. Бұл опцияны таңдау автоматты түрде 2 кеңейту ұзындығын тағайындайды.
- Ескерту: Қозғалтқыш әртүрлі тұлғаларды бағыттаған кезде слесарь үшін қосымша икемділікті қамтамасыз ету үшін бағыттау аймағы орналастыру аймағынан үлкенірек болуы керек.
Логикалық құлыптау аймақтары терезесіblinking_led.qsf ішінде еденді жоспарлауға сәйкес келесі тапсырмалар бар екенін тексеріңіз:
Қатысты ақпарат
Intel Quartus Prime Pro Edition пайдаланушы нұсқаулығындағы «Ішінара қайта конфигурациялау дизайнын еденді жоспарлау»: ішінара қайта конфигурациялау
Ішінара қайта конфигурациялау сыртқы конфигурация контроллерін Intel FPGA IP қосу
Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллері Intel FPGA IP интерфейсі биттік ағын көзін басқару үшін Intel Agilex PR басқару блогымен жұмыс істейді. Сыртқы конфигурацияны жүзеге асыру үшін дизайнға осы IP мекенжайын қосу керек. Ішінара қайта конфигурациялаудың сыртқы конфигурация контроллерін қосу үшін мына қадамдарды орындаңыз
Жобаңызға Intel FPGA IP:
- IP Catalog іздеу өрісіне Partal Reconfiguration (Жартылай қайта конфигурациялау) деп теріңіз (Tools ➤ IP Catalog).
- Ішінара қайта конфигурациялау сыртқы конфигурация контроллері Intel FPGA IP параметрін екі рет басыңыз.
- IP нұсқасын жасау тілқатысу терезесінде external_host_pr_ip деп теріңіз File атын, одан кейін Жасау түймесін басыңыз. Параметр өңдегіші пайда болады.
- Бос емес интерфейсті қосу параметрі үшін Өшіру опциясын таңдаңыз (әдепкі параметр). Бұл сигналды пайдалану қажет болғанда, параметрді Қосу күйіне ауыстыруға болады.
Параметрлер өңдегішінде бос емес интерфейс параметрін қосыңыз
- басыңыз File ➤ Жүйені жасамай-ақ параметр өңдегішінен сақтаңыз және шығыңыз. Параметр өңдегіші external_host_pr_ip.ip IP вариациясын жасайды file және қосады file blinking_led жобасына. AN 991: Конфигурация түйреуіштері арқылы ішінара қайта конфигурациялау (сыртқы хост) Анықтамалық дизайн 750856 | 2022.11.14 AN 991:
- Ескерту:
- a. Егер сіз external_host_pr_ip.ip файлын көшіріп жатсаңыз file pr каталогынан blinking_led.qsf файлын қолмен өңдеңіз file келесі жолды қосу үшін: set_global_assignment -атауы IP_FILE pr_ip.ip
- b. IP_ мекенжайын орналастырыңызFILE SDC_ кейінгі тапсырмаFILE blinking_led.qsf ішіндегі тағайындаулар (blinking_led. DC). file. Бұл реттілік Ішінара қайта конфигурациялау контроллерінің IP ядросының тиісті шектеуін қамтамасыз етеді.
- Ескерту: Сағаттарды анықтау үшін .sdc file PR IP үшін IP ядросы пайдаланатын сағаттарды жасайтын кез келген .sdc бағынуы керек. Сіз бұл тапсырысты .ip file PR үшін IP өзегі кез келген .ip файлынан кейін пайда болады files немесе .sdc files .qsf файлында осы сағаттарды анықтау үшін пайдаланылатын file Intel Quartus Prime жобасын қайта қарау үшін. Қосымша ақпаратты ішінара қайта конфигурациялау IP шешімдерінің пайдаланушы нұсқаулығынан қараңыз.
Жоғарғы деңгейдегі дизайнды жаңарту
top.sv жаңарту үшін file PR_IP данасымен:
- Сыртқы_host_pr_ip данасын жоғарғы деңгейлі дизайнға қосу үшін, top.sv ішіндегі келесі код блоктарына түсініктеме алыңыз. file:
Тұлғаларды анықтау
Бұл анықтамалық дизайн жалғыз PR бөлімі үшін үш бөлек тұлғаны анықтайды. Жобаңызға тұлғаларды анықтау және қосу үшін:
- Үш SystemVerilog жасаңыз files, blinking_led.sv, blinking_led_slow.sv және blinking_led_empty.sv үш тұлғаға арналған жұмыс каталогында.
Анықтамалық дизайн тұлғалары
Ескерту:
- blinking_led.sv бөлігі ретінде қол жетімді fileсіз flat/ ішкі каталогынан көшіресіз. Сіз мұны жай ғана қайта пайдалана аласыз file.
- SystemVerilog жасасаңыз files Intel Quartus Prime мәтіндік редакторынан алыңыз, Қосу мүмкіндігін өшіріңіз file сақтау кезінде ағымдағы жоба опциясына files.
Түзетулер жасау
PR жобалау ағыны Intel Quartus Prime бағдарламалық құралындағы жобаны қайта қарау мүмкіндігін пайдаланады. Бастапқы дизайн - бұл FPGA жүйесінде статикалық аймақ шекаралары мен қайта конфигурацияланатын аймақтарды анықтайтын базалық қайта қарау. Негізгі нұсқадан сіз бірнеше түзетулер жасайсыз. Бұл түзетулер PR аймақтары үшін әртүрлі енгізулерді қамтиды. Дегенмен, PR енгізудің барлық нұсқалары базалық қайта қараудың бірдей жоғарғы деңгейдегі орналастыру және бағыттау нәтижелерін пайдаланады. PR дизайнын құрастыру үшін әрбір тұлға үшін PR енгізу нұсқасын жасау керек. Бұған қоса, әрбір түзету үшін қайта қарау түрлерін тағайындау керек. Қол жетімді қайта қарау түрлері:
- Ішінара қайта конфигурациялау – Негізгі
- Ішінара қайта конфигурациялау – Persona Implementation
Келесі кестеде әрбір түзетуге арналған түзету атауы мен түзету түрі көрсетілген:
Қайталау атаулары мен түрлері
Ревизия атауы | Қайта қарау түрі |
blinking_led.qsf | Ішінара қайта конфигурациялау – Негізгі |
blinking_led_default.qsf | Ішінара қайта конфигурациялау – Persona Implementation |
blinking_led_slow.qsf | Ішінара қайта конфигурациялау – Persona Implementation |
blinking_led_empty.qsf | Ішінара қайта конфигурациялау – Persona Implementation |
Негізгі қайта қарау түрін орнату
- Жоба ➤ Түзетулер түймесін басыңыз.
- Ревизия атауы ішінде blinking_led нұсқасын таңдап, Ағымдағы орнату түймесін басыңыз.
- Қолдану түймесін басыңыз. Blinking_led нұсқасы ағымдағы нұсқа ретінде көрсетіледі.
- blinking_led үшін Қайта қарау түрін орнату үшін, Assignments ➤ Settings ➤ General тармағын таңдаңыз.
- Түзету түрі үшін Ішінара қайта конфигурациялау – Негізгі опциясын таңдап, OK түймесін басыңыз.
- blinking_led.qsf файлында енді келесі тапсырма бар екенін тексеріңіз: ##blinking_led.qsf set_global_assignment -атауы REVISION_TYPE PR_BASE
Енгізу ревизияларын жасау
- Түзетулер диалогтық терезесін ашу үшін Жоба ➤ Түзетулер түймешігін басыңыз.
- Жаңа нұсқаны жасау үшін < түймесін екі рет басыңыз >.
- Ревизия атауында blinking_led_default параметрін көрсетіңіз және Revision негізінде blinking_led параметрін таңдаңыз.
- Қайта қарау түрі үшін ішінара қайта конфигурациялау – PersonaImplementation таңдаңыз.
Түзетулер жасау
- Сол сияқты, blinking_led_slow және blinking_led_empty түзетулері үшін Revision түрін орнатыңыз.
- Әрбір .qsf file енді келесі тағайындауды қамтиды: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led, мұндағы, place_holder жаңадан жасалған PR енгізу нұсқасына арналған әдепкі нысан атауы.
Жобаны қайта қарау
Негізгі ревизияны құрастыру
- Негізгі нұсқаны құрастыру үшін Өңдеу ➤ Компиляцияны бастау түймешігін басыңыз. Немесе, келесі пәрмен негізгі нұсқаны құрастырады: quartus_sh –flow compile blinking_led -c blinking_led
- Битті ағынды тексеріңіз fileшығысында генерациялайтын s_files каталогы.
Жасалған Files
Аты | Түр | Сипаттама |
blinking_led.sof | Базалық бағдарламалау file | Толық чиптік базалық конфигурация үшін пайдаланылады |
blinking_led.pr_partition.rbf | PR бит ағыны file негізгі тұлға үшін | Негізгі тұлғаны ішінара қайта конфигурациялау үшін пайдаланылады. |
blinking_led_static.qdb | .qdb дерекқоры file | Қорытынды мәліметтер базасы file статикалық аймақты импорттау үшін пайдаланылады. |
Қатысты ақпарат
- Intel Quartus Prime Pro Edition пайдаланушы нұсқаулығындағы «Ішінара қайта конфигурациялау дизайнын еденді жоспарлау»: ішінара қайта конфигурациялау
- Intel Quartus Prime Pro Edition пайдаланушы нұсқаулығында «Еден жоспарының шектеулерін біртіндеп қолдану»: ішінара қайта конфигурациялау
PR енгізудің қайта қарауларын дайындау
Құрылғыны бағдарламалау үшін PR биттік ағынын құрастыру және жасау алдында PR енгізу түзетулерін дайындау керек. Бұл орнату .qdb статикалық аймағын қосуды қамтиды file көзі ретінде file әрбір енгізуді қайта қарау үшін. Сонымен қатар, сіз PR аймағының сәйкес нысанын көрсетуіңіз керек.
- Ағымдағы түзетуді орнату үшін Project ➤ Revisions түймешігін басыңыз, Revision атауы ретінде blinking_led_default таңдаңыз, содан кейін Set Current (Ағымды орнату) түймесін басыңыз.
- Әрбір орындау нұсқасының дұрыс көзін тексеру үшін Жоба ➤Қосу/Жою түймешігін басыңыз FileЖобада. blinking_led.sv file ішінде пайда болады file тізім.
Files беті
- Басқа іске асыруды қайта қарау көзін тексеру үшін 1-ден 2-ге дейінгі қадамдарды қайталаңыз files:
Іске асыруды қайта қарау атауы | Дереккөз File |
blinking_led_әдепкі | blinking_led.sv |
жыпылықтайтын_жарық_бос | blinking_led_empty.sv |
жыпылықтайтын_баяу | blinking_led_slow.sv |
- .qdb тексеру үшін file түбірлік бөліммен байланыстырылған кезде Тағайындаулар ➤ Бөлімдерді жобалау терезесі түймешігін басыңыз. Бөлім дерекқоры екенін растаңыз File blinking_led_static.qdb көрсетеді file, немесе Бөлім дерекқорын екі рет басыңыз File оны анықтау үшін ұяшықты таңдаңыз file. Немесе келесі пәрмен мұны тағайындайды file: set_instance_assignment -атауы QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Нысанды қайта байланыстыру ұяшығында іске асыруды қайта қарауда өзгертетін әрбір PR бөлімінің нысан атын көрсетіңіз. blinking_led_default іске асыру нұсқасы үшін нысан атауы blinking_led болып табылады. Бұл оқулықта сіз негізгі түзету компиляциясынан u_blinking_led данасын жаңа blinking_led нысанымен қайта жазасыз.
Ескерту: Толтырғыш нысанын қайта байланыстыру тапсырмасы іске асыруды тексеруге автоматты түрде қосылады. Дегенмен, тағайындаудағы әдепкі нысан атауын дизайныңыз үшін сәйкес нысан атауына өзгертуіңіз керек.
Іске асыруды қайта қарау атауы | Нысанды қайта байланыстыру |
blinking_led_әдепкі | жыпылықтайды |
жыпылықтайтын_баяу | жыпылықтайтын_баяу |
жыпылықтайтын_жарық_бос | жыпылықтайтын_жарық_бос |
Нысанды қайта байлау
- Дизайнды құрастыру үшін Өңдеу ➤ Компиляцияны бастау түймешігін басыңыз. Сонымен қатар, келесі пәрмен осы жобаны құрастырады: quartus_sh –flow compile blinking_led –c blinking_led_default
- blinking_led_slow және blinking_led_empty түзетулерін дайындау үшін жоғарыдағы қадамдарды қайталаңыз: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Ескерту: PR іске асыру компиляциясы кезінде қолданғыңыз келетін кез келген Fitter арнайы параметрлерін көрсете аласыз. Арнайы орнатушы параметрлер импортталған статикалық аймаққа әсер етпей, тек тұлғаның сәйкестігіне әсер етеді.
Басқарманы бағдарламалау
Бұл оқулық компьютердегі PCIe* ұясынан тыс орындықтағы Intel Agilex F-Series FPGA әзірлеу тақтасын пайдаланады. Тақтаны бағдарламаламас бұрын, келесі қадамдарды орындағаныңызға көз жеткізіңіз:
- Қуат көзін Intel Agilex F-Series FPGA әзірлеу тақтасына қосыңыз.
- Intel FPGA жүктеу кабелін компьютердің USB порты мен әзірлеу тақтасындағы Intel FPGA жүктеу кабелі порты арасында жалғаңыз.
Дизайнды Intel Agilex F-Series FPGA әзірлеу тақтасында іске қосу үшін:
- Intel Quartus Prime бағдарламалық құралын ашып, Tools ➤ Programmer түймесін басыңыз.
- Бағдарламалаушыда Hardware Setup түймесін басып, USB-Blaster таңдаңыз.
- Автоматты түрде анықтау түймесін басып, AGFB014R24AR0 құрылғысын таңдаңыз.
- OK түймесін басыңыз. Intel Quartus Prime бағдарламалық құралы Бағдарламалаушыны тақтадағы үш FPGA құрылғысымен анықтайды және жаңартады.
- AGFB014R24AR0 құрылғысын таңдап, Өзгерту түймесін басыңыз File және blinking_led_default.sof файлын жүктеңіз file.
- blinking_led_default.sof үшін Бағдарлама/конфигурацияны қосыңыз file.
- Бастау түймесін басып, орындалу жолағы 100% жеткенше күтіңіз.
- Тақтадағы жарықдиодты шамдардың бастапқы жалпақ дизайнмен бірдей жиілікте жыпылықтағанын қадағалаңыз.
- Тек PR аймағын бағдарламалау үшін blinking_led_default.sof файлын тінтуірдің оң жақ түймешігімен басыңыз file Бағдарламалаушыда және PR бағдарламалауды қосу түймесін басыңыз File.
- blinking_led_slow.pr_partition.rbf таңдаңыз file.
- blinking_led_default.sof үшін бағдарламаны/конфигурацияны өшіріңіз file.
- blinking_led_slow.pr_partition.rbf үшін Бағдарлама/конфигурацияны қосыңыз file және Бастау түймесін басыңыз. Тақтада LED[0] және ЖШД[1] жыпылықтауын жалғастырыңыз. Орындалу жолағы 100%-ға жеткенде, ЖШД[2] және ЖШД[3] баяу жыпылықтайды.
- PR аймағын қайта бағдарламалау үшін .rbf түймесін тінтуірдің оң жақ түймешігімен басыңыз file Бағдарламалаушыда және PR бағдарламалауды өзгерту түймесін басыңыз File.
- .rbf таңдаңыз fileбасқа екі тұлғаның тақтадағы мінез-құлықты бақылауы үшін. blinking_led_default.rbf жүктелуде file жарық диодтарының белгілі бір жиілікте жыпылықтауын тудырады және blinking_led_empty.rbf файлын жүктейді. file жарық диодтарының ҚОСУЛЫ күйінде қалуына әкеледі.
Intel Agilex F-Series FPGA әзірлеу тақтасын бағдарламалау
Аппараттық құралдарды тексеру ағыны
Төмендегі реттіліктер анықтамалық дизайнның аппараттық құралдарын тексеру ағынын сипаттайды.
Intel Agilex құрылғысының сыртқы хост аппараттық құралын орнату
Көмекші FPGA бағдарламасын (сыртқы хост)
Келесі реттілік PR процесінің сыртқы хосты ретінде жұмыс істейтін көмекші FPGA бағдарламалауын сипаттайды:
- Сіз таңдаған режимге сәйкес келетін Avalon ағындық интерфейс параметрін көрсетіңіз (x8, x16 немесе x32).
- Intel Quartus Prime Programmer және жалғанған конфигурация кабелін пайдаланып FPGA көмекшісін бағдарламалау арқылы платформаны іске қосыңыз.
- FPGA көмекшісін пайдаланып, CONF_DONE және AVST_READY сигналдарын оқыңыз. CONF_DONE 0, AVST_READY 1 болуы керек. Бұл түйреуіштегі жоғары логика SDM сыртқы хосттан деректерді қабылдауға дайын екенін көрсетеді. Бұл шығыс SDM енгізу/шығару бөлігі болып табылады.
Ескерту: CONF_DONE PIN коды сыртқы хостқа биттік тасымалдау сәтті болғанын білдіреді. Бұл сигналдарды тек чиптің толық конфигурация процесін бақылау үшін пайдаланыңыз. Осы түйреуіш туралы қосымша ақпаратты Intel Agilex конфигурациясының пайдаланушы нұсқаулығынан қараңыз.
Сыртқы хост арқылы толық чип SOF бар DUT FPGA бағдарламасын бағдарламалау Келесі реттілік DUT FPGA толық чипті SRAM нысанымен бағдарламалауды сипаттайды. File (.sof) хост Avalon ағындық интерфейсін пайдаланып:
- Толық чиптің биттік ағынын FPGA көмекшісінің (сыртқы хост) DDR4 сыртқы жадына жазыңыз.
- Avalon ағындық интерфейсін (x8, x16, x32) пайдаланып, толық чиппен .sof DUT FPGA конфигурациялаңыз.
- DUT FPGA конфигурация сигналдарының күйін оқыңыз. CONF_DONE 1, AVST_READY 0 болуы керек.
Уақыттың техникалық сипаттамалары: ішінара қайта конфигурациялау сыртқы контроллері Intel FPGA IP
Сыртқы хост арқылы бірінші тұлғамен DUT FPGA бағдарламасын бағдарламалаңыз
- DUT FPGA ішіндегі мақсатты PR аймағында мұздатуды қолданыңыз.
- Intel Quartus Prime жүйелік консолін пайдаланып, ішінара қайта конфигурациялауды бастау үшін pr_request талап етіңіз. AVST_READY 1 болуы керек.
- Көмекші FPGA (сыртқы хост) DDR4 сыртқы жадына бірінші PR персона бит ағынын жазыңыз.
- Avalon ағынды интерфейсін (x8, x16, x32) пайдаланып, DUT FPGA бірінші персоналық бит ағынымен қайта конфигурациялаңыз.
- PR күйін бақылау үшін Жүйе консолін іске қосу үшін Құралдар ➤ Жүйе консолі түймесін басыңыз. Жүйе консолінде PR күйін бақылаңыз:
- pr_error 2 — қайта конфигурациялау үрдісінде.
- pr_error 3 — қайта конфигурациялау аяқталды.
- DUT FPGA ішіндегі PR аймағында мұздан босатуды қолданыңыз.
Ескерту: Нұсқаны тексеру немесе авторизацияны тексеру сияқты қате PR әрекеті кезінде орын алса, PR әрекеті тоқтатылады.
Қатысты ақпарат
- Intel Agilex конфигурациясының пайдаланушы нұсқаулығы
- Intel Quartus Prime Pro Edition пайдаланушы нұсқаулығы: Түзету құралдары
AN 991 құжатын қайта қарау тарихы: конфигурация түйреуіштері арқылы ішінара қайта конфигурациялау (сыртқы хост) Intel Agilex F-Series FPGA әзірлеу тақтасына арналған анықтамалық дизайн
Құжат нұсқасы | Intel Quartus Prime нұсқасы | Өзгерістер |
2022.11.14 | 22.3 | • Бастапқы шығарылым. |
AN 991: Конфигурация түйреуіштері (сыртқы хост) арқылы ішінара қайта конфигурациялау Анықтамалық дизайн: Intel Agilex F-Series FPGA әзірлеу тақтасы үшін
Ең көп қойылатын сұрақтарға жауаптар:
- Q Конфигурация түйреуіштері арқылы PR дегеніміз не?
- A Сыртқы хост конфигурациясы 3-бетте
- Q Бұл анықтамалық дизайн үшін маған не қажет?
- A Анықтамалық дизайнға қойылатын талаптар 6-бетте
- Q Анықтамалық дизайнды қайдан алуға болады?
- A Анықтамалық дизайнға қойылатын талаптар 6-бетте
- Q Сыртқы конфигурация арқылы PR қалай орындалады?
- A Анықтамалық дизайн 6-бетте
- Q PR персонасы дегеніміз не?
- A Тұлғаларды анықтау 11-бет
- Q Тақтаны қалай бағдарламалаймын?
- A Тақтаны бағдарламалау 17-бетте
- Q PR белгілі мәселелер мен шектеулер қандай?
- A Intel FPGA қолдау форумдары: PR
- Q Сізде PR бойынша тренинг бар ма?
- A Intel FPGA техникалық оқыту каталогы
Онлайн нұсқасы Пікір жіберу
- ID: 750856
- Нұсқа: 2022.11.14
Құжаттар / Ресурстар
![]() |
intel 750856 Agilex FPGA әзірлеу тақтасы [pdf] Пайдаланушы нұсқаулығы 750856, 750857, 750856 Agilex FPGA әзірлеу кеңесі, Agilex FPGA әзірлеу кеңесі, FPGA әзірлеу кеңесі, әзірлеу кеңесі, тақта |