Intel-LOGO

intel 750856 Agilex FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့

intel-750856-Agilex-FPGA-Development-Board-PRODUCT

ထုတ်ကုန်အချက်အလက်

ဤရည်ညွှန်းဒီဇိုင်းသည် Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့အတွက်ဖြစ်သည်။ ၎င်းသည် Partial Reconfiguration External Configuration Controller Intel FPGA IP ကို ​​အသုံးပြုထားပြီး ရိုးရှင်းသော PR ဒေသတစ်ခုရှိသည်။ Intel Agilex Device External Host Hardware Setup တွင် ပြင်ပကိရိယာ (Helper FPGA)၊ DUT FPGA နှင့် သင်၏ ပြင်ပအိမ်ရှင် ဒီဇိုင်းတို့ ပါဝင်ပါသည်။ ပြင်ပစက်ပစ္စည်းရှိ လက်ခံသူဒီဇိုင်းသည် PR လုပ်ငန်းစဉ်ကို လက်ခံဆောင်ရွက်ပေးရန် တာဝန်ရှိသည်။ PR ပင်နံပါတ်များကို စက်ပစ္စည်းနှစ်ခုလုံးကို ချိတ်ဆက်ရန်အတွက် အသုံးပြုပြီး မည်သည့်အသုံးပြုသူ I/Os မဆို ဖြစ်နိုင်သည်။

ထုတ်ကုန်အသုံးပြုမှု ညွှန်ကြားချက်များ

External Host Configuration

ပြင်ပ host configuration လုပ်ဆောင်ရန်၊ ဤအဆင့်များကို လိုက်နာပါ-

  1. PR လုပ်ငန်းစဉ်ကို လက်ခံဆောင်ရွက်ပေးရန် ပြင်ပစက်ပစ္စည်းတွင် လက်ခံသူဒီဇိုင်းဖန်တီးပါ။
  2. ပြင်ပစက်ပစ္စည်းမှ PR ပင်နံပါတ်များကို DUT FPGA ရှိ Partial Reconfiguration External Configuration Controller Intel FPGA IP သို့ ချိတ်ဆက်ပါ။
  3. IP မှ PR handshing signals များနှင့် ကိုက်ညီသော Intel Agilex Avalon streaming interface pins သို့ host design မှ configuration data ကို တိုက်ရိုက်လွှင့်ပါ။

Configuration Pins လုပ်ဆောင်ချက်မှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း။

အောက်ပါ sequence သည် configuration pins များမှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ဖွဲ့စည်းခြင်း၏ လုပ်ဆောင်မှုကို ဖော်ပြသည်-

  1. Partial Reconfiguration External Configuration Controller Intel FPGA IP နှင့် ချိတ်ဆက်ထားသော pr_request ပင်နံပါတ်ကို ထည့်သွင်းပါ။
  2. IP သည် PR လုပ်ငန်းစဉ်ကို လုပ်ဆောင်နေသည် (ချန်လှပ်ထားနိုင်သည်) ကိုညွှန်ပြရန်အတွက် အလုပ်များသောအချက်ပြမှုကို အခိုင်အမာအတည်ပြုသည်။
  3. ဖွဲ့စည်းမှုစနစ်သည် PR လုပ်ဆောင်ချက်အတွက် အဆင်သင့်ဖြစ်ပါက၊ ဒေတာလက်ခံရန် အဆင်သင့်ဖြစ်နေပြီဟု ညွှန်ပြသော avst_ready ပင်နံပါတ်ကို အတည်ပြုထားသည်။
  4. ဒေတာလွှဲပြောင်းမှုအတွက် Avalon တိုက်ရိုက်လွှင့်ခြင်းသတ်မှတ်ချက်အတိုင်း ဒေတာလွှဲပြောင်းခြင်းအတွက် avst_data pins နှင့် avst_valid pin များပေါ်တွင် PR စနစ်ဖွဲ့စည်းပုံဒေတာကို တိုက်ရိုက်ထုတ်လွှင့်ပါ။
  5. avst_ready ပင်နံပါတ်ကို အခိုင်အမာ ဖျက်သိမ်းလိုက်သောအခါ ထုတ်လွှင့်မှု ရပ်သွားသည်။
  6. PR လုပ်ဆောင်ချက်အတွက် ဒေတာ မလိုအပ်တော့ကြောင်း ထောက်ပြရန် avst_ready ပင်ကို အခိုင်အမာ ငြင်းဆိုပါ။
  7. Partial Reconfiguration External Configuration Controller Intel FPGA IP သည် လုပ်ငန်းစဉ်ပြီးဆုံးကြောင်းညွှန်ပြရန် အလုပ်များသောအချက်ပြမှုကို ဖယ်ရှားပေးသည် (ချန်လှပ်ထားနိုင်သည်)။

Configuration Pins (External Host) အကိုးအကား ဒီဇိုင်းမှတဆင့် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း။

ဤအပလီကေးရှင်းမှတ်စုသည် Intel® Agilex® F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့ရှိ configuration pins (ပြင်ပအိမ်ရှင်) မှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်းပြန်လည်ပြင်ဆင်ခြင်းကို သရုပ်ပြသည်။

Reference Design Overview

တစ်စိတ်တစ်ပိုင်း ပြန်လည်ဖွဲ့စည်းမှု (PR) အင်္ဂါရပ်သည် သင့်အား FPGA ၏ အစိတ်အပိုင်းတစ်ခုကို ဒိုင်နမစ်ဖြင့် ပြန်လည်ပြင်ဆင်နိုင်စေပြီး ကျန် FPGA ဒီဇိုင်းသည် ဆက်လက်လုပ်ဆောင်နေပါသည်။ ဤဒေသအပြင်ဘက်ရှိ ဧရိယာများတွင် လည်ပတ်ဆောင်ရွက်မှုကို ထိခိုက်ခြင်းမရှိသော သင့်ဒီဇိုင်းတွင် သီးခြားဒေသတစ်ခုအတွက် လူများစွာကို ဖန်တီးနိုင်သည်။ ဤနည်းစနစ်သည် တူညီသော FPGA စက်ပစ္စည်းအရင်းအမြစ်များကို အချိန်နှင့်အမျှ အများအပြားလုပ်ဆောင်သည့်စနစ်များတွင် ထိရောက်မှုရှိသည်။ Intel Quartus® Prime Pro Edition ဆော့ဖ်ဝဲလ်၏ လက်ရှိဗားရှင်းသည် တစ်စိတ်တစ်ပိုင်းပြန်လည်ဖွဲ့စည်းမှုအတွက် ရိုးရှင်းပြီး ရိုးရှင်းသောစုစည်းမှုအစီအစဥ်ကို မိတ်ဆက်ပေးသည်။ ဤ Intel Agilex ရည်ညွှန်းဒီဇိုင်းသည် Partial Reconfiguration External Configuration Controller Intel FPGA IP ကို ​​အသုံးပြုထားပြီး ရိုးရှင်းသော PR ဒေသတစ်ခုရှိသည်။

Intel Agilex ကိရိယာ ပြင်ပရှိ ဟာ့ဒ်ဝဲ တပ်ဆင်မှုintel-750856-Agilex-FPGA-Development-Board-FIG-1 (1)

External Host Configuration

ပြင်ပအိမ်ရှင်ဖွဲ့စည်းမှုပုံစံတွင်၊ Intel Agilex Device ပြင်ပအိမ်ရှင်ဟာ့ဒ်ဝဲစနစ်ထည့်သွင်းမှုပြသသည့်အတိုင်း PR လုပ်ငန်းစဉ်ကို လက်ခံကျင်းပရန် ပြင်ပကိရိယာတစ်ခုတွင် လက်ခံဆောင်ရွက်ပေးသည့်ဒီဇိုင်းကို ဦးစွာဖန်တီးရပါမည်။ လက်ခံသူ ဒီဇိုင်းသည် ဖွဲ့စည်းမှုဒေတာကို Intel Agilex Avalon streaming interface ပင်နံပါတ်များသို့ Partial Reconfiguration External Configuration Controller Intel FPGA IP မှလာသော PR handshaking signals များနှင့် ကိုက်ညီသော ချိတ်ဆက်မှုဒေတာကို ထုတ်လွှင့်သည်။ စက်နှစ်ခုလုံးကို ချိတ်ဆက်ရန် သင်အသုံးပြုသော PR ပင်နံပါတ်များသည် ရရှိနိုင်သော အသုံးပြုသူ I/Os များ ဖြစ်နိုင်သည်။

အောက်ပါ sequence သည် configuration pins လုပ်ဆောင်မှုမှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ဖွဲ့စည်းမှုကို ဖော်ပြသည်-

  1. ပထမဦးစွာ Partial Reconfiguration External Configuration Controller Intel FPGA IP နှင့် ချိတ်ဆက်ထားသည့် pr_request ပင်နံပါတ်ကို အတည်ပြုပါ။
  2. IP သည် PR လုပ်ငန်းစဉ်ကို လုပ်ဆောင်နေသည် (ချန်လှပ်ထားနိုင်သည်) ကိုညွှန်ပြရန်အတွက် အလုပ်များသောအချက်ပြမှုကို အခိုင်အမာအတည်ပြုသည်။
  3. ဖွဲ့စည်းမှုစနစ်သည် PR လုပ်ဆောင်ချက်ကို လုပ်ဆောင်ရန် အဆင်သင့်ဖြစ်ပါက၊ ဒေတာလက်ခံရန် အသင့်ဖြစ်နေပြီဟု ညွှန်ပြသော avst_ready ပင်နံပါတ်ကို အခိုင်အမာဖော်ပြသည်။
  4. ဒေတာလွှဲပြောင်းမှုအတွက် Avalon streaming သတ်မှတ်ချက်ကို backpressure ဖြင့် စောင့်ကြည့်နေစဉ် avst_data pins နှင့် avst_valid pin များတွင် PR configuration data ကို စတင်ကြည့်ရှုပါ။
  5. avst_ready ပင်ကို အခိုင်အမာ ငြင်းဆိုသည့်အခါတိုင်း တိုက်ရိုက်လွှင့်ခြင်း ရပ်သွားသည်။
  6. ဖွဲ့စည်းမှုစနစ်ဒေတာအားလုံးကို တိုက်ရိုက်ထုတ်လွှင့်ပြီးနောက်၊ PR လုပ်ဆောင်ချက်အတွက် ဒေတာများ မလိုအပ်တော့ကြောင်း ညွှန်ပြရန်အတွက် avst_ready ပင်ကို အခိုင်အမာ ဖျက်သိမ်းထားသည်။
  7. Partial Reconfiguration External Configuration Controller Intel FPGA IP သည် လုပ်ငန်းစဉ်အဆုံးသတ်ကို ညွှန်ပြရန် အလုပ်များသောအချက်ပြမှုကို ထုတ်ပေးသည် (ချန်လှပ်ထားနိုင်သည်)။
  8. PR လုပ်ဆောင်ချက် အောင်မြင်ခြင်း ရှိ၊ မရှိ အတည်ပြုရန် pr_done နှင့် pr_error pins များကို သင် စစ်ဆေးနိုင်ပါသည်။ ဗားရှင်းစစ်ဆေးခြင်းနှင့် ခွင့်ပြုချက်စစ်ဆေးခြင်းတွင် ချို့ယွင်းမှုကဲ့သို့သော အမှားအယွင်းတစ်ခု ဖြစ်ပေါ်ပါက PR လုပ်ဆောင်ချက်ကို ရပ်စဲသွားမည်ဖြစ်သည်။

ဆက်စပ်အချက်အလက်

  • Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှု Kit Web စာမျက်နှာ
  • Intel Agilex F-Series FPGA Development Kit အသုံးပြုသူလမ်းညွှန်
  • Intel Quartus Prime Pro Edition အသုံးပြုသူလမ်းညွှန်- တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း။

တစ်စိတ်တစ်ပိုင်းပြန်လည်ပြင်ဆင်ခြင်း ပြင်ပဖွဲ့စည်းမှုထိန်းချုပ်သူ Intel FPGA IP
PR လုပ်ဆောင်ချက်အတွက် PR ဒေတာကို တိုက်ရိုက်လွှင့်တင်ရန် အစိတ်အပိုင်းတစ်ပိုင်းပြန်လည်ဖွဲ့စည်းမှု ပြင်ပဖွဲ့စည်းမှုထိန်းချုပ်သူသည် ဖွဲ့စည်းမှုပင်နံပါတ်များကို အသုံးပြုရန်လိုအပ်သည်။ core မှ secure device manager (SDM) နှင့် host ၏လက်ဆွဲနှုတ်ဆက်ခြင်းကိုခွင့်ပြုရန်အတွက် Partial Reconfiguration External Configuration Controller Intel FPGA IP ၏ ထိပ်တန်း ports အားလုံးကို pr_request pin သို့ ချိတ်ဆက်ရပါမည်။ သင့် MSEL ဆက်တင်အရ SDM သည် မည်သည့် configuration pins အမျိုးအစားများကို အသုံးပြုရန် ဆုံးဖြတ်သည် ။

တစ်စိတ်တစ်ပိုင်းပြန်လည်ပြင်ဆင်ခြင်း ပြင်ပဖွဲ့စည်းမှုထိန်းချုပ်သူ Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (2)

တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း ပြင်ပဖွဲ့စည်းမှု ထိန်းချုပ်ရေးဆိုင်ရာ ကန့်သတ်ချက်များ ဆက်တင်များ

ကန့်သတ်ချက် တန်ဖိုး ဖော်ပြချက်
Busy Interface ကိုဖွင့်ပါ။ ဖွင့်ပါ။ or

ပိတ်ပါ။

ပြင်ပပုံစံဖွဲ့စည်းမှုအတွင်း PR လုပ်ဆောင်ခြင်းလုပ်ဆောင်နေပြီဖြစ်ကြောင်း ညွှန်ပြရန် အချက်ပြမှုတစ်ခုအား အခိုင်အမာဖော်ပြသည့် အလုပ်များသောအင်တာဖေ့စ်ကို Enable သို့မဟုတ် Disable လုပ်ခွင့်ပြုသည်။

Default setting သည် ပိတ်ပါ။.

Partial Reconfiguration External Configuration Controller Ports

ဆိပ်ကမ်းအမည် အကျယ် ဦးတည်ချက် လုပ်ဆောင်ချက်
pr_တောင်းဆိုချက် 1 ထည့်သွင်းခြင်း။ PR လုပ်ငန်းစဉ် စတင်ရန် အဆင်သင့်ဖြစ်နေပြီဟု ညွှန်ပြသည်။ Signal သည် မည်သည့်နာရီအချက်ပြမှုနှင့် ထပ်တူမကျသော ပြွန်တစ်ခုဖြစ်သည်။
pr_error 2 အထွက် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ဖွဲ့စည်းမှု အမှားကို ညွှန်ပြသည်။-

• 2'b01—အထွေထွေ PR အမှား

• 2'b11—သဟဇာတမဖြစ်နိုင်သော bitstream အမှား

ဤအချက်ပြမှုများသည် မည်သည့်နာရီရင်းမြစ်နှင့်မျှ ထပ်တူမကျသော ပြွန်များဖြစ်သည်။

pr_ပြီးပါပြီ။ 1 အထွက် PR လုပ်ငန်းစဉ် ပြီးမြောက်ကြောင်း ညွှန်ပြသည်။ Signal သည် မည်သည့်နာရီအချက်ပြမှုနှင့် ထပ်တူမကျသော ပြွန်တစ်ခုဖြစ်သည်။
start_addr 1 ထည့်သွင်းခြင်း။ Active Serial Flash တွင် PR ဒေတာ၏ အစလိပ်စာကို သတ်မှတ်ပါ။ တစ်ခုခုကို ရွေးချယ်ခြင်းဖြင့် သင်သည် ဤအချက်ပြမှုကို ဖွင့်နိုင်သည်။ Avalon®-ST or Active Serial အဘို့ Avalon-ST Pins သို့မဟုတ် Active Serial Pins ကိုဖွင့်ပါ။ ကန့်သတ်ချက်။ Signal သည် မည်သည့်နာရီအချက်ပြမှုနှင့် ထပ်တူမကျသော ပြွန်တစ်ခုဖြစ်သည်။
ပြန်လည်သတ်မှတ်ပါ။ 1 ထည့်သွင်းခြင်း။ မြင့်မားသော၊ ထပ်တူကျသော ပြန်လည်သတ်မှတ်ခြင်းအချက်ပြမှု။
out_clk 1 အထွက် စက်တွင်း တုန်ခါမှုမှ ထုတ်ပေးသော နာရီရင်းမြစ်။
အလုပ်ရှုပ်သည် 1 အထွက် IP သည် PR ဒေတာလွှဲပြောင်းမှု လုပ်ဆောင်နေမှုကို ညွှန်ပြရန် ဤအချက်ပြမှုကို အခိုင်အမာဖော်ပြသည်။ ရွေးချယ်ခြင်းဖြင့် သင်သည် ဤအချက်ပြမှုကို ဖွင့်နိုင်သည်။ ဖွင့်ပါ။ အဘို့ အလုပ်များသောအင်တာဖေ့စ်ကိုဖွင့်ပါ။ ကန့်သတ်ချက်။

အကိုးအကား ဒီဇိုင်းလိုအပ်ချက်များ

ဤအကိုးအကား ဒီဇိုင်းကို အသုံးပြုရာတွင် အောက်ပါတို့ လိုအပ်သည်-

  • Intel Agilex စက်ပစ္စည်းမိသားစုအတွက် ပံ့ပိုးမှုဖြင့် Intel Quartus Prime Pro Edition ဗားရှင်း 22.3 ကို ထည့်သွင်းခြင်း။
  • ခုံတန်းပေါ်ရှိ Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့သို့ ချိတ်ဆက်မှု။
  • ဒီဇိုင်းဟောင်းကို ဒေါင်းလုဒ်လုပ်ပါ။ampအောက်ပါတည်နေရာတွင်ရရှိနိုင်ပါသည် https://github.com/intel/fpga-partial-reconfig.

ဒီဇိုင်းဟောင်းကို ဒေါင်းလုဒ်လုပ်ရန်ample-

  1. Clone ကိုနှိပ်ပါ သို့မဟုတ် ဒေါင်းလုဒ်လုပ်ပါ။
  2. Download ZIP ကိုနှိပ်ပါ။ fpga-partial-reconfig-master.zip ကို ဇစ်ဖွင့်ပါ။ file.
  3. ရည်ညွှန်းဒီဇိုင်းကို ဝင်ရောက်ကြည့်ရှုရန် ကျူတိုရီရယ်များ/agilex_external_pr_configuration ဖိုင်တွဲခွဲသို့ သွားပါ။

အကိုးအကား Design Walkthrough

အောက်ဖော်ပြပါအဆင့်များသည် Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့ရှိ configuration pins (ပြင်ပအိမ်ရှင်) မှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်းပြန်လည်ဖွဲ့စည်းခြင်းကို အကောင်အထည်ဖော်ခြင်းကို ဖော်ပြသည်-

  • အဆင့် ၁: စတင်အသုံးပြုခြင်း
  • အဆင့် 2- Design Partition ဖန်တီးခြင်း။
  • အဆင့် 3- နေရာချထားခြင်းနှင့် လမ်းကြောင်းသတ်မှတ်ခြင်း တိုင်းဒေသကြီးများ ခွဲဝေပေးခြင်း
  • အဆင့် 4- Partial Reconfiguration External Configuration Controller IP ကို ​​ပေါင်းထည့်ခြင်း။
  • အဆင့် ၁: ပုဂ္ဂိုလ်များကို သတ်မှတ်ခြင်း။
  • အဆင့် 6- ပြန်လည်ပြင်ဆင်မှုများ ပြုလုပ်ခြင်း။
  • အဆင့် ၁: အခြေခံပြန်လည်ပြင်ဆင်ခြင်းကို ပြုစုခြင်း။
  • အဆင့် ၁: PR အကောင်အထည်ဖော်မှု ပြင်ဆင်မှုများ ပြင်ဆင်ခြင်း။
  • အဆင့် 9- ပရိုဂရမ်ရေးဆွဲခြင်း။

အဆင့် 1: စတင်လိုက်ပါ။
အကိုးအကား ဒီဇိုင်းကို ကူးယူရန် fileသင့်လုပ်ငန်းခွင်ပတ်ဝန်းကျင်သို့ blinking_led ပြားချပ်ချပ်ဒီဇိုင်းကို စုစည်းပါ-

  1. သင့်လုပ်ငန်းခွင်ပတ်ဝန်းကျင်တွင် လမ်းညွှန်တစ်ခုဖန်တီးပါ၊ agilex_pcie_devkit_blinking_led_pr။
  2. ဒေါင်းလုဒ်လုပ်ထားသော သင်ခန်းစာများ/agilex_pcie_devkit_blinking_led/flat ဖိုင်တွဲခွဲကို agilex_pcie_devkit_blinking_led_pr လမ်းညွှန်သို့ ကူးယူပါ။
  3. Intel Quartus Prime Pro Edition ဆော့ဖ်ဝဲတွင်၊ နှိပ်ပါ။ File ➤ ပရောဂျက်ကိုဖွင့်ပြီး blinking_led.qpf ကို ရွေးပါ။
  4. ပြားချပ်ချပ်ဒီဇိုင်း၏ အထက်တန်းအဆင့်ကို အသေးစိတ်ဖော်ပြရန်၊ လုပ်ဆောင်ခြင်း ➤ စတင်ခြင်း ➤ စတင် ခွဲခြမ်းစိတ်ဖြာခြင်းနှင့် ပေါင်းစပ်ခြင်းကို နှိပ်ပါ။ တနည်းအားဖြင့်၊ command-line တွင်၊ အောက်ပါ command ကို run ပါ- quartus_syn blinking_led -c blinking_led

Design Partition ဖန်တီးခြင်း။

သင်သည် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်လိုသော PR ဒေသတစ်ခုစီအတွက် ဒီဇိုင်းအပိုင်းများကို ဖန်တီးရပါမည်။ အောက်ပါအဆင့်များသည် u_blinking_led instance အတွက် ဒီဇိုင်းအပိုင်းတစ်ခုကို ဖန်တီးပါ။

ဒီဇိုင်းအပိုင်းများကို ဖန်တီးခြင်း။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (3)

  1. Project Navigator ရှိ u_blinking_led instance ကို right-click နှိပ်ပြီး Design Partition ➤ Reconfigurable ကိုနှိပ်ပါ။ အခန်းကန့်တစ်ခုအဖြစ်သတ်မှတ်ထားသည့် instance တစ်ခုစီ၏ဘေးတွင် ဒီဇိုင်းအပိုင်းပိုင်းအိုင်ကွန်တစ်ခုပေါ်လာသည်။
  2. Assignments ➤ Design Partitions Window ကိုနှိပ်ပါ။ ဝင်းဒိုးသည် ပရောဂျက်ရှိ ဒီဇိုင်းအပိုင်းအားလုံးကို ပြသသည်။
  3. အမည်ကို နှစ်ချက်နှိပ်ခြင်းဖြင့် အပိုင်းခွဲအမည်ကို ဒီဇိုင်းအပိုင်းများဝင်းဒိုးတွင် တည်းဖြတ်ပါ။ ဤအကိုးအကားဒီဇိုင်းအတွက်၊ အခန်းကန့်အမည်ကို pr_partition သို့ အမည်ပြောင်းပါ။
    • မှတ်ချက် - အခန်းကန့်တစ်ခုကို သင်ဖန်တီးသောအခါ၊ Intel Quartus Prime ဆော့ဖ်ဝဲလ်သည် instance အမည်နှင့် အထက်အောက် လမ်းကြောင်းပေါ်အခြေခံ၍ အခန်းကန့်အမည်ကို အလိုအလျောက်ထုတ်ပေးပါသည်။ ဤမူလအပိုင်းခွဲအမည်သည် ဥပမာတစ်ခုစီနှင့် ကွဲပြားနိုင်သည်။
  4. အခြေခံပြင်ဆင်မှုစုစည်းမှုမှ အပြီးသတ်အငြိမ်ဒေသကို ထုတ်ယူရန်၊ Post Final Export ရှိ root_partition အတွက် ထည့်သွင်းမှုကို နှစ်ချက်နှိပ်ပါ။ File ကော်လံကို blinking_led_static ရိုက်ထည့်ပါ။ gdb

Design Partitions Window တွင် Post Final Snapshot ကို ထုတ်ယူနေပါသည်။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (4)blinking_led.qsf တွင် သင်၏ ပြန်လည်ပြင်ဆင်နိုင်သော ဒီဇိုင်းအပိုင်းနှင့် သက်ဆိုင်သော အောက်ပါတာဝန်များ ပါဝင်ကြောင်း အတည်ပြုပါ။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (5)

ဆက်စပ်အချက်အလက်
Intel Quartus Prime Pro Edition အသုံးပြုသူလမ်းညွှန်- တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်းရှိ “ဒီဇိုင်းအပိုင်းများကို ဖန်တီးပါ”

PR Partition တစ်ခုအတွက် နေရာချထားခြင်းနှင့် လမ်းကြောင်းသတ်မှတ်ခြင်း တိုင်းဒေသကြီး
သင်ဖန်တီးသော အခြေခံပြန်လည်ပြင်ဆင်မှုတိုင်းအတွက်၊ PR ဒီဇိုင်းစီးဆင်းမှုသည် သင့် PR partition ဒေသတွင် သက်ဆိုင်ရာ persona core ကို နေရာချပေးသည်။ သင်၏အခြေခံပြန်လည်ပြင်ဆင်မှုအတွက် စက်ကြမ်းပြင်အစီအစဥ်ရှိ PR ဒေသကို ရှာဖွေပြီး သတ်မှတ်သတ်မှတ်ရန်-

  1. Project Navigator ရှိ u_blinking_led instance ကို right-click နှိပ်ပြီး Logic Lock Region ➤ New Logic Lock Region ကိုဖန်တီးပါ။ ဒေသသည် Logic Lock Regions Window တွင်ပေါ်လာသည်။
  2. သင်၏နေရာချထားမှုဒေသသည် blinking_led ယုတ္တိဗေဒကို ခိုင်ခံ့စေရမည်။ Chip Planner တွင် node ကိုရှာဖွေခြင်းဖြင့် နေရာချထားရာဒေသကို ရွေးချယ်ပါ။ Logic Lock Regions Window ရှိ u_blinking_led ဒေသအမည်ကို ညာကလစ်နှိပ်ပြီး နှိပ်ပါ။

Node ကိုရှာပါ ➤ Chip Planner တွင်ရှာပါ။ u_blinking_led ဒေသသည် အရောင်-ကုဒ်လုပ်ထားသည်။

blinking_led အတွက် Chip Planner Node တည်နေရာintel-750856-Agilex-FPGA-Development-Board-FIG-1 (6)

  1. Logic Lock Regions ဝင်းဒိုးတွင်၊ မူလကော်လံရှိ နေရာချထားရာဒေသ ပေါင်းစပ်ညှိနှိုင်းမှုများကို သတ်မှတ်ပါ။ မူလအစသည် ဒေသ၏ ဘယ်ဘက်အောက်ထောင့်နှင့် သက်ဆိုင်သည်။ ဟောင်းအတွက်ample၊ (X1 Y1) co-ordinates နှင့် (163 4) ဖြင့် နေရာချထားမှု ဧရိယာကို သတ်မှတ်ရန်၊ မူလကို X163_Y4 အဖြစ် သတ်မှတ်ပါ။ Intel Quartus Prime ဆော့ဖ်ဝဲလ်သည် သင်သတ်မှတ်ပေးသည့် အမြင့်နှင့် အကျယ်အပေါ် အခြေခံ၍ နေရာချထားရာဒေသအတွက် (X2 Y2) ပူးတွဲညှိနှိုင်းမှုများ (ညာဘက်အပေါ်) ကို အလိုအလျောက် တွက်ချက်ပေးပါသည်။
    • မှတ်ချက် - ဤသင်ခန်းစာတွင် (X1 Y1) co-ordinates – (163 4) နှင့် နေရာချထားရာဒေသအတွက် အမြင့်နှင့် အကျယ် 20 ကို အသုံးပြုသည်။ နေရာချထားမှုဒေသအတွက် မည်သည့်တန်ဖိုးကိုမဆို သတ်မှတ်ပါ။ ဒေသသည် blinking_led ယုတ္တိဗေဒကို ဖုံးအုပ်ထားကြောင်း သေချာပါစေ။
  2. Reserved နှင့် Core-Only ရွေးစရာများကို ဖွင့်ပါ။
  3. Routing Region ရွေးချယ်မှုကို နှစ်ချက်နှိပ်ပါ။ Logic Lock Routing Region Settings Dialog Box ပေါ်လာပါမည်။
  4. Routing အမျိုးအစားအတွက် Fixed with expansion ကိုရွေးချယ်ပါ။ ဤရွေးချယ်မှုကို ရွေးချယ်ခြင်းသည် တိုးချဲ့မှု အရှည်ကို အလိုအလျောက် သတ်မှတ်ပေးပါသည်။
    • မှတ်ချက် - Fitter သည် အင်ဂျင်မှ မတူညီသော လူများကို လမ်းကြောင်းပြောင်းသည့်အခါ Fitter အတွက် အပိုပြောင်းလွယ်ပြင်လွယ်ပေးနိုင်ရန် လမ်းကြောင်းပြသည့်နေရာသည် နေရာချထားသည့်ဒေသထက် ပိုကြီးရပါမည်။

Logic Lock Regions Windowintel-750856-Agilex-FPGA-Development-Board-FIG-1 (7)blinking_led.qsf တွင် သင့်ကြမ်းပြင်အစီအစဉ်နှင့် သက်ဆိုင်သော အောက်ပါတာဝန်များ ပါဝင်ကြောင်း အတည်ပြုပါ-intel-750856-Agilex-FPGA-Development-Board-FIG-1 (8)intel-750856-Agilex-FPGA-Development-Board-FIG-1 (9)

ဆက်စပ်အချက်အလက်
Intel Quartus Prime Pro Edition အသုံးပြုသူလမ်းညွှန်- Partial Reconfiguration တွင် “တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်မှုဒီဇိုင်းကို ကြမ်းပြင်ရေးဆွဲခြင်း”

Partial Reconfiguration External Configuration Controller Intel FPGA IP ကို ​​ထည့်သွင်းခြင်း။
တစ်စိတ်တစ်ပိုင်းပြန်လည်ပြင်ဆင်ခြင်း ပြင်ပဖွဲ့စည်းမှုထိန်းချုပ်သူ Intel FPGA IP မျက်နှာပြင်များသည် bitstream အရင်းအမြစ်ကိုစီမံခန့်ခွဲရန် Intel Agilex PR ထိန်းချုပ်မှုပိတ်ဆို့ခြင်းနှင့် ချိတ်ဆက်မှုများ။ ပြင်ပ configuration ကိုအကောင်အထည်ဖော်ရန် ဤ IP ကို ​​သင့်ဒီဇိုင်းတွင် ထည့်ရပါမည်။ Partial Reconfiguration External Configuration Controller ကိုထည့်ရန် ဤအဆင့်များကို လိုက်နာပါ။
သင့်ပရောဂျက်အတွက် Intel FPGA IP

  1. IP Catalog ရှာဖွေမှုအကွက် (Tools ➤ IP Catalog) တွင် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်းကို ရိုက်ထည့်ပါ။
  2. Partial Reconfiguration External Configuration Controller Intel FPGA IP ကို ​​နှစ်ချက်နှိပ်ပါ။
  3. Create IP Variant dialog box တွင် external_host_pr_ip ကို ရိုက်ထည့်ပါ။ File အမည်ကို နှိပ်ပြီး Create ကိုနှိပ်ပါ။ ကန့်သတ်ချက်တည်းဖြတ်မှုပေါ်လာသည်။
  4. အလုပ်များသော အင်တာဖေ့စ် ပါရာမီတာကို ဖွင့်ရန် အတွက်၊ Disable (ပုံသေ ဆက်တင်) ကို ရွေးပါ။ ဤအချက်ပြမှုကို သင်အသုံးပြုရန်လိုအပ်သည့်အခါ ဆက်တင်ကို Enable သို့ ပြောင်းနိုင်သည်။

Parameter Editor တွင် Busy Interface Parameter ကိုဖွင့်ပါ။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (10)

  1. နှိပ်ပါ။ File ➤ စနစ်အား မထုတ်လုပ်ဘဲ ကန့်သတ်ချက်တည်းဖြတ်မှုကို သိမ်းဆည်းပြီး ထွက်ပါ။ ကန့်သတ်ချက်တည်းဖြတ်သူသည် external_host_pr_ip.ip IP ကွဲလွဲမှုကို ထုတ်ပေးသည်။ file နှင့် ပေါင်းထည့်သည်။ file blinking_led ပရောဂျက်သို့။ AN 991- Configuration Pins (External Host) မှတဆင့် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း ဒီဇိုင်း 750856 | 2022.11.14 AN 991-
    • မှတ်ချက် -
    • a. အကယ်၍ သင်သည် external_host_pr_ip.ip ကို ကူးယူပါ file pr directory မှ blinking_led.qsf ကို ကိုယ်တိုင် တည်းဖြတ်ပါ။ file အောက်ပါစာကြောင်းကို ထည့်သွင်းရန်- set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ကို နေရာချပါFILE SDC_ ပြီးနောက် တာဝန်၊FILE သင်၏ blinking_led.qsf တွင် တာဝန်များ (blinking_led. dc) file. ဤအစီအစဉ်သည် Partial Reconfiguration Controller IP core ၏ သင့်လျော်သောကန့်သတ်မှုကို သေချာစေသည်။
    • မှတ်ချက် - နာရီများကို သိရှိရန်၊ .sdc file PR IP အတွက် IP core အသုံးပြုသည့် နာရီများကို ဖန်တီးပေးသည့် မည်သည့် .sdc ကိုမဆို လိုက်နာရပါမည်။ .ip ကိုသေချာစေခြင်းဖြင့် သင်သည် ဤအမှာစာကို အဆင်ပြေချောမွေ့စေပါသည်။ file PR IP core သည် .ip တစ်ခုခုပြီးနောက် ပေါ်လာသည်။ files သို့မဟုတ် .sdc file.qsf တွင် ဤနာရီများကို သတ်မှတ်ရန် သင်အသုံးပြုသော s file သင်၏ Intel Quartus Prime ပရောဂျက်ပြန်လည်ပြင်ဆင်မှုအတွက်။ ပိုမိုသိရှိလိုပါက၊ Partial Reconfiguration IP Solutions အသုံးပြုသူလမ်းညွှန်ကို ကိုးကားပါ။

ထိပ်တန်းအဆင့် ဒီဇိုင်းကို အပ်ဒိတ်လုပ်ခြင်း။

top.sv ကို အပ်ဒိတ်လုပ်ရန် file PR_IP ဥပမာဖြင့်

  1. ထိပ်တန်းအဆင့်ဒီဇိုင်းသို့ external_host_pr_ip instance ကိုထည့်ရန် top.sv ရှိ အောက်ပါကုဒ်တုံးများကို မှတ်ချက်မပေးဘဲ file:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (11)

ပုဂ္ဂိုလ်များကို သတ်မှတ်ခြင်း။
ဤအကိုးအကား ဒီဇိုင်းသည် တစ်ခုတည်းသော PR အခန်းကန့်အတွက် သီးခြားပုဂ္ဂိုလ် သုံးဦးကို သတ်မှတ်သည်။ သင့်ပရောဂျက်တွင် လူပုဂ္ဂိုလ်များကို သတ်မှတ်ရန်နှင့် ထည့်သွင်းရန်-

  1. SystemVerilog သုံးခုဖန်တီးပါ။ files, blinking_led.sv, blinking_led_slow.sv, နှင့် blinking_led_empty.sv တို့သည် လူသုံးဦးအတွက် သင်၏လုပ်ဆောင်နေသော လမ်းညွှန်တွင် ဖြစ်သည်။

ရည်ညွှန်းဒီဇိုင်းပုဂ္ဂိုလ်များintel-750856-Agilex-FPGA-Development-Board-FIG-1 (12) intel-750856-Agilex-FPGA-Development-Board-FIG-1 (13)

မှတ်ချက် -

  • blinking_led.sv ၏ တစ်စိတ်တစ်ပိုင်းအဖြစ် ရနိုင်နေပြီဖြစ်သည်။ fileသင် flat/sub-directory မှကူးယူပါသည်။ ဒါကို ရိုးရိုးရှင်းရှင်း ပြန်သုံးလို့ရတယ်။ file.
  • SystemVerilog ကိုဖန်တီးလျှင် files Intel Quartus Prime Text Editor မှ Add ကို ပိတ်ပါ။ file သိမ်းဆည်းသောအခါတွင် လက်ရှိ ပရောဂျက်ရွေးချယ်မှုသို့ files.

ပြန်လည်ပြင်ဆင်မှုများ ပြုလုပ်ခြင်း။

PR ဒီဇိုင်းစီးဆင်းမှုသည် Intel Quartus Prime ဆော့ဖ်ဝဲလ်တွင် ပရောဂျက်ပြန်လည်ပြင်ဆင်ခြင်းအင်္ဂါရပ်ကို အသုံးပြုသည်။ သင့်၏ကနဦးဒီဇိုင်းသည် FPGA တွင် တည်ငြိမ်ဒေသနယ်နိမိတ်များနှင့် ပြန်လည်ပြင်ဆင်နိုင်သော ဒေသများကို သတ်မှတ်ပေးသည့် အခြေခံပြန်လည်ပြင်ဆင်မှုဖြစ်သည်။ အခြေခံတည်းဖြတ်မှုမှ၊ သင်သည် ပြန်လည်ပြင်ဆင်မှုများစွာကို ဖန်တီးသည်။ ဤပြန်လည်ပြင်ဆင်မှုများတွင် PR ဒေသများအတွက် မတူညီသော အကောင်အထည်ဖော်မှုများ ပါဝင်သည်။ သို့သော်၊ PR အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုအားလုံးသည် အခြေခံပြန်လည်ပြင်ဆင်မှုမှ တူညီသော ထိပ်တန်းအဆင့်နေရာချထားမှုနှင့် လမ်းကြောင်းသတ်မှတ်ခြင်းရလဒ်များကို အသုံးပြုသည်။ PR ဒီဇိုင်းကို စုစည်းရန်၊ လူတစ်ဦးစီအတွက် PR အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုကို ဖန်တီးရပါမည်။ ထို့အပြင်၊ တည်းဖြတ်မှုတစ်ခုစီအတွက် တည်းဖြတ်မှုအမျိုးအစားများကို သတ်မှတ်ပေးရပါမည်။ ရရှိနိုင်သော တည်းဖြတ်မှုအမျိုးအစားများမှာ-

  • တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း – အခြေခံ
  • တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း – ပုဂ္ဂိုလ်ရေးဆိုင်ရာ အကောင်အထည်ဖော်မှု

အောက်ပါဇယားတွင် တည်းဖြတ်မှုတစ်ခုစီအတွက် တည်းဖြတ်မှုအမည်နှင့် တည်းဖြတ်မှုအမျိုးအစားကို ဖော်ပြသည်-

အမည်များနှင့် အမျိုးအစားများကို ပြန်လည်ပြင်ဆင်ပါ။

တည်းဖြတ်မှုအမည် ပြန်လည်ပြင်ဆင်မှု အမျိုးအစား
blinking_led.qsf တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း – အခြေခံ
blinking_led_default.qsf တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း – ပုဂ္ဂိုလ်ရေးဆိုင်ရာ အကောင်အထည်ဖော်မှု
blinking_led_slow.qsf တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း – ပုဂ္ဂိုလ်ရေးဆိုင်ရာ အကောင်အထည်ဖော်မှု
blinking_led_empty.qsf တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း – ပုဂ္ဂိုလ်ရေးဆိုင်ရာ အကောင်အထည်ဖော်မှု

Base Revision Type ကို သတ်မှတ်ခြင်း။

  1. ပရောဂျက် ➤ ပြန်လည်ပြင်ဆင်မှုများကို နှိပ်ပါ။
  2. တည်းဖြတ်မှုအမည်တွင်၊ blinking_led တည်းဖြတ်မှုကို ရွေးပါ၊ ထို့နောက် လက်ရှိသတ်မှတ်မည်ကို နှိပ်ပါ။
  3. Apply ကိုနှိပ်ပါ။ blinking_led တည်းဖြတ်မှုသည် လက်ရှိတည်းဖြတ်မှုအဖြစ် ဖော်ပြသည်။
  4. blinking_led အတွက် ပြန်လည်ပြင်ဆင်မှု အမျိုးအစားကို သတ်မှတ်ရန်၊ Assignments ➤ ဆက်တင်များ ➤ အထွေထွေကို နှိပ်ပါ။
  5. ပြန်လည်ပြင်ဆင်မှု အမျိုးအစားအတွက်၊ Partial Reconfiguration – Base ကိုရွေးချယ်ပြီး OK ကိုနှိပ်ပါ။
  6. ယခု blinking_led.qsf တွင် အောက်ပါတာဝန်ပါရှိသည်ကို အတည်ပြုပါ- ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

အကောင်အထည်ဖော်မှု ပြင်ဆင်ချက်များကို ဖန်တီးခြင်း။

  1. Revisions dialog box ကိုဖွင့်ရန်၊ Project ➤ Revisions ကိုနှိပ်ပါ။
  2. တည်းဖြတ်မှုအသစ်ဖန်တီးရန် <ကိုနှစ်ချက်နှိပ်ပါ။ >
  3. တည်းဖြတ်မှုအမည်တွင်၊ blinking_led_default ကိုသတ်မှတ်ပြီး ပြန်လည်ပြင်ဆင်မှုအပေါ်အခြေခံ၍ blinking_led ကိုရွေးချယ်ပါ။
  4. ပြန်လည်ပြင်ဆင်မှု အမျိုးအစားအတွက်၊ Partial Reconfiguration – PersonaImplementation ကို ရွေးချယ်ပါ။

ပြန်လည်ပြင်ဆင်မှုများ ပြုလုပ်ခြင်း။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (14)

  1. အလားတူ၊ blinking_led_slow နှင့် blinking_led_empty တည်းဖြတ်မှုများအတွက် တည်းဖြတ်မှုအမျိုးအစားကို သတ်မှတ်ပါ။
  2. .qsf တစ်ခုစီကို စစ်ဆေးပါ။ file ယခုတွင် အောက်ပါတာဝန်ပါရှိသည်- set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING\place_holder -to u_blinking_led နေရာတွင်၊ place_holder သည် အသစ်ဖန်တီးထားသော PR အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုအတွက် မူရင်းအမည်ဖြစ်သည်။

ပရောဂျက် ပြန်လည်ပြင်ဆင်မှုများintel-750856-Agilex-FPGA-Development-Board-FIG-1 (16)

အခြေ အနေကို ပြုစုခြင်း။

  1. အခြေခံပြန်လည်ပြင်ဆင်မှုကို စုစည်းရန်၊ လုပ်ဆောင်ခြင်း ➤ စတင်စုစည်းခြင်းကို နှိပ်ပါ။ တနည်းအားဖြင့် အောက်ပါ command သည် base revision ကို compile လုပ်သည်- quartus_sh –flow compile blinking_led -c blinking_led
  2. bitstream ကိုစစ်ဆေးပါ။ fileoutput_ တွင် ထုတ်ပေးသော၊files လမ်းညွှန်။

ထုတ်ပေးသည်။ Files

နာမည် ရိုက်ပါ။ ဖော်ပြချက်
blinking_led.sof အခြေခံပရိုဂရမ်ရေးဆွဲခြင်း။ file full-chip base configuration အတွက် သုံးသည်။
blinking_led.pr_partition.rbf PR bitstream file အခြေခံပုဂ္ဂိုလ်အတွက် အခြေခံပုဂ္ဂိုလ်၏ တစ်စိတ်တစ်ပိုင်း ပြန်လည်ဖွဲ့စည်းမှုအတွက် အသုံးပြုသည်။
blinking_led_static.qdb .qdb ဒေတာဘေ့စ် file အပြီးသတ်ဒေတာဘေ့စ် file static region ကိုတင်သွင်းရန်အသုံးပြုသည်။

ဆက်စပ်အချက်အလက်

  • Intel Quartus Prime Pro Edition အသုံးပြုသူလမ်းညွှန်- Partial Reconfiguration တွင် “တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်မှုဒီဇိုင်းကို ကြမ်းပြင်ရေးဆွဲခြင်း”
  • Intel Quartus Prime Pro Edition အသုံးပြုသူလမ်းညွှန်- တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်းရှိ “ကြမ်းပြင်အစီအစဉ်ကို ကန့်သတ်ချက်များ တိုးမြင့်အသုံးပြုခြင်း”

PR အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုများ ပြင်ဆင်ခြင်း။
စက်ပစ္စည်းပရိုဂရမ်ရေးဆွဲခြင်းအတွက် PR bitstream ကို စုစည်း၍ ထုတ်လုပ်ခြင်းမပြုမီ သင်သည် PR အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုများကို ပြင်ဆင်ရပါမည်။ ဤထည့်သွင်းမှုတွင် အငြိမ်ဒေသ .qdb ကို ထည့်သွင်းခြင်း ပါဝင်သည်။ file အရင်းအမြစ်အဖြစ် file အကောင်အထည်ဖော်မှုတစ်ခုစီအတွက် ပြန်လည်ပြင်ဆင်မှု။ ထို့အပြင်၊ သင်သည် PR ဒေသ၏ သက်ဆိုင်ရာ entity ကို သတ်မှတ်ရပါမည်။

  1. လက်ရှိတည်းဖြတ်မှုကို သတ်မှတ်ရန်၊ ပရောဂျက် ➤ ပြန်လည်ပြင်ဆင်မှုများကို နှိပ်ပါ၊ တည်းဖြတ်မှုအမည်အဖြစ် blinking_led_default ကို ရွေးပါ၊ ထို့နောက် လက်ရှိသတ်မှတ်မည်ကို နှိပ်ပါ။
  2. အကောင်အထည်ဖော်မှုပြန်လည်ပြင်ဆင်မှုတစ်ခုစီအတွက် မှန်ကန်သောအရင်းအမြစ်ကို အတည်ပြုရန်၊ ပရောဂျက် ➤ Add/Remove ကိုနှိပ်ပါ။ FileProject ထဲမှာ s ပါ။ blinking_led.sv file တွင်ပေါ်လာသည်။ file စာရင်း။

FilesPageintel-750856-Agilex-FPGA-Development-Board-FIG-1 (17)

  1. အခြားအကောင်အထည်ဖော်မှု တည်းဖြတ်မှုအရင်းအမြစ်ကို အတည်ပြုရန် အဆင့် 1 မှ 2 ကို ပြန်လုပ်ပါ။ files:
အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်ခြင်း အမည် အရင်းအမြစ် File
blinking_led_default blinking_led.sv
blinking_led_ဗလာ blinking_led_empty.sv
blinking_led_slow blinking_led_slow.sv
  1. .qdb ကို အတည်ပြုရန် file root partition နှင့်ဆက်စပ်နေသော Assignments ➤ Design Partitions Window ကိုနှိပ်ပါ။ Partition Database ကို အတည်ပြုပါ။ File blinking_led_static.qdb ကို သတ်မှတ်သည်။ fileသို့မဟုတ် Partition Database ကို နှစ်ချက်နှိပ်ပါ။ File ဒါကို သတ်မှတ်ဖို့ cell file. တနည်းအားဖြင့် အောက်ပါ command သည် ၎င်းကို သတ်မှတ်ပေးသည်။ file: set_instance_assignment -name QDB_FILE_PARTITION\ blinking_led_static.qdb -to |
  2. Entity Re-binding cell တွင်၊ အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုတွင် သင်ပြောင်းလဲသော PR အပိုင်းတစ်ခုစီ၏ entity အမည်ကို သတ်မှတ်ပါ။ blinking_led_default အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်မှုအတွက်၊ အဖွဲ့အစည်းအမည်သည် blinking_led ဖြစ်သည်။ ဤသင်ခန်းစာတွင်၊ သင်သည် blinking_led entity အသစ်ဖြင့် အခြေခံတည်းဖြတ်မှု compile မှ u_blinking_led instance ကို ထပ်ရေးသည်။

မှတ်ချက် - နေရာယူထားသည့်အရာအား ပြန်လည်ပေါင်းစပ်ခြင်းတာဝန်ကို အကောင်အထည်ဖော်မှုပြန်လည်ပြင်ဆင်မှုတွင် အလိုအလျောက်ထည့်သွင်းထားသည်။ သို့သော်၊ သင်သည် သင်၏ ဒီဇိုင်းအတွက် သင့်လျော်သော entity အမည်သို့ assignment ရှိ မူရင်း entity အမည်ကို ပြောင်းရပါမည်။

အကောင်အထည်ဖော်မှု ပြန်လည်ပြင်ဆင်ခြင်း အမည် Entity Re-binding
blinking_led_default blinking_led
blinking_led_slow blinking_led_slow
blinking_led_ဗလာ blinking_led_ဗလာ

အကြောင်းအရာကို ပြန်လှန်ခြင်း။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (18)

  1. ဒီဇိုင်းကို စုစည်းရန်၊ လုပ်ဆောင်ခြင်း ➤ စတင်စုစည်းခြင်းကို နှိပ်ပါ။ တနည်းအားဖြင့် အောက်ပါ command သည် ဤပရောဂျက်ကို စုစည်းသည်- quartus_sh –flow compile blinking_led –c blinking_led_default
  2. blinking_led_slow နှင့် blinking_led_empty တည်းဖြတ်မှုများကို ပြင်ဆင်ရန် အထက်ပါအဆင့်များကို ပြန်လုပ်ပါ- quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

မှတ်ချက် - PR အကောင်အထည်ဖော်မှုစုစည်းမှုအတွင်း သင်လျှောက်ထားလိုသည့် Fitter ၏ သီးခြားဆက်တင်များကို သင်သတ်မှတ်နိုင်သည်။ Fitter သီးသန့်ဆက်တင်များသည် တင်သွင်းထားသော အငြိမ်ဒေသကို မထိခိုက်စေဘဲ လူပုဂ္ဂိုလ်၏ အံဝင်ခွင်ကျကိုသာ သက်ရောက်မှုရှိသည်။

ပရိုဂရမ်ရေးဆွဲခြင်း။
ဤသင်ခန်းစာသည် သင်၏အိမ်ရှင်စက်ရှိ PCIe* အပေါက်၏အပြင်ဘက်ရှိ ခုံတန်းပေါ်တွင် Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့ကို အသုံးပြုထားသည်။ သင်ဘုတ်အဖွဲ့ကို အစီအစဉ်မချမီ အောက်ပါအဆင့်များ ပြီးမြောက်ကြောင်း သေချာပါစေ။

  1. ပါဝါထောက်ပံ့မှုကို Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့သို့ ချိတ်ဆက်ပါ။
  2. သင့် PC USB ပေါက်နှင့် Intel FPGA ဒေါင်းလုဒ်ကြိုးပေါက်ကြားရှိ Intel FPGA ဒေါင်းလုဒ်ကြိုးအား ချိတ်ဆက်ပါ။

Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့တွင် ဒီဇိုင်းကို လုပ်ဆောင်ရန်-

  1. Intel Quartus Prime ဆော့ဖ်ဝဲကိုဖွင့်ပြီး ကိရိယာများ ➤ ပရိုဂရမ်မာကို နှိပ်ပါ။
  2. Programmer တွင် Hardware Setup ကိုနှိပ်ပြီး USB-Blaster ကိုရွေးချယ်ပါ။
  3. Auto Detect ကိုနှိပ်ပြီး AGFB014R24AR0 စက်ပစ္စည်းကို ရွေးချယ်ပါ။
  4. OK ကိုနှိပ်ပါ။ Intel Quartus Prime ဆော့ဖ်ဝဲသည် ပရိုဂရမ်မာကို ဘုတ်ပေါ်ရှိ FPGA စက်သုံးမျိုးဖြင့် ရှာဖွေပြီး အပ်ဒိတ်လုပ်သည်။
  5. AGFB014R24AR0 စက်ပစ္စည်းကို ရွေးပါ၊ Change ကိုနှိပ်ပါ။ File blinking_led_default.sof ကိုဖွင့်ပါ။ file.
  6. blinking_led_default.sof အတွက် Program/Configure ကိုဖွင့်ပါ။ file.
  7. Start ကိုနှိပ်ပြီး 100% ရောက်ရန် တိုးတက်မှုဘားကို စောင့်ပါ။
  8. မူလပြားချပ်ချပ်ဒီဇိုင်းနှင့် တူညီသောကြိမ်နှုန်းဖြင့် ဘုတ်ပေါ်ရှိ LED မီးများကို သတိပြုပါ။
  9. PR ဒေသကိုသာ အစီအစဉ်ချရန်၊ blinking_led_default.sof ကို ညာဖက်ကလစ်နှိပ်ပါ။ file Programmer တွင် Add PR Programming ကိုနှိပ်ပါ။ File.
  10. blinking_led_slow.pr_partition.rbf ကို ရွေးပါ။ file.
  11. blinking_led_default.sof အတွက် ပရိုဂရမ်/Configure ကို ပိတ်ပါ။ file.
  12. blinking_led_slow.pr_partition.rbf အတွက် Program/Configure ကိုဖွင့်ပါ။ file Start ကိုနှိပ်ပါ။ ဘုတ်ပေါ်တွင်၊ LED[0] နှင့် LED[1] ကို မျက်တောင်ခတ်နေသည် ကို သတိပြုပါ။ တိုးတက်မှုဘားသည် 100%, LED[2] နှင့် LED[3] သို့ နှေးကွေးစွာမှိတ်တုတ်မှိတ်တုတ်။
  13. PR ဒေသကို ပြန်လည်အစီအစဉ်ချရန်၊ .rbf ကို ညာကလစ်နှိပ်ပါ။ file Programmer တွင် Change PR Programing ကို နှိပ်ပါ။ File.
  14. .rbf ကို ရွေးပါ။ fileဘုတ်အဖွဲ့ပေါ်ရှိ အပြုအမူကို စောင့်ကြည့်ရန် အခြားလူနှစ်ဦးအတွက်။ blinking_led_default.rbf ကို ဖွင့်နေသည်။ file LED များကို တိကျသောကြိမ်နှုန်းတစ်ခုတွင် မှိတ်တုတ်ဖြစ်စေပြီး blinking_led_empty.rbf ကို ဖွင့်နေသည် file LED များကို ON စေပါသည်။

Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့ကို ပရိုဂရမ်ရေးဆွဲခြင်း။intel-750856-Agilex-FPGA-Development-Board-FIG-1 (19)Hardware Testing Flow

အောက်ပါ sequences များသည် ကိုးကားဒီဇိုင်း hardware testing flow ကို ဖော်ပြသည်။
Intel Agilex ကိရိယာ ပြင်ပရှိ ဟာ့ဒ်ဝဲ တပ်ဆင်မှုintel-750856-Agilex-FPGA-Development-Board-FIG-1 (20)

Helper FPGA (ပြင်ပအိမ်ရှင်) ပရိုဂရမ်
အောက်ဖော်ပြပါ အစီအစဥ်သည် PR လုပ်ငန်းစဉ်ကို ပြင်ပအိမ်ရှင်အဖြစ် လုပ်ဆောင်သည့် အကူအညီပေးသူ FPGA ပရိုဂရမ်ရေးဆွဲခြင်းကို ဖော်ပြသည်-

  1. သင်ရွေးချယ်သည့်မုဒ် (x8၊ x16၊ သို့မဟုတ် x32) နှင့် ကိုက်ညီသော Avalon streaming interface ဆက်တင်ကို သတ်မှတ်ပါ။
  2. Intel Quartus Prime ပရိုဂရမ်မာနှင့် ချိတ်ဆက်ထားသော ဖွဲ့စည်းမှုကေဘယ်လ်ကို အသုံးပြု၍ အကူအညီပေးသူ FPGA ပရိုဂရမ်ဖြင့် ပလပ်ဖောင်းကို စတင်လိုက်ပါ။
  3. အကူအညီပေးသူ FPGA ကိုအသုံးပြု၍ CONF_DONE နှင့် AVST_READY အချက်ပြမှုများကို ဖတ်ပါ။ CONF_DONE သည် 0 ဖြစ်သင့်ပြီး၊ AVST_READY သည် 1 ဖြစ်သင့်သည်။ ဤပင်တွယ်တွင် လော့ဂျစ်မြင့်မားခြင်းသည် SDM သည် ပြင်ပအိမ်ရှင်ထံမှ ဒေတာလက်ခံရန် အသင့်ဖြစ်နေပြီဟု ညွှန်ပြသည်။ ဤအထွက်သည် SDM I/O ၏ တစ်စိတ်တစ်ပိုင်းဖြစ်သည်။

မှတ်ချက် - CONF_DONE ပင်နံပါတ်သည် bitstream လွှဲပြောင်းမှု အောင်မြင်ကြောင်း ပြင်ပ host ကို အချက်ပြသည်။ ချစ်ပ်ဖွဲ့စည်းပုံ လုပ်ငန်းစဉ် အပြည့်အစုံကို စောင့်ကြည့်ရန် ဤအချက်ပြမှုများကို အသုံးပြုပါ။ ဤပင်နံပါတ်အပေါ် နောက်ထပ်အချက်အလက်များအတွက် Intel Agilex ဖွဲ့စည်းမှုပုံစံအသုံးပြုသူလမ်းညွှန်ကို ကိုးကားပါ။

External Host မှတဆင့် DUT FPGA ကို Full Chip SOF ဖြင့် ပရိုဂရမ်ပြုလုပ်ပါ အောက်ပါအစီအစဥ်သည် DUT FPGA အား ချစ်ပ်အပြည့်ဖြင့် SRAM Object ဖြင့် ပရိုဂရမ်ပြုလုပ်သည် File (.sof) လက်ခံသူ Avalon ထုတ်လွှင့်မှု အင်တာဖေ့စ်ကို အသုံးပြုနေသည်-

  1. အကူအညီပေးသူ FPGA (ပြင်ပအိမ်ရှင်) ၏ DDR4 ပြင်ပမှတ်ဉာဏ်တွင် ချစ်ပ်ဘစ်စကြောင်းအပြည့်အစုံကို ရေးပါ။
  2. Avalon တိုက်ရိုက်ထုတ်လွှင့်မှု အင်တာဖေ့စ် (x8၊ x16၊ x32) ကို အသုံးပြု၍ DUT FPGA ကို ချပ်စ်အပြည့်ဖြင့် .sof ကို သတ်မှတ်ပါ။
  3. အခြေအနေကို DUT FPGA ဖွဲ့စည်းမှုဆိုင်ရာ အချက်ပြမှုများကို ဖတ်ပါ။ CONF_DONE သည် 1 ဖြစ်သင့်သည်၊ AVST_READY သည် 0 ဖြစ်သင့်သည်။

အချိန်သတ်မှတ်ချက်များ- တစ်စိတ်တစ်ပိုင်းပြန်လည်ပြင်ဆင်ခြင်း ပြင်ပထိန်းချုပ်သူ Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (21)

External Host မှတစ်ဆင့် First Persona ဖြင့် DUT FPGA ကို အစီအစဉ်ဆွဲပါ။

  1. DUT FPGA ရှိ ပစ်မှတ် PR ဒေသတွင် အေးခဲမှုကို အသုံးချပါ။
  2. Intel Quartus Prime System Console ကိုအသုံးပြု၍ တစ်စိတ်တစ်ပိုင်းပြန်လည်ဖွဲ့စည်းခြင်းကိုစတင်ရန် pr_request ကို အခိုင်အမာအတည်ပြုပါ။ AVST_READY သည် 1 ဖြစ်သင့်သည်။
  3. အကူအညီပေးသူ FPGA (ပြင်ပအိမ်ရှင်) ၏ DDR4 ပြင်ပမှတ်ဉာဏ်တွင် ပထမဆုံး PR persona bitstream ကိုရေးပါ။
  4. Avalon streaming interface (x8, x16, x32) ကိုအသုံးပြု၍ DUT FPGA ကို ပထမဆုံး persona bitstream ဖြင့် ပြန်လည်ပြင်ဆင်ပါ။
  5. PR အခြေအနေကို စောင့်ကြည့်ရန်၊ System Console စတင်ရန် Tools ➤ System Console ကိုနှိပ်ပါ။ System Console တွင်၊ PR အခြေအနေကို စောင့်ကြည့်ပါ-
    • pr_error သည် 2—ပြန်လည်ပြင်ဆင်ခြင်းလုပ်ငန်းစဉ်တွင်ဖြစ်သည်။
    • pr_error သည် 3—ပြန်လည်ပြင်ဆင်ခြင်း ပြီးပါပြီ။
  6. DUT FPGA ရှိ PR ဒေသတွင် အအေးခဲခြင်းကို ဖယ်ရှားပါ။

မှတ်ချက် - ဗားရှင်းစစ်ဆေးခြင်း သို့မဟုတ် ခွင့်ပြုချက်စစ်ဆေးခြင်းတွင် ချို့ယွင်းမှုကဲ့သို့သော PR လုပ်ဆောင်မှုအတွင်း အမှားအယွင်းတစ်ခု ဖြစ်ပေါ်ပါက၊ PR လုပ်ဆောင်ချက်သည် ရပ်စဲသွားမည်ဖြစ်သည်။

ဆက်စပ်အချက်အလက်

  • Intel Agilex Configuration အသုံးပြုသူလမ်းညွှန်
  • Intel Quartus Prime Pro Edition အသုံးပြုသူလမ်းညွှန်- အမှားရှာပြင်တူးလ်များ

AN 991 အတွက် စာရွက်စာတမ်း ပြန်လည်ပြင်ဆင်မှု မှတ်တမ်း- Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့အတွက် ဒီဇိုင်းပုံစံ ပင်နံပါတ်များ (ပြင်ပအိမ်ရှင်) မှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်း ပြန်လည်ပြင်ဆင်ခြင်း

စာရွက်စာတမ်းဗားရှင်း Intel Quartus Prime ဗားရှင်း အပြောင်းအလဲများ
2022.11.14 22.3 • ကနဦးထုတ်ဝေမှု။

AN 991- Configuration Pins (ပြင်ပအိမ်ရှင်) မှတစ်ဆင့် တစ်စိတ်တစ်ပိုင်းပြန်လည်ပြင်ဆင်ခြင်း ဒီဇိုင်း- Intel Agilex F-Series FPGA ဖွံ့ဖြိုးတိုးတက်မှုဘုတ်အဖွဲ့အတွက်

ထိပ်တန်း FAQ များအတွက် အဖြေများ-

  • Q configuration pins မှတဆင့် PR ဆိုတာဘာလဲ။
  • A စာမျက်နှာ 3 ရှိ ပြင်ပအိမ်ရှင်ဖွဲ့စည်းမှုပုံစံ
  • Q ဤအကိုးအကားဒီဇိုင်းအတွက် ကျွန်ုပ်ဘာလိုအပ်သနည်း။
  • A စာမျက်နှာ ၆ ရှိ ဒီဇိုင်းလိုအပ်ချက်များကို အကိုးအကား
  • Q ရည်ညွှန်းဒီဇိုင်းကို ဘယ်မှာရနိုင်မလဲ။
  • A စာမျက်နှာ ၆ ရှိ ဒီဇိုင်းလိုအပ်ချက်များကို အကိုးအကား
  • Q ပြင်ပဖွဲ့စည်းမှုစနစ်ဖြင့် PR ကို မည်သို့လုပ်ဆောင်ရမည်နည်း။
  • A စာမျက်နှာ ၆ ရှိ ဒီဇိုင်းဆိုင်ရာ လမ်းညွှန်ချက် အကိုးအကား
  • Q PR ပုဂ္ဂိုလ်ဆိုတာ ဘာလဲ။
  • A စာမျက်နှာ 11 တွင် ပုဂ္ဂိုလ်များကို သတ်မှတ်ခြင်း
  • Q ဘုတ်ကို ဘယ်လို အစီအစဉ်ဆွဲရမလဲ။
  • A စာမျက်နှာ ၁၇ တွင် ဘုတ်အဖွဲ့ကို အစီအစဉ်ဆွဲပါ။
  • Q PR ဆိုင်ရာ သိရှိထားသည့် ပြဿနာများနှင့် ကန့်သတ်ချက်များကား အဘယ်နည်း။
  • A Intel FPGA ပံ့ပိုးမှုဖိုရမ်များ- PR
  • Q PR နဲ့ပတ်သက်တဲ့ သင်တန်းရှိလား။
  • A Intel FPGA နည်းပညာလေ့ကျင့်ရေးကတ်တလောက်

အွန်လိုင်းဗားရှင်း တုံ့ပြန်ချက်ပေးပို့ပါ။

  • ID- 750856
  • ဗားရှင်း- 2022.11.14

စာရွက်စာတမ်းများ / အရင်းအမြစ်များ

intel 750856 Agilex FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့ [pdf] အသုံးပြုသူလမ်းညွှန်
750856၊ 750857၊ 750856 Agilex FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့၊ Agilex FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့၊ FPGA ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့၊ ဖွံ့ဖြိုးတိုးတက်ရေးဘုတ်အဖွဲ့၊ ဘုတ်အဖွဲ့

ကိုးကား

မှတ်ချက်တစ်ခုချန်ထားပါ။

သင့်အီးမေးလ်လိပ်စာကို ထုတ်ပြန်မည်မဟုတ်ပါ။ လိုအပ်သောအကွက်များကို အမှတ်အသားပြုထားသည်။ *