இன்டெல்-லோகோ

இன்டெல் 750856 அஜிலெக்ஸ் FPGA மேம்பாட்டு வாரியம்

இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-தயாரிப்பு

தயாரிப்பு தகவல்

இந்த குறிப்பு வடிவமைப்பு இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ டெவலப்மென்ட் போர்டுக்கானது. இது பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் எஃப்பிஜிஏ ஐபியைப் பயன்படுத்துகிறது மற்றும் ஒரு எளிய PR பகுதியைக் கொண்டுள்ளது. இன்டெல் அஜிலெக்ஸ் சாதன வெளிப்புற ஹோஸ்ட் வன்பொருள் அமைப்பு ஒரு வெளிப்புற சாதனம் (ஹெல்பர் எஃப்பிஜிஏ), ஒரு டியுடி எஃப்பிஜிஏ மற்றும் உங்கள் வெளிப்புற ஹோஸ்ட் வடிவமைப்பைக் கொண்டுள்ளது. வெளிப்புற சாதனத்தில் உள்ள ஹோஸ்ட் வடிவமைப்பு PR செயல்முறையை ஹோஸ்ட் செய்வதற்கு பொறுப்பாகும். PR பின்கள் இரண்டு சாதனங்களையும் இணைக்கப் பயன்படுத்தப்படுகின்றன, மேலும் அவை கிடைக்கக்கூடிய எந்த பயனர் I/O களாகவும் இருக்கலாம்.

தயாரிப்பு பயன்பாட்டிற்கான வழிமுறைகள்

வெளிப்புற ஹோஸ்ட் உள்ளமைவு

வெளிப்புற ஹோஸ்ட் உள்ளமைவைச் செய்ய, இந்தப் படிகளைப் பின்பற்றவும்:

  1. PR செயல்முறையை ஹோஸ்ட் செய்ய வெளிப்புற சாதனத்தில் ஒரு ஹோஸ்ட் வடிவமைப்பை உருவாக்கவும்.
  2. வெளிப்புற சாதனத்திலிருந்து PR பின்களை DUT FPGA இல் உள்ள பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி Intel FPGA IP உடன் இணைக்கவும்.
  3. ஹோஸ்ட் வடிவமைப்பிலிருந்து இன்டெல் அஜிலெக்ஸ் அவலோன் ஸ்ட்ரீமிங் இடைமுக ஊசிகளுக்கு ஸ்ட்ரீம் உள்ளமைவுத் தரவு, இது IP இலிருந்து PR ஹேண்ட்ஷேக்கிங் சிக்னல்களுடன் தொடர்புடையது.

உள்ளமைவு பின்ஸ் செயல்பாடு மூலம் பகுதி மறுகட்டமைப்பு

பின்வரும் வரிசை, உள்ளமைவு ஊசிகள் வழியாக பகுதி மறுகட்டமைப்பின் செயல்பாட்டை விவரிக்கிறது:

  1. பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP உடன் இணைக்கப்பட்ட pr_request பின்னை உறுதிப்படுத்தவும்.
  2. PR செயல்முறை நடந்து கொண்டிருப்பதைக் குறிக்க IP ஒரு பிஸி சிக்னலை வலியுறுத்துகிறது (விரும்பினால்).
  3. உள்ளமைவு அமைப்பு ஒரு PR செயல்பாட்டிற்கு தயாராக இருந்தால், avst_ready முள் உறுதிப்படுத்தப்படுகிறது, இது தரவை ஏற்கத் தயாராக உள்ளது என்பதைக் குறிக்கிறது.
  4. பின் அழுத்தத்துடன் தரவு பரிமாற்றத்திற்கான Avalon ஸ்ட்ரீமிங் விவரக்குறிப்பைப் பின்பற்றி, avst_data பின்கள் மற்றும் avst_valid பின் மீது PR உள்ளமைவு தரவை ஸ்ட்ரீம் செய்யவும்.
  5. avst_ready பின் உறுதிப்படுத்தப்படாதபோது ஸ்ட்ரீமிங் நிறுத்தப்படும்.
  6. PR செயல்பாட்டிற்கு மேலும் தரவு தேவையில்லை என்பதைக் குறிக்க avst_ready பின்னை நீக்கவும்.
  7. பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP, செயல்முறையின் முடிவைக் குறிக்க பிஸி சிக்னலை நீக்குகிறது (விரும்பினால்).

உள்ளமைவு பின்கள் (வெளிப்புற ஹோஸ்ட்) குறிப்பு வடிவமைப்பு வழியாக பகுதி மறுகட்டமைப்பு

இந்தப் பயன்பாட்டுக் குறிப்பு, Intel® Agilex® F-Series FPGA மேம்பாட்டுப் பலகையில் உள்ளமைவு ஊசிகள் (வெளிப்புற ஹோஸ்ட்) வழியாக பகுதி மறுகட்டமைப்பைக் காட்டுகிறது.

குறிப்பு வடிவமைப்பு முடிந்ததுview

பகுதி மறுகட்டமைப்பு (PR) அம்சம், மீதமுள்ள FPGA வடிவமைப்பு தொடர்ந்து செயல்படும் அதே வேளையில், FPGA இன் ஒரு பகுதியை மாறும் வகையில் மறுகட்டமைக்க உங்களை அனுமதிக்கிறது. உங்கள் வடிவமைப்பில் ஒரு குறிப்பிட்ட பகுதிக்கு இந்த பகுதிக்கு வெளியே உள்ள பகுதிகளில் செயல்பாட்டை பாதிக்காத பல நபர்களை நீங்கள் உருவாக்கலாம். பல செயல்பாடுகள் ஒரே FPGA சாதன வளங்களை நேர-பகிர்வு செய்யும் அமைப்புகளில் இந்த முறை பயனுள்ளதாக இருக்கும். இன்டெல் குவார்டஸ்® பிரைம் ப்ரோ பதிப்பு மென்பொருளின் தற்போதைய பதிப்பு பகுதி மறுகட்டமைப்பிற்கான புதிய மற்றும் எளிமைப்படுத்தப்பட்ட தொகுப்பு ஓட்டத்தை அறிமுகப்படுத்துகிறது. இந்த இன்டெல் அஜிலெக்ஸ் குறிப்பு வடிவமைப்பு பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP ஐப் பயன்படுத்துகிறது மற்றும் ஒரு எளிய PR பகுதியைக் கொண்டுள்ளது.

இன்டெல் அஜிலெக்ஸ் சாதன வெளிப்புற ஹோஸ்ட் வன்பொருள் அமைப்புஇன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (1)

வெளிப்புற ஹோஸ்ட் உள்ளமைவு

வெளிப்புற ஹோஸ்ட் உள்ளமைவில், இன்டெல் அஜிலெக்ஸ் சாதன வெளிப்புற ஹோஸ்ட் வன்பொருள் அமைப்பு காட்டுவது போல, PR செயல்முறையை ஹோஸ்ட் செய்ய நீங்கள் முதலில் வெளிப்புற சாதனத்தில் ஒரு ஹோஸ்ட் வடிவமைப்பை உருவாக்க வேண்டும். ஹோஸ்ட் வடிவமைப்பு, பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்பாட்டாளர் இன்டெல் FPGA IP இலிருந்து வரும் PR ஹேண்ட்ஷேக்கிங் சிக்னல்களுடன் தொடர்புடைய இன்டெல் அஜிலெக்ஸ் அவலோன் ஸ்ட்ரீமிங் இடைமுக பின்களுக்கு உள்ளமைவு தரவை ஸ்ட்ரீம் செய்கிறது. இரண்டு சாதனங்களையும் இணைக்க நீங்கள் பயன்படுத்தும் PR பின்கள் கிடைக்கக்கூடிய எந்த பயனர் I/Os ஆகவும் இருக்கலாம்.

பின்வரும் வரிசை, உள்ளமைவு ஊசிகளின் செயல்பாட்டின் மூலம் பகுதி மறுகட்டமைப்பை விவரிக்கிறது:

  1. முதலில் பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP உடன் இணைக்கப்பட்டுள்ள pr_request பின்னை உறுதிப்படுத்தவும்.
  2. PR செயல்முறை நடந்து கொண்டிருப்பதைக் குறிக்க IP ஒரு பிஸி சிக்னலை வலியுறுத்துகிறது (விரும்பினால்).
  3. உள்ளமைவு அமைப்பு ஒரு PR செயல்பாட்டிற்கு உட்படத் தயாராக இருந்தால், avst_ready முள் அது தரவை ஏற்கத் தயாராக இருப்பதைக் குறிக்கிறது.
  4. பின் அழுத்தத்துடன் தரவு பரிமாற்றத்திற்கான Avalon ஸ்ட்ரீமிங் விவரக்குறிப்பைக் கவனிக்கும் அதே வேளையில், avst_data பின்கள் மற்றும் avst_valid பின் வழியாக PR உள்ளமைவுத் தரவை ஸ்ட்ரீம் செய்யத் தொடங்குங்கள்.
  5. avst_ready பின் உறுதிப்படுத்தப்படாத போதெல்லாம் ஸ்ட்ரீமிங் நிறுத்தப்படும்.
  6. அனைத்து உள்ளமைவுத் தரவையும் ஸ்ட்ரீம் செய்த பிறகு, PR செயல்பாட்டிற்கு மேலும் தரவு தேவையில்லை என்பதைக் குறிக்க avst_ready முள் உறுதிப்படுத்தப்படவில்லை.
  7. பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP, செயல்முறையின் முடிவைக் குறிக்க பிஸி சிக்னலை வழங்குகிறது (விரும்பினால்).
  8. PR செயல்பாடு வெற்றிகரமாக முடிந்ததா என்பதை உறுதிப்படுத்த pr_done மற்றும் pr_error பின்களை நீங்கள் சரிபார்க்கலாம். பதிப்பு சரிபார்ப்பு மற்றும் அங்கீகார சரிபார்ப்பில் தோல்வி போன்ற பிழை ஏற்பட்டால், PR செயல்பாடு நிறுத்தப்படும்.

தொடர்புடைய தகவல்

  • Intel Agilex F-Series FPGA டெவலப்மெண்ட் கிட் Web பக்கம்
  • இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ டெவலப்மென்ட் கிட் பயனர் கையேடு
  • இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: பகுதி மறுகட்டமைப்பு

பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP
PR செயல்பாட்டிற்காக PR தரவை ஸ்ட்ரீம் செய்ய பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி உள்ளமைவு பின்களைப் பயன்படுத்த வேண்டும். மையத்திலிருந்து பாதுகாப்பான சாதன மேலாளருடன் (SDM) ஹோஸ்டின் கைகுலுக்கலை அனுமதிக்க, பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்பாட்டாளர் Intel FPGA IP இன் அனைத்து உயர்-நிலை போர்ட்களையும் pr_request பின்னுடன் இணைக்க வேண்டும். உங்கள் MSEL அமைப்பின் படி, எந்த வகையான உள்ளமைவு பின்களைப் பயன்படுத்த வேண்டும் என்பதை SDM தீர்மானிக்கிறது.

பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IPஇன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (2)

பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி அளவுரு அமைப்புகள்

அளவுரு மதிப்பு விளக்கம்
பிஸி இடைமுகத்தை இயக்கு இயக்கு or

முடக்கு

வெளிப்புற உள்ளமைவின் போது PR செயலாக்கம் செயலில் இருப்பதைக் குறிக்கும் ஒரு சமிக்ஞையை உறுதிப்படுத்தும் பிஸி இடைமுகத்தை இயக்க அல்லது முடக்க உங்களை அனுமதிக்கிறது.

இயல்புநிலை அமைப்பாகும் முடக்கு.

பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி துறைமுகங்கள்

துறைமுக பெயர் அகலம் திசை செயல்பாடு
முன்_கோரிக்கை 1 உள்ளீடு PR செயல்முறை தொடங்கத் தயாராக உள்ளது என்பதைக் குறிக்கிறது. இந்த சமிக்ஞை எந்த கடிகார சமிக்ஞையுடனும் ஒத்திசைவற்ற ஒரு குழாய் ஆகும்.
pr_பிழை 2 வெளியீடு பகுதி மறுகட்டமைப்பு பிழையைக் குறிக்கிறது.:

• 2'b01—பொது PR பிழை

• 2'b11—பொருந்தாத பிட்ஸ்ட்ரீம் பிழை

இந்த சமிக்ஞைகள் எந்த கடிகார மூலத்துடனும் ஒத்திசைவற்ற குழாய்கள்.

முடிந்தது 1 வெளியீடு PR செயல்முறை முடிந்தது என்பதைக் குறிக்கிறது. இந்த சமிக்ஞை எந்த கடிகார சமிக்ஞையுடனும் ஒத்திசைவற்ற ஒரு குழாய் ஆகும்.
தொடக்க_சேர்க்கை 1 உள்ளீடு ஆக்டிவ் சீரியல் ஃபிளாஷில் PR தரவின் தொடக்க முகவரியைக் குறிப்பிடுகிறது. இந்த சிக்னலை நீங்கள் எதையாவது தேர்ந்தெடுப்பதன் மூலம் இயக்கலாம் அவலோன்®-எஸ்.டி or ஆக்டிவ் சீரியல் க்கான Avalon-ST பின்கள் அல்லது செயலில் உள்ள சீரியல் பின்களை இயக்கு. அளவுரு. இந்த சமிக்ஞை எந்த கடிகார சமிக்ஞையுடனும் ஒத்திசைவற்ற ஒரு குழாய் ஆகும்.
மீட்டமை 1 உள்ளீடு செயலில் உள்ள உயர், ஒத்திசைவான மீட்டமைப்பு சமிக்ஞை.
வெளியே_கிளக் 1 வெளியீடு உள் அலையியற்றியிலிருந்து உருவாக்கும் கடிகார மூலம்.
பிஸியாக 1 வெளியீடு PR தரவு பரிமாற்றம் நடந்து கொண்டிருப்பதைக் குறிக்க IP இந்த சமிக்ஞையை வலியுறுத்துகிறது. தேர்ந்தெடுப்பதன் மூலம் இந்த சமிக்ஞையை இயக்கலாம் இயக்கு க்கான பிஸி இடைமுகத்தை இயக்கு அளவுரு.

குறிப்பு வடிவமைப்பு தேவைகள்

இந்த குறிப்பு வடிவமைப்பைப் பயன்படுத்துவதற்கு பின்வருபவை தேவை:

  • இன்டெல் அஜிலெக்ஸ் சாதன குடும்பத்திற்கான ஆதரவுடன் இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பதிப்பு 22.3 இன் நிறுவல்.
  • பெஞ்சில் உள்ள இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ டெவலப்மென்ட் போர்டுடன் இணைப்பு.
  • வடிவமைப்பு முன்னாள் பதிவிறக்கம்ampபின்வரும் இடங்களில் கிடைக்கும்: https://github.com/intel/fpga-partial-reconfig.

வடிவமைப்பைப் பதிவிறக்கம் செய்ய exampலெ:

  1. குளோன் என்பதைக் கிளிக் செய்யவும் அல்லது பதிவிறக்கவும்.
  2. பதிவிறக்க ZIP என்பதைக் கிளிக் செய்யவும். fpga-partial-reconfig-master.zip ஐ அன்ஜிப் செய்யவும் file.
  3. குறிப்பு வடிவமைப்பை அணுக tutorials/agilex_external_pr_configuration துணை கோப்புறைக்குச் செல்லவும்.

குறிப்பு வடிவமைப்பு ஒத்திகை

இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ டெவலப்மென்ட் போர்டில் உள்ளமைவு ஊசிகள் (வெளிப்புற ஹோஸ்ட்) வழியாக பகுதி மறுகட்டமைப்பை செயல்படுத்துவதை பின்வரும் படிகள் விவரிக்கின்றன:

  • படி 1: தொடங்குதல்
  • படி 2: ஒரு வடிவமைப்பு பகிர்வை உருவாக்குதல்
  • படி 3: வேலை வாய்ப்பு மற்றும் ரூட்டிங் பகுதிகளை ஒதுக்குதல்
  • படி 4: பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி IP ஐச் சேர்த்தல்
  • படி 5: ஆளுமைகளை வரையறுத்தல்
  • படி 6: திருத்தங்களை உருவாக்குதல்
  • படி 7: அடிப்படை திருத்தத்தை தொகுத்தல்
  • படி 8: மக்கள் தொடர்பு அமலாக்க திருத்தங்களைத் தயாரித்தல்
  • படி 9: வாரியத்தை நிரலாக்கம்

படி 1: தொடங்குதல்
குறிப்பு வடிவமைப்பை நகலெடுக்க fileஉங்கள் பணிச்சூழலுக்குச் சென்று, ஒளிரும்_லெட் பிளாட் வடிவமைப்பைத் தொகுக்கவும்:

  1. உங்கள் பணிச்சூழலில் ஒரு கோப்பகத்தை உருவாக்கவும், agilex_pcie_devkit_blinking_led_pr.
  2. பதிவிறக்கம் செய்யப்பட்ட டுடோரியல்கள்/agilex_pcie_devkit_blinking_led/flat துணை கோப்புறையை agilex_pcie_devkit_blinking_led_pr என்ற கோப்பகத்திற்கு நகலெடுக்கவும்.
  3. Intel Quartus Prime Pro பதிப்பு மென்பொருளில், கிளிக் செய்யவும் File ➤ திட்டப்பணியைத் திறந்து blinking_led.qpf என்பதைத் தேர்ந்தெடுக்கவும்.
  4. தட்டையான வடிவமைப்பின் படிநிலையை விரிவாகக் கூற, செயலாக்கம் ➤ தொடங்கு ➤ பகுப்பாய்வு & தொகுப்பு தொடங்கு என்பதைக் கிளிக் செய்யவும். மாற்றாக, கட்டளை வரியில், பின்வரும் கட்டளையை இயக்கவும்: quartus_syn blinking_led -c blinking_led

ஒரு வடிவமைப்பு பகிர்வை உருவாக்குதல்

நீங்கள் பகுதியளவு மறுகட்டமைக்க விரும்பும் ஒவ்வொரு PR பகுதிக்கும் வடிவமைப்பு பகிர்வுகளை உருவாக்க வேண்டும். பின்வரும் படிகள் u_blinking_led நிகழ்வுக்கான வடிவமைப்பு பகிர்வை உருவாக்குகின்றன.

வடிவமைப்பு பகிர்வுகளை உருவாக்குதல்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (3)

  1. Project Navigator-ல் u_blinking_led நிகழ்வை வலது கிளிக் செய்து, Design Partition ➤ Reconfigurable என்பதைக் கிளிக் செய்யவும். பகிர்வாக அமைக்கப்பட்ட ஒவ்வொரு நிகழ்விற்கும் அடுத்ததாக ஒரு வடிவமைப்பு பகிர்வு ஐகான் தோன்றும்.
  2. பணிகள் ➤ வடிவமைப்பு பகிர்வுகள் சாளரத்தை கிளிக் செய்யவும். சாளரமானது திட்டத்தில் உள்ள அனைத்து வடிவமைப்பு பகிர்வுகளையும் காட்டுகிறது.
  3. பகிர்வுகள் வடிவமைப்பு சாளரத்தில் பகிர்வு பெயரை இருமுறை சொடுக்கி திருத்தவும். இந்த குறிப்பு வடிவமைப்பிற்கு, பகிர்வு பெயரை pr_partition என மறுபெயரிடவும்.
    • குறிப்பு: நீங்கள் ஒரு பகிர்வை உருவாக்கும் போது, ​​இன்டெல் குவார்டஸ் பிரைம் மென்பொருள் தானாகவே ஒரு பகிர்வு பெயரை உருவாக்குகிறது, இது நிகழ்வு பெயர் மற்றும் படிநிலை பாதையின் அடிப்படையில். இந்த இயல்புநிலை பகிர்வு பெயர் ஒவ்வொரு நிகழ்விலும் மாறுபடும்.
  4. அடிப்படை திருத்த தொகுப்பிலிருந்து இறுதி செய்யப்பட்ட நிலையான பகுதியை ஏற்றுமதி செய்ய, இறுதி ஏற்றுமதிக்குப் பிந்தைய பிரிவில் உள்ள root_partition க்கான உள்ளீட்டை இருமுறை சொடுக்கவும். File நெடுவரிசையை உள்ளிட்டு, blinking_led_static என தட்டச்சு செய்யவும். gdb.

வடிவமைப்பு பகிர்வுகள் சாளரத்தில் இறுதிப் புகைப்படத்தை ஏற்றுமதி செய்கிறது.இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (4)உங்கள் மறுகட்டமைக்கக்கூடிய வடிவமைப்பு பகிர்வுக்கு ஒத்த, blinking_led.qsf பின்வரும் பணிகளைக் கொண்டுள்ளது என்பதைச் சரிபார்க்கவும்:இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (5)

தொடர்புடைய தகவல்
இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பில் “வடிவமைப்பு பகிர்வுகளை உருவாக்கு” ​​பயனர் வழிகாட்டி: பகுதி மறுகட்டமைப்பு

ஒரு PR பகிர்வுக்கு இடம் மற்றும் வழித்தடப் பகுதியை ஒதுக்குதல்
நீங்கள் உருவாக்கும் ஒவ்வொரு அடிப்படை திருத்தத்திற்கும், PR வடிவமைப்பு ஓட்டம் உங்கள் PR பகிர்வு பகுதியில் தொடர்புடைய ஆளுமை மையத்தை வைக்கிறது. உங்கள் அடிப்படை திருத்தத்திற்கான சாதன தரைத் திட்டத்தில் PR பகுதியைக் கண்டுபிடித்து ஒதுக்க:

  1. Project Navigator-ல் u_blinking_led நிகழ்வை வலது கிளிக் செய்து, Logic Lock Region ➤ Create New Logic Lock Region என்பதைக் கிளிக் செய்யவும். இந்தப் பகுதி Logic Lock Regions சாளரத்தில் தோன்றும்.
  2. உங்கள் இடப்பெயர்ப்புப் பகுதி blinking_led தர்க்கத்தை உள்ளடக்கியிருக்க வேண்டும். Chip Planner இல் முனையைக் கண்டறிவதன் மூலம் இடப்பெயர்ப்புப் பகுதியைத் தேர்ந்தெடுக்கவும். Logic Lock Regions சாளரத்தில் u_blinking_led பகுதி பெயரை வலது கிளிக் செய்து,

முனையைக் கண்டறியவும் ➤ சிப் பிளானரில் கண்டறியவும். u_blinking_led பகுதி வண்ணக் குறியிடப்பட்டுள்ளது.

blinking_led க்கான சிப் பிளானர் முனை இருப்பிடம்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (6)

  1. லாஜிக் லாக் பிராந்தியங்கள் சாளரத்தில், மூல நெடுவரிசையில் இடப்பெயர்ப்புப் பகுதி ஒருங்கிணைப்புகளைக் குறிப்பிடவும். மூலமானது பகுதியின் கீழ்-இடது மூலையுடன் ஒத்துள்ளது. உதாரணத்திற்குample, (X1 Y1) ஆயத்தொலைவுகளுடன் (163 4) ஒரு இடப்பெயர்ச்சிப் பகுதியை அமைக்க, மூலத்தை X163_Y4 எனக் குறிப்பிடவும். இன்டெல் குவார்டஸ் பிரைம் மென்பொருள், நீங்கள் குறிப்பிடும் உயரம் மற்றும் அகலத்தின் அடிப்படையில், இடப்பெயர்ச்சிப் பகுதிக்கான (X2 Y2) ஆயத்தொலைவுகளை (மேல்-வலது) தானாகவே கணக்கிடுகிறது.
    • குறிப்பு: இந்தப் பயிற்சி (X1 Y1) ஆயத்தொலைவுகளைப் பயன்படுத்துகிறது - (163 4), மற்றும் இடப்பெயர்ச்சிப் பகுதிக்கு உயரம் மற்றும் அகலம் 20 ஆகும். இடப்பெயர்ச்சிப் பகுதிக்கான எந்த மதிப்பையும் வரையறுக்கவும். அந்தப் பகுதி blinking_led தர்க்கத்தை உள்ளடக்கியிருப்பதை உறுதிசெய்யவும்.
  2. முன்பதிவு மற்றும் கோர்-ஒன்லி விருப்பங்களை இயக்கவும்.
  3. Routing Region விருப்பத்தை இருமுறை கிளிக் செய்யவும். Logic Lock Routing Region Settings உரையாடல் பெட்டி தோன்றும்.
  4. ரூட்டிங் வகைக்கான விரிவாக்கத்துடன் நிலையானதைத் தேர்ந்தெடுக்கவும். இந்த விருப்பத்தைத் தேர்ந்தெடுப்பது தானாகவே விரிவாக்க நீளம் 2 ஐ ஒதுக்குகிறது.
    • குறிப்பு: இயந்திரம் வெவ்வேறு நபர்களுக்கு செல்லும் போது ஃபிட்டருக்கு கூடுதல் நெகிழ்வுத்தன்மையை வழங்க, ரூட்டிங் பகுதி வேலை வாய்ப்பு பகுதியை விட பெரியதாக இருக்க வேண்டும்.

லாஜிக் லாக் பிராந்தியங்களின் சாளரம்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (7)blinking_led.qsf ஆனது, உங்கள் தரைத் திட்டமிடலுக்குப் பொருத்தமான பின்வரும் பணிகளைக் கொண்டுள்ளது என்பதைச் சரிபார்க்கவும்:இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (8)இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (9)

தொடர்புடைய தகவல்
இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பில் "பகுதி மறுகட்டமைப்பு வடிவமைப்பைத் தளம் திட்டமிடு" பயனர் வழிகாட்டி: பகுதி மறுகட்டமைப்பு

பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP ஐச் சேர்த்தல்
பிட்ஸ்ட்ரீம் மூலத்தை நிர்வகிக்க இன்டெல் அஜிலெக்ஸ் PR கட்டுப்பாட்டுத் தொகுதியுடன் பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP இடைமுகங்களை இணைக்கிறது. வெளிப்புற உள்ளமைவைச் செயல்படுத்த இந்த IP ஐ உங்கள் வடிவமைப்பில் சேர்க்க வேண்டும். பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தியைச் சேர்க்க இந்தப் படிகளைப் பின்பற்றவும்.
உங்கள் திட்டத்திற்கான Intel FPGA IP:

  1. IP Catalog தேடல் புலத்தில் (Tools ➤ IP Catalog) பகுதி மறுகட்டமைப்பு என தட்டச்சு செய்யவும்.
  2. பகுதி மறுகட்டமைப்பு வெளிப்புற உள்ளமைவு கட்டுப்படுத்தி இன்டெல் FPGA IP ஐ இருமுறை சொடுக்கவும்.
  3. IP மாறுபாட்டை உருவாக்கு உரையாடல் பெட்டியில், external_host_pr_ip என தட்டச்சு செய்யவும் File பெயர், பின்னர் உருவாக்கு என்பதைக் கிளிக் செய்யவும். அளவுரு திருத்தி தோன்றும்.
  4. Enable busy interface அளவுருவிற்கு, Disable (இயல்புநிலை அமைப்பு) என்பதைத் தேர்ந்தெடுக்கவும். இந்த சிக்னலைப் பயன்படுத்த வேண்டியிருக்கும் போது, ​​நீங்கள் அமைப்பை Enable என மாற்றலாம்.

அளவுரு திருத்தியில் பிஸி இடைமுக அளவுருவை இயக்கு.இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (10)

  1. கிளிக் செய்யவும் File ➤ அமைப்பை உருவாக்காமல் அளவுரு எடிட்டரை சேமித்து வெளியேறவும். அளவுரு எடிட்டர் external_host_pr_ip.ip IP மாறுபாட்டை உருவாக்குகிறது. file மற்றும் சேர்க்கிறது file blinking_led திட்டத்திற்கு. AN 991: உள்ளமைவு பின்கள் (வெளிப்புற ஹோஸ்ட்) குறிப்பு வடிவமைப்பு 750856 வழியாக பகுதி மறுகட்டமைப்பு | 2022.11.14 AN 991:
    • குறிப்பு:
    • a. நீங்கள் external_host_pr_ip.ip ஐ நகலெடுக்கிறீர்கள் என்றால் file pr கோப்பகத்திலிருந்து, blinking_led.qsf ஐ கைமுறையாகத் திருத்தவும் file பின்வரும் வரியைச் சேர்க்க: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ ஐ வைக்கவும்FILE SDC_க்குப் பிறகு பணிFILE உங்கள் blinking_led.qsf இல் பணிகள் (blinking_led.dc) fileஇந்த வரிசைப்படுத்தல் பகுதி மறுகட்டமைப்பு கட்டுப்படுத்தி IP மையத்தின் பொருத்தமான கட்டுப்பாட்டை உறுதி செய்கிறது.
    • குறிப்பு: கடிகாரங்களைக் கண்டறிய, .sdc file ஏனெனில் PR IP, IP கோர் பயன்படுத்தும் கடிகாரங்களை உருவாக்கும் எந்த .sdc-ஐயும் பின்பற்ற வேண்டும். .ip என்பதை உறுதி செய்வதன் மூலம் இந்த ஆர்டரை எளிதாக்குகிறீர்கள். file PR IP கோர் எந்த .ip க்கும் பிறகு தோன்றும். files அல்லது .sdc file.qsf இல் இந்த கடிகாரங்களை வரையறுக்க நீங்கள் பயன்படுத்தும் s file உங்கள் இன்டெல் குவார்டஸ் பிரைம் திட்ட திருத்தத்திற்கு. மேலும் தகவலுக்கு, பகுதி மறுகட்டமைப்பு ஐபி தீர்வுகள் பயனர் வழிகாட்டியைப் பார்க்கவும்.

உயர்நிலை வடிவமைப்பைப் புதுப்பிக்கிறது

top.sv-ஐப் புதுப்பிக்க file PR_IP நிகழ்வுடன்:

  1. மேல்-நிலை வடிவமைப்பில் external_host_pr_ip நிகழ்வைச் சேர்க்க, top.sv இல் பின்வரும் குறியீட்டுத் தொகுதிகளை கருத்து நீக்கவும். file:இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (11)

ஆளுமைகளை வரையறுத்தல்
இந்த குறிப்பு வடிவமைப்பு ஒற்றை PR பகிர்வுக்கு மூன்று தனித்தனி நபர்களை வரையறுக்கிறது. உங்கள் திட்டத்தில் நபர்களை வரையறுத்து சேர்க்க:

  1. மூன்று SystemVerilog ஐ உருவாக்கவும். fileமூன்று நபர்களுக்கான உங்கள் செயல்பாட்டு கோப்பகத்தில் s, blinking_led.sv, blinking_led_slow.sv, மற்றும் blinking_led_empty.sv ஆகியவற்றை உள்ளிடவும்.

குறிப்பு வடிவமைப்பு நபர்கள்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (12) இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (13)

குறிப்பு:

  • blinking_led.sv ஏற்கனவே இதன் ஒரு பகுதியாகக் கிடைக்கிறது. fileநீங்கள் பிளாட்/ துணை-கோப்பகத்திலிருந்து நகலெடுக்கிறீர்கள். நீங்கள் இதை மீண்டும் பயன்படுத்தலாம் file.
  • நீங்கள் SystemVerilog ஐ உருவாக்கினால் fileஇன்டெல் குவார்டஸ் பிரைம் டெக்ஸ்ட் எடிட்டரிலிருந்து, சேர்வை முடக்கவும் file தற்போதைய திட்ட விருப்பத்திற்கு, சேமிக்கும் போது files.

திருத்தங்களை உருவாக்குதல்

PR வடிவமைப்பு ஓட்டம் இன்டெல் குவார்டஸ் பிரைம் மென்பொருளில் உள்ள திட்ட திருத்த அம்சத்தைப் பயன்படுத்துகிறது. உங்கள் ஆரம்ப வடிவமைப்பு அடிப்படை திருத்தமாகும், அங்கு நீங்கள் FPGA இல் நிலையான பகுதி எல்லைகள் மற்றும் மறுகட்டமைக்கக்கூடிய பகுதிகளை வரையறுக்கிறீர்கள். அடிப்படை திருத்தத்திலிருந்து, நீங்கள் பல திருத்தங்களை உருவாக்குகிறீர்கள். இந்த திருத்தங்கள் PR பகுதிகளுக்கான வெவ்வேறு செயல்படுத்தல்களைக் கொண்டுள்ளன. இருப்பினும், அனைத்து PR செயல்படுத்தல் திருத்தங்களும் அடிப்படை திருத்தத்திலிருந்து அதே உயர்மட்ட இடம் மற்றும் ரூட்டிங் முடிவுகளைப் பயன்படுத்துகின்றன. ஒரு PR வடிவமைப்பைத் தொகுக்க, நீங்கள் ஒவ்வொரு நபருக்கும் ஒரு PR செயல்படுத்தல் திருத்தத்தை உருவாக்க வேண்டும். கூடுதலாக, ஒவ்வொரு திருத்தத்திற்கும் நீங்கள் திருத்த வகைகளை ஒதுக்க வேண்டும். கிடைக்கக்கூடிய திருத்த வகைகள்:

  • பகுதி மறுசீரமைப்பு - அடிப்படை
  • பகுதி மறுசீரமைப்பு - தனிப்பட்ட செயல்படுத்தல்

பின்வரும் அட்டவணை ஒவ்வொரு திருத்தத்திற்கும் திருத்தப் பெயர் மற்றும் திருத்த வகையை பட்டியலிடுகிறது:

திருத்தப் பெயர்கள் மற்றும் வகைகள்

திருத்தப் பெயர் திருத்த வகை
blinking_led.qsf பகுதி மறுசீரமைப்பு - அடிப்படை
blinking_led_default.qsf பகுதி மறுசீரமைப்பு - தனிப்பட்ட செயல்படுத்தல்
ஒளிரும்_லெட்_ஸ்லோ.qsf பகுதி மறுசீரமைப்பு - தனிப்பட்ட செயல்படுத்தல்
ஒளிரும்_தலைமை_எம்பி.qsf பகுதி மறுசீரமைப்பு - தனிப்பட்ட செயல்படுத்தல்

அடிப்படை திருத்த வகையை அமைத்தல்

  1. திட்டம் ➤ திருத்தங்கள் என்பதைக் கிளிக் செய்யவும்.
  2. Revision Name இல், blinking_led revision ஐத் தேர்ந்தெடுத்து, பின்னர் Set Current என்பதைக் கிளிக் செய்யவும்.
  3. விண்ணப்பிக்கவும் என்பதைக் கிளிக் செய்யவும். blinking_led திருத்தம் தற்போதைய திருத்தமாகக் காண்பிக்கப்படும்.
  4. blinking_led-க்கான Revision Type-ஐ அமைக்க, Assignments ➤ Settings ➤ General என்பதைக் கிளிக் செய்யவும்.
  5. திருத்த வகைக்கு, பகுதி மறுகட்டமைப்பு - அடிப்படை என்பதைத் தேர்ந்தெடுத்து, சரி என்பதைக் கிளிக் செய்யவும்.
  6. blinking_led.qsf இப்போது பின்வரும் பணியைக் கொண்டுள்ளது என்பதைச் சரிபார்க்கவும்: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

செயல்படுத்தல் திருத்தங்களை உருவாக்குதல்

  1. திருத்தங்கள் உரையாடல் பெட்டியைத் திறக்க, திட்டம் ➤ திருத்தங்கள் என்பதைக் கிளிக் செய்யவும்.
  2. புதிய திருத்தத்தை உருவாக்க, < இருமுறை கிளிக் செய்யவும் >
  3. மீள்திருத்தப் பெயரில், blinking_led_default ஐக் குறிப்பிட்டு, திருத்தத்தின் அடிப்படையில் blinking_led என்பதைத் தேர்ந்தெடுக்கவும்.
  4. திருத்த வகைக்கு, பகுதி மறுகட்டமைப்பு - ஆளுமை செயல்படுத்தல் என்பதைத் தேர்ந்தெடுக்கவும்.

திருத்தங்களை உருவாக்குதல்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (14)

  1. இதேபோல், blinking_led_slow மற்றும் blinking_led_empty திருத்தங்களுக்கு Revision வகையை அமைக்கவும்.
  2. ஒவ்வொரு .qsf-ஐயும் சரிபார்க்கவும் file இப்போது பின்வரும் ஒதுக்கீட்டைக் கொண்டுள்ளது: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led இங்கு, place_holder என்பது புதிதாக உருவாக்கப்பட்ட PR செயல்படுத்தல் திருத்தத்திற்கான இயல்புநிலை நிறுவனப் பெயராகும்.

திட்ட திருத்தங்கள்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (16)

அடிப்படை திருத்தத்தை தொகுத்தல்

  1. அடிப்படை திருத்தத்தை தொகுக்க, செயலாக்கம் ➤ தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும். மாற்றாக, பின்வரும் கட்டளை அடிப்படை திருத்தத்தை தொகுக்கிறது: quartus_sh –flow compile blinking_led -c blinking_led
  2. பிட்ஸ்ட்ரீமை ஆய்வு செய்யவும் fileவெளியீடு_ இல் உருவாக்கும் sfileகள் அடைவு.

உருவாக்கியது Files

பெயர் வகை விளக்கம்
ஒளிரும்_தலைமை.sof அடிப்படை நிரலாக்கம் file முழு-சிப் அடிப்படை உள்ளமைவுக்குப் பயன்படுத்தப்படுகிறது
blinking_led.pr_partition.rbf பிஆர் பிட்ஸ்ட்ரீம் file அடிப்படை ஆளுமைக்கு அடிப்படை ஆளுமையின் பகுதி மறுகட்டமைப்பிற்குப் பயன்படுத்தப்படுகிறது.
ஒளிரும்_லெட்_ஸ்டாடிக்.qdb .qdb தரவுத்தளம் file இறுதி செய்யப்பட்ட தரவுத்தளம் file நிலையான பகுதியை இறக்குமதி செய்யப் பயன்படுகிறது.

தொடர்புடைய தகவல்

  • இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பில் "பகுதி மறுகட்டமைப்பு வடிவமைப்பைத் தளம் திட்டமிடு" பயனர் வழிகாட்டி: பகுதி மறுகட்டமைப்பு
  • இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பில் "ஃப்ளோர்பிளான் கட்டுப்பாடுகளை அதிகரித்து வருவதைப் பயன்படுத்துதல்" பயனர் வழிகாட்டி: பகுதி மறுகட்டமைப்பு

மக்கள் தொடர்பு அமலாக்க திருத்தங்களைத் தயாரித்தல்
சாதன நிரலாக்கத்திற்கான PR பிட்ஸ்ட்ரீமை தொகுத்து உருவாக்குவதற்கு முன், PR செயல்படுத்தல் திருத்தங்களைத் தயாரிக்க வேண்டும். இந்த அமைப்பில் நிலையான பகுதி .qdb ஐச் சேர்ப்பதும் அடங்கும். file ஆதாரமாக file ஒவ்வொரு செயல்படுத்தல் திருத்தத்திற்கும். கூடுதலாக, நீங்கள் PR பிராந்தியத்தின் தொடர்புடைய நிறுவனத்தைக் குறிப்பிட வேண்டும்.

  1. தற்போதைய திருத்தத்தை அமைக்க, திட்டம் ➤ திருத்தங்கள் என்பதைக் கிளிக் செய்து, திருத்தப் பெயராக blinking_led_default ஐத் தேர்ந்தெடுத்து, பின்னர் நடப்பை அமை என்பதைக் கிளிக் செய்யவும்.
  2. ஒவ்வொரு செயல்படுத்தல் திருத்தத்திற்கும் சரியான மூலத்தைச் சரிபார்க்க, திட்டம் ➤சேர்/நீக்கு என்பதைக் கிளிக் செய்யவும். Fileதிட்டத்தில் s. தி பிளிங்கிங்_லெட்.எஸ்வி file இல் தோன்றும் file பட்டியல்.

Fileகள் பக்கம்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (17)

  1. மற்ற செயல்படுத்தல் திருத்த மூலத்தைச் சரிபார்க்க 1 முதல் 2 படிகளை மீண்டும் செய்யவும். files:
செயல்படுத்தல் திருத்தப் பெயர் ஆதாரம் File
ஒளிரும்_இயல்புநிலை ஒளிரும்_லெட்.எஸ்வி
கண் சிமிட்டுதல்_லெட்_வெற்று ஒளிரும்_led_empty.sv
சிமிட்டுதல்_மெதுவாக சிமிட்டும்_led_slow.sv
  1. .qdb ஐ சரிபார்க்க file ரூட் பகிர்வுடன் தொடர்புடைய, பணிகள் ➤ வடிவமைப்பு பகிர்வு சாளரத்தைக் கிளிக் செய்யவும். பகிர்வு தரவுத்தளம் என்பதை உறுதிப்படுத்தவும் File blinking_led_static.qdb ஐக் குறிப்பிடுகிறது file, அல்லது பகிர்வு தரவுத்தளத்தை இருமுறை சொடுக்கவும் File இதைக் குறிப்பிட செல் fileமாற்றாக, பின்வரும் கட்டளை இதை ஒதுக்குகிறது file: set_instance_assignment -பெயர் QDB_FILE_பிரிவு \ blinking_led_static.qdb -to |
  2. Entity Re-binding கலத்தில், செயல்படுத்தல் திருத்தத்தில் நீங்கள் மாற்றும் ஒவ்வொரு PR பகிர்வின் நிறுவனப் பெயரையும் குறிப்பிடவும். blinking_led_default செயல்படுத்தல் திருத்தத்திற்கு, நிறுவனப் பெயர் blinking_led ஆகும். இந்த டுடோரியலில், புதிய blinking_led நிறுவனத்துடன் அடிப்படை திருத்த தொகுப்பிலிருந்து u_blinking_led நிகழ்வை மேலெழுதுகிறீர்கள்.

குறிப்பு: ஒரு ஒதுக்கிட நிறுவன மறுகட்டமைப்பு ஒதுக்கீடு செயல்படுத்தல் திருத்தத்தில் தானாகவே சேர்க்கப்படும். இருப்பினும், ஒதுக்கீட்டில் உள்ள இயல்புநிலை நிறுவனப் பெயரை உங்கள் வடிவமைப்பிற்கு பொருத்தமான நிறுவனப் பெயராக மாற்ற வேண்டும்.

செயல்படுத்தல் திருத்தப் பெயர் நிறுவனம் மீண்டும் பிணைப்பு
ஒளிரும்_இயல்புநிலை கண் சிமிட்டுதல்
சிமிட்டுதல்_மெதுவாக சிமிட்டுதல்_மெதுவாக
கண் சிமிட்டுதல்_லெட்_வெற்று கண் சிமிட்டுதல்_லெட்_வெற்று

நிறுவன மறு பிணைப்புஇன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (18)

  1. வடிவமைப்பைத் தொகுக்க, செயலாக்கம் ➤ தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும். மாற்றாக, பின்வரும் கட்டளை இந்த திட்டத்தைத் தொகுக்கிறது: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. blinking_led_slow மற்றும் blinking_led_empty திருத்தங்களைத் தயாரிக்க மேலே உள்ள படிகளை மீண்டும் செய்யவும்: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empty

குறிப்பு: PR செயல்படுத்தல் தொகுப்பின் போது நீங்கள் பயன்படுத்த விரும்பும் எந்த ஃபிட்டர் குறிப்பிட்ட அமைப்புகளையும் நீங்கள் குறிப்பிடலாம். ஃபிட்டர் குறிப்பிட்ட அமைப்புகள் இறக்குமதி செய்யப்பட்ட நிலையான பகுதியைப் பாதிக்காமல், ஆளுமையின் பொருத்தத்தை மட்டுமே பாதிக்கின்றன.

வாரியத்தை நிரலாக்கம்
இந்தப் பயிற்சி, உங்கள் ஹோஸ்ட் கணினியில் உள்ள PCIe* ஸ்லாட்டுக்கு வெளியே, பெஞ்சில் ஒரு Intel Agilex F-Series FPGA டெவலப்மென்ட் போர்டைப் பயன்படுத்துகிறது. போர்டை நிரல் செய்வதற்கு முன், பின்வரும் படிகளை முடித்துவிட்டீர்கள் என்பதை உறுதிப்படுத்திக் கொள்ளுங்கள்:

  1. இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ டெவலப்மெண்ட் போர்டுடன் பவர் சப்ளையை இணைக்கவும்.
  2. உங்கள் PC USB போர்ட்டிற்கும் டெவலப்மென்ட் போர்டில் உள்ள Intel FPGA பதிவிறக்க கேபிள் போர்ட்டிற்கும் இடையே Intel FPGA பதிவிறக்க கேபிளை இணைக்கவும்.

இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ மேம்பாட்டு வாரியத்தில் வடிவமைப்பை இயக்க:

  1. Intel Quartus Prime மென்பொருளைத் திறந்து, Tools ➤ Programmer என்பதைக் கிளிக் செய்யவும்.
  2. புரோகிராமரில், வன்பொருள் அமைப்பைக் கிளிக் செய்து USB-Blaster ஐத் தேர்ந்தெடுக்கவும்.
  3. தானியங்கு கண்டறிதலைக் கிளிக் செய்து, AGFB014R24AR0 என்ற சாதனத்தைத் தேர்ந்தெடுக்கவும்.
  4. சரி என்பதைக் கிளிக் செய்யவும். இன்டெல் குவார்டஸ் பிரைம் மென்பொருள் போர்டில் உள்ள மூன்று FPGA சாதனங்களுடன் புரோகிராமரைக் கண்டறிந்து புதுப்பிக்கிறது.
  5. AGFB014R24AR0 சாதனத்தைத் தேர்ந்தெடுத்து, மாற்று என்பதைக் கிளிக் செய்யவும். File மற்றும் blinking_led_default.sof ஐ ஏற்றவும் file.
  6. blinking_led_default.sof-க்கு Program/Configure-ஐ இயக்கு. file.
  7. தொடங்கு என்பதைக் கிளிக் செய்து, முன்னேற்றப் பட்டி 100% அடையும் வரை காத்திருக்கவும்.
  8. அசல் தட்டையான வடிவமைப்பின் அதே அதிர்வெண்ணில் பலகையில் எல்.ஈ.டி ஒளிரும்.
  9. PR பகுதியை மட்டும் நிரல் செய்ய, blinking_led_default.sof ஐ வலது கிளிக் செய்யவும் file புரோகிராமரில் மற்றும் பிஆர் புரோகிராமிங்கைச் சேர் என்பதைக் கிளிக் செய்யவும் File.
  10. blinking_led_slow.pr_partition.rbf ஐத் தேர்ந்தெடுக்கவும். file.
  11. blinking_led_default.sof க்கான Program/Configure ஐ முடக்கு file.
  12. blinking_led_slow.pr_partition.rbf-க்கு Program/Configure-ஐ இயக்கு. file பலகையில், LED[0] மற்றும் LED[1] தொடர்ந்து ஒளிர்வதைக் காண்க. முன்னேற்றப் பட்டி 100% ஐ அடையும் போது, ​​LED[2] மற்றும் LED[3] மெதுவாக ஒளிர்கின்றன.
  13. PR பகுதியை மீண்டும் நிரல் செய்ய, .rbf ஐ வலது கிளிக் செய்யவும். file புரோகிராமரில் மற்றும் PR நிரலாக்கத்தை மாற்று என்பதைக் கிளிக் செய்யவும் File.
  14. .rbf ஐத் தேர்ந்தெடுக்கவும் fileமற்ற இரண்டு நபர்கள் பலகையில் நடத்தையைக் கவனிக்க s. blinking_led_default.rbf ஐ ஏற்றுகிறது. file LED கள் ஒரு குறிப்பிட்ட அதிர்வெண்ணில் ஒளிரச் செய்து, blinking_led_empty.rbf ஐ ஏற்றுகிறது. file LED கள் தொடர்ந்து எரிய காரணமாகிறது.

இன்டெல் அஜிலெக்ஸ் எஃப்-சீரிஸ் எஃப்பிஜிஏ டெவலப்மென்ட் போர்டை நிரலாக்குதல்இன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (19)வன்பொருள் சோதனை ஓட்டம்

பின்வரும் வரிசைமுறைகள் குறிப்பு வடிவமைப்பு வன்பொருள் சோதனை ஓட்டத்தை விவரிக்கின்றன.
இன்டெல் அஜிலெக்ஸ் சாதன வெளிப்புற ஹோஸ்ட் வன்பொருள் அமைப்புஇன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (20)

உதவியாளர் FPGA (வெளிப்புற ஹோஸ்ட்) நிரலாக்கம்
பின்வரும் வரிசை, PR செயல்முறை வெளிப்புற ஹோஸ்டாக செயல்படும் உதவியாளர் FPGA ஐ நிரலாக்குவதை விவரிக்கிறது:

  1. நீங்கள் தேர்ந்தெடுக்கும் பயன்முறையுடன் (x8, x16, அல்லது x32) தொடர்புடைய அவலோன் ஸ்ட்ரீமிங் இடைமுக அமைப்பைக் குறிப்பிடவும்.
  2. இன்டெல் குவார்டஸ் பிரைம் புரோகிராமர் மற்றும் இணைக்கப்பட்ட உள்ளமைவு கேபிளைப் பயன்படுத்தி உதவி FPGA ஐ நிரலாக்குவதன் மூலம் தளத்தை துவக்கவும்.
  3. உதவி FPGA ஐப் பயன்படுத்தி, CONF_DONE மற்றும் AVST_READY சிக்னல்களைப் படிக்கவும். CONF_DONE 0 ஆகவும், AVST_READY 1 ஆகவும் இருக்க வேண்டும். இந்த பின்னில் உள்ள லாஜிக் அதிகமாக இருந்தால், SDM வெளிப்புற ஹோஸ்டிலிருந்து தரவை ஏற்கத் தயாராக உள்ளது என்பதைக் குறிக்கிறது. இந்த வெளியீடு SDM I/O இன் ஒரு பகுதியாகும்.

குறிப்பு: CONF_DONE பின், பிட்ஸ்ட்ரீம் பரிமாற்றம் வெற்றிகரமாக உள்ளது என்பதை வெளிப்புற ஹோஸ்டுக்கு சமிக்ஞை செய்கிறது. முழு சிப் உள்ளமைவு செயல்முறையையும் கண்காணிக்க மட்டுமே இந்த சிக்னல்களைப் பயன்படுத்தவும். இந்த பின் பற்றிய கூடுதல் தகவலுக்கு Intel Agilex உள்ளமைவு பயனர் வழிகாட்டியைப் பார்க்கவும்.

வெளிப்புற ஹோஸ்ட் வழியாக முழு சிப் SOF உடன் DUT FPGA ஐ நிரல் செய்தல் பின்வரும் வரிசை முழு சிப் SRAM பொருளுடன் DUT FPGA ஐ நிரலாக்குவதை விவரிக்கிறது. File (.sof) ஹோஸ்ட் அவலோன் ஸ்ட்ரீமிங் இடைமுகத்தைப் பயன்படுத்தி:

  1. முழு சிப் பிட்ஸ்ட்ரீமையும் உதவி FPGA (வெளிப்புற ஹோஸ்ட்) இன் DDR4 வெளிப்புற நினைவகத்தில் எழுதவும்.
  2. Avalon ஸ்ட்ரீமிங் இடைமுகத்தைப் (x8, x16, x32) பயன்படுத்தி முழு சிப் .sof உடன் DUT FPGA ஐ உள்ளமைக்கவும்.
  3. DUT FPGA உள்ளமைவு சமிக்ஞைகளின் நிலையைப் படியுங்கள். CONF_DONE 1 ஆகவும், AVST_READY 0 ஆகவும் இருக்க வேண்டும்.

நேர விவரக்குறிப்புகள்: பகுதி மறுகட்டமைப்பு வெளிப்புற கட்டுப்படுத்தி இன்டெல் FPGA IPஇன்டெல்-750856-அஜிலெக்ஸ்-FPGA-மேம்பாட்டு-வாரியம்-படம்-1 (21)

வெளிப்புற ஹோஸ்ட் வழியாக முதல் நபருடன் DUT FPGA ஐ நிரல் செய்யவும்.

  1. DUT FPGA இல் உள்ள இலக்கு PR பகுதியில் முடக்கத்தைப் பயன்படுத்துங்கள்.
  2. இன்டெல் குவார்டஸ் பிரைம் சிஸ்டம் கன்சோலைப் பயன்படுத்தி, பகுதி மறுகட்டமைப்பைத் தொடங்க pr_request ஐ உறுதிப்படுத்தவும். AVST_READY 1 ஆக இருக்க வேண்டும்.
  3. முதல் PR persona பிட்ஸ்ட்ரீமை உதவி FPGA (வெளிப்புற ஹோஸ்ட்) இன் DDR4 வெளிப்புற நினைவகத்தில் எழுதவும்.
  4. அவலோன் ஸ்ட்ரீமிங் இடைமுகத்தைப் (x8, x16, x32) பயன்படுத்தி, முதல் பெர்சோனா பிட்ஸ்ட்ரீமுடன் DUT FPGA ஐ மீண்டும் கட்டமைக்கவும்.
  5. PR நிலையைக் கண்காணிக்க, சிஸ்டம் கன்சோலைத் தொடங்க கருவிகள் ➤ சிஸ்டம் கன்சோலைக் கிளிக் செய்யவும். சிஸ்டம் கன்சோலில், PR நிலையைக் கண்காணிக்கவும்:
    • pr_error என்பது 2—மறுகட்டமைப்பு செயல்பாட்டில் உள்ளது.
    • pr_error 3—மறுகட்டமைப்பு முடிந்தது.
  6. DUT FPGA இல் உள்ள PR பகுதியில் உறைதல் நீக்கியைப் பயன்படுத்துங்கள்.

குறிப்பு: PR செயல்பாட்டின் போது பதிப்பு சரிபார்ப்பு அல்லது அங்கீகார சரிபார்ப்பில் தோல்வி போன்ற பிழை ஏற்பட்டால், PR செயல்பாடு நிறுத்தப்படும்.

தொடர்புடைய தகவல்

  • இன்டெல் அஜிலெக்ஸ் உள்ளமைவு பயனர் வழிகாட்டி
  • இன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: பிழைத்திருத்த கருவிகள்

AN 991 க்கான ஆவண திருத்த வரலாறு: உள்ளமைவு பின்கள் (வெளிப்புற ஹோஸ்ட்) வழியாக பகுதி மறுகட்டமைப்பு இன்டெல் அஜிலெக்ஸ் F-தொடர் FPGA மேம்பாட்டு வாரியத்திற்கான குறிப்பு வடிவமைப்பு.

ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு மாற்றங்கள்
2022.11.14 22.3 • ஆரம்ப வெளியீடு.

AN 991: உள்ளமைவு பின்கள் (வெளிப்புற ஹோஸ்ட்) வழியாக பகுதி மறுகட்டமைப்பு குறிப்பு வடிவமைப்பு: இன்டெல் அஜிலெக்ஸ் F-சீரிஸ் FPGA டெவலப்மென்ட் போர்டுக்கு

அடிக்கடி கேட்கப்படும் கேள்விகளுக்கான பதில்கள்:

  • Q உள்ளமைவு ஊசிகள் வழியாக PR என்றால் என்ன?
  • A பக்கம் 3 இல் வெளிப்புற ஹோஸ்ட் உள்ளமைவு
  • Q இந்த குறிப்பு வடிவமைப்பிற்கு எனக்கு என்ன தேவை?
  • A பக்கம் 6 இல் குறிப்பு வடிவமைப்பு தேவைகள்
  • Q குறிப்பு வடிவமைப்பை நான் எங்கே பெறுவது?
  • A பக்கம் 6 இல் குறிப்பு வடிவமைப்பு தேவைகள்
  • Q வெளிப்புற உள்ளமைவு வழியாக PR-ஐ எவ்வாறு செய்வது?
  • A பக்கம் 6 இல் குறிப்பு வடிவமைப்பு ஒத்திகை
  • Q ஒரு PR ஆளுமை என்றால் என்ன?
  • A பக்கம் 11 இல் நபர்களை வரையறுத்தல்
  • Q பலகையை எப்படி நிரல் செய்வது?
  • A பக்கம் 17 இல் பலகையை நிரல் செய்யவும்.
  • Q PR-ல் அறியப்பட்ட சிக்கல்கள் மற்றும் வரம்புகள் என்ன?
  • A இன்டெல் FPGA ஆதரவு மன்றங்கள்: PR
  • Q உங்களுக்கு PR பற்றி பயிற்சி இருக்கிறதா?
  • A இன்டெல் FPGA தொழில்நுட்ப பயிற்சி பட்டியல்

ஆன்லைன் பதிப்பு கருத்துக்களை அனுப்பவும்

  • ஐடி: 750856
  • பதிப்பு: 2022.11.14

ஆவணங்கள் / ஆதாரங்கள்

இன்டெல் 750856 அஜிலெக்ஸ் FPGA மேம்பாட்டு வாரியம் [pdf] பயனர் வழிகாட்டி
750856, 750857, 750856 அஜிலெக்ஸ் FPGA மேம்பாட்டு வாரியம், அஜிலெக்ஸ் FPGA மேம்பாட்டு வாரியம், FPGA மேம்பாட்டு வாரியம், மேம்பாட்டு வாரியம், வாரியம்

குறிப்புகள்

கருத்து தெரிவிக்கவும்

உங்கள் மின்னஞ்சல் முகவரி வெளியிடப்படாது. தேவையான புலங்கள் குறிக்கப்பட்டுள்ளன *