intel 750856 Agilex FPGA ডেভেলপমেন্ট বোর্ড
পণ্য তথ্য
এই রেফারেন্স ডিজাইনটি Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডের জন্য। এটি আংশিক পুনর্বিন্যাস বহিরাগত কনফিগারেশন কন্ট্রোলার ইন্টেল FPGA আইপি ব্যবহার করে এবং একটি সাধারণ PR অঞ্চল রয়েছে। ইন্টেল এজিলেক্স ডিভাইস এক্সটার্নাল হোস্ট হার্ডওয়্যার সেটআপ একটি বাহ্যিক ডিভাইস (হেল্পার এফপিজিএ), একটি ডিইউটি এফপিজিএ এবং আপনার বাহ্যিক হোস্ট ডিজাইন নিয়ে গঠিত। বাহ্যিক ডিভাইসে হোস্ট ডিজাইন পিআর প্রক্রিয়া হোস্ট করার জন্য দায়ী। পিআর পিনগুলি উভয় ডিভাইসের সাথে সংযোগ করতে ব্যবহৃত হয় এবং যেকোনো উপলব্ধ ব্যবহারকারী I/Os হতে পারে।
পণ্য ব্যবহারের নির্দেশাবলী
বাহ্যিক হোস্ট কনফিগারেশন
বাহ্যিক হোস্ট কনফিগারেশন সম্পাদন করতে, এই পদক্ষেপগুলি অনুসরণ করুন:
- পিআর প্রক্রিয়া হোস্ট করার জন্য একটি বাহ্যিক ডিভাইসে একটি হোস্ট ডিজাইন তৈরি করুন।
- ডিইউটি এফপিজিএ-তে বাহ্যিক ডিভাইস থেকে আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপিতে পিআর পিনগুলি সংযুক্ত করুন।
- হোস্ট ডিজাইন থেকে Intel Agilex Avalon স্ট্রিমিং ইন্টারফেস পিনে স্ট্রিম কনফিগারেশন ডেটা যা IP থেকে PR হ্যান্ডশেকিং সিগন্যালের সাথে মিলে যায়।
কনফিগারেশন পিন অপারেশনের মাধ্যমে আংশিক পুনর্বিন্যাস
নিম্নলিখিত ক্রমটি কনফিগারেশন পিনের মাধ্যমে আংশিক পুনর্বিন্যাসের অপারেশনকে বর্ণনা করে:
- আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপি-র সাথে সংযুক্ত pr_request পিনটি নিশ্চিত করুন।
- আইপি একটি ব্যস্ত সংকেত জাহির করে যে পিআর প্রক্রিয়া চলছে (ঐচ্ছিক)।
- যদি কনফিগারেশন সিস্টেমটি PR অপারেশনের জন্য প্রস্তুত থাকে, তাহলে avst_ready পিনটি নিশ্চিত করা হয়, এটি নির্দেশ করে যে এটি ডেটা গ্রহণ করতে প্রস্তুত।
- ব্যাকপ্রেশার সহ ডেটা স্থানান্তরের জন্য Avalon স্ট্রিমিং স্পেসিফিকেশন অনুসরণ করে avst_data পিন এবং avst_valid পিনের উপর PR কনফিগারেশন ডেটা স্ট্রীম করুন।
- যখন avst_ready পিন ডি-জার্স্ট করা হয় তখন স্ট্রিমিং বন্ধ হয়ে যায়।
- PR অপারেশনের জন্য আর কোনো ডেটার প্রয়োজন নেই তা নির্দেশ করতে avst_ready পিনটি ডি-জার্স্ট করুন।
- আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপি প্রক্রিয়ার সমাপ্তি নির্দেশ করতে ব্যস্ত সংকেতকে ডি-জার্স্ট করে (ঐচ্ছিক)।
কনফিগারেশন পিন (বাহ্যিক হোস্ট) রেফারেন্স ডিজাইনের মাধ্যমে আংশিক পুনর্বিন্যাস
এই অ্যাপ্লিকেশন নোটটি Intel® Agilex® F-Series FPGA ডেভেলপমেন্ট বোর্ডে কনফিগারেশন পিনের (বাহ্যিক হোস্ট) মাধ্যমে আংশিক পুনর্বিন্যাস প্রদর্শন করে।
রেফারেন্স ডিজাইন ওভারview
আংশিক পুনর্বিন্যাস (PR) বৈশিষ্ট্য আপনাকে FPGA-এর একটি অংশকে গতিশীলভাবে পুনরায় কনফিগার করতে দেয়, যখন অবশিষ্ট FPGA নকশা কাজ করতে থাকে। আপনি আপনার ডিজাইনে একটি নির্দিষ্ট অঞ্চলের জন্য একাধিক ব্যক্তিত্ব তৈরি করতে পারেন যা এই অঞ্চলের বাইরের অঞ্চলে কাজকে প্রভাবিত করে না। এই পদ্ধতিটি এমন সিস্টেমে কার্যকর যেখানে একাধিক ফাংশন একই FPGA ডিভাইসের রিসোর্স টাইম শেয়ার করে। Intel Quartus® প্রাইম প্রো সংস্করণ সফ্টওয়্যারের বর্তমান সংস্করণটি আংশিক পুনর্বিন্যাস করার জন্য একটি নতুন এবং সরলীকৃত সংকলন প্রবাহ প্রবর্তন করে। এই ইন্টেল এজিলেক্স রেফারেন্স ডিজাইনটি আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপি ব্যবহার করে এবং একটি সাধারণ পিআর অঞ্চল রয়েছে।
ইন্টেল এজিলেক্স ডিভাইস এক্সটার্নাল হোস্ট হার্ডওয়্যার সেটআপ
বাহ্যিক হোস্ট কনফিগারেশন
বাহ্যিক হোস্ট কনফিগারেশনে, পিআর প্রক্রিয়া হোস্ট করার জন্য আপনাকে প্রথমে একটি বাহ্যিক ডিভাইসে একটি হোস্ট ডিজাইন তৈরি করতে হবে, যেমন ইন্টেল এজিলেক্স ডিভাইস এক্সটার্নাল হোস্ট হার্ডওয়্যার সেটআপ দেখায়। হোস্ট ডিজাইন ইন্টেল এজিলেক্স অ্যাভালন স্ট্রিমিং ইন্টারফেস পিনগুলিতে কনফিগারেশন ডেটা স্ট্রিম করে যা আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপি থেকে আসা পিআর হ্যান্ডশেকিং সিগন্যালের সাথে মিলে যায়। উভয় ডিভাইস সংযোগ করার জন্য আপনি যে PR পিন ব্যবহার করেন তা যেকোনো উপলব্ধ ব্যবহারকারী I/Os হতে পারে।
নিম্নলিখিত ক্রমটি কনফিগারেশন পিন অপারেশনের মাধ্যমে আংশিক পুনর্বিন্যাস বর্ণনা করে:
- প্রথমে আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল FPGA আইপির সাথে সংযুক্ত pr_request পিনটি জোরদার করুন।
- আইপি একটি ব্যস্ত সংকেত জাহির করে যে পিআর প্রক্রিয়া চলছে (ঐচ্ছিক)।
- যদি কনফিগারেশন সিস্টেম একটি PR অপারেশন করার জন্য প্রস্তুত হয়, তাহলে avst_ready পিনটি নিশ্চিত করা হয় যে এটি ডেটা গ্রহণ করতে প্রস্তুত।
- ব্যাকপ্রেশার সহ ডেটা স্থানান্তরের জন্য অ্যাভালন স্ট্রিমিং স্পেসিফিকেশন পর্যবেক্ষণ করার সময় avst_data পিন এবং avst_valid পিনের উপর PR কনফিগারেশন ডেটা স্ট্রিম করা শুরু করুন।
- যখনই avst_ready পিন ডি-জার্স্ট করা হয় তখনই স্ট্রিমিং বন্ধ হয়ে যায়।
- সমস্ত কনফিগারেশন ডেটা স্ট্রিম করার পরে, avst_ready পিনটি ডি-জার্স্ট করা হয় যাতে বোঝা যায় যে PR অপারেশনের জন্য আর কোনও ডেটার প্রয়োজন নেই৷
- আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপি ডেজার্ট প্রক্রিয়ার সমাপ্তি নির্দেশ করতে ব্যস্ত সংকেত দেয় (ঐচ্ছিক)।
- PR অপারেশন সফলভাবে সম্পন্ন হয়েছে কিনা তা নিশ্চিত করতে আপনি pr_done এবং pr_error পিন পরীক্ষা করতে পারেন। যদি একটি ত্রুটি ঘটে, যেমন সংস্করণ চেকিং এবং অনুমোদন পরীক্ষায় ব্যর্থতা, PR অপারেশন বন্ধ হয়ে যায়।
সম্পর্কিত তথ্য
- ইন্টেল এজিলেক্স এফ-সিরিজ এফপিজিএ ডেভেলপমেন্ট কিট Web পাতা
- Intel Agilex F-Series FPGA ডেভেলপমেন্ট কিট ব্যবহারকারী গাইড
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইড: আংশিক পুনর্বিন্যাস
আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল FPGA আইপি
পিআর অপারেশনের জন্য পিআর ডেটা স্ট্রিম করতে কনফিগারেশন পিন ব্যবহার করার জন্য আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার প্রয়োজন। আপনাকে অবশ্যই আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার Intel FPGA IP-এর সমস্ত শীর্ষ-স্তরের পোর্টগুলিকে pr_request পিনের সাথে সংযুক্ত করতে হবে যাতে মূল থেকে সুরক্ষিত ডিভাইস ম্যানেজার (SDM) এর সাথে হোস্টের হ্যান্ডশেক করা যায়৷ আপনার MSEL সেটিং অনুযায়ী SDM কোন ধরনের কনফিগারেশন পিন ব্যবহার করতে হবে তা নির্ধারণ করে।
আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল FPGA আইপি
আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার প্যারামিটার সেটিংস
প্যারামিটার | মান | বর্ণনা |
ব্যস্ত ইন্টারফেস সক্ষম করুন | সক্ষম করুন or
নিষ্ক্রিয় করুন |
আপনাকে ব্যস্ত ইন্টারফেসটি সক্ষম বা নিষ্ক্রিয় করার অনুমতি দেয়, যা বহিরাগত কনফিগারেশনের সময় পিআর প্রক্রিয়াকরণ প্রক্রিয়াধীন রয়েছে তা নির্দেশ করার জন্য একটি সংকেত জাহির করে।
ডিফল্ট সেটিং হল নিষ্ক্রিয় করুন. |
আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার পোর্ট
পোর্টের নাম | প্রস্থ | দিকনির্দেশনা | ফাংশন |
pr_request | 1 | ইনপুট | নির্দেশ করে যে পিআর প্রক্রিয়া শুরু করার জন্য প্রস্তুত। সংকেত হল একটি নালী যা কোন ঘড়ির সংকেতের সাথে সিঙ্ক্রোনাস নয়। |
pr_ত্রুটি | 2 | আউটপুট | একটি আংশিক পুনর্বিন্যাস ত্রুটি নির্দেশ করে৷:
• 2'b01—সাধারণ PR ত্রুটি • 2'b11—বেমানান বিটস্ট্রিম ত্রুটি এই সংকেতগুলি কোন ঘড়ির উৎসের সাথে সিঙ্ক্রোনাস নয়। |
pr_done | 1 | আউটপুট | নির্দেশ করে যে পিআর প্রক্রিয়া সম্পূর্ণ। সংকেত হল একটি নালী যা কোন ঘড়ির সংকেতের সাথে সিঙ্ক্রোনাস নয়। |
start_addr | 1 | ইনপুট | সক্রিয় সিরিয়াল ফ্ল্যাশে PR ডেটার শুরুর ঠিকানা নির্দিষ্ট করে। আপনি যেকোনো একটি নির্বাচন করে এই সংকেতটি সক্ষম করুন৷ অ্যাভালন®-ST or সক্রিয় সিরিয়াল জন্য Avalon-ST পিন বা সক্রিয় সিরিয়াল পিন সক্ষম করুন প্যারামিটার সংকেত হল একটি নালী যা কোন ঘড়ির সংকেতের সাথে সিঙ্ক্রোনাস নয়। |
রিসেট | 1 | ইনপুট | সক্রিয় উচ্চ, সিঙ্ক্রোনাস রিসেট সংকেত। |
out_clk | 1 | আউটপুট | ঘড়ির উৎস যা একটি অভ্যন্তরীণ অসিলেটর থেকে উৎপন্ন হয়। |
ব্যস্ত | 1 | আউটপুট | আইপি এই সংকেতকে জোর দিয়ে PR ডেটা স্থানান্তর অগ্রগতি নির্দেশ করে। আপনি নির্বাচন করে এই সংকেত সক্রিয় করুন সক্ষম করুন জন্য ব্যস্ত ইন্টারফেস সক্ষম করুন প্যারামিটার |
রেফারেন্স ডিজাইনের প্রয়োজনীয়তা
এই রেফারেন্স নকশা ব্যবহার নিম্নলিখিত প্রয়োজন:
- Intel Agilex ডিভাইস পরিবারের জন্য সমর্থন সহ Intel Quartus Prime Pro সংস্করণ 22.3 এর ইনস্টলেশন।
- বেঞ্চে Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডের সাথে সংযোগ।
- ডিজাইন প্রাক্তন ডাউনলোড করুনample নিম্নলিখিত অবস্থানে উপলব্ধ: https://github.com/intel/fpga-partial-reconfig.
ডিজাইনটি ডাউনলোড করতে এক্সampLe:
- ক্লোন ক্লিক করুন বা ডাউনলোড করুন।
- ডাউনলোড ZIP এ ক্লিক করুন। fpga-partial-reconfig-master.zip আনজিপ করুন file.
- রেফারেন্স ডিজাইন অ্যাক্সেস করতে টিউটোরিয়াল/agilex_external_pr_configuration সাবফোল্ডারে নেভিগেট করুন।
রেফারেন্স ডিজাইন ওয়াকথ্রু
নিম্নলিখিত ধাপগুলি Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডে কনফিগারেশন পিনের (বাহ্যিক হোস্ট) মাধ্যমে আংশিক পুনর্বিন্যাস বাস্তবায়নের বর্ণনা করে:
- ধাপ 1: শুরু হচ্ছে
- ধাপ 2: একটি ডিজাইন পার্টিশন তৈরি করা
- ধাপ 3: স্থান নির্ধারণ এবং রাউটিং অঞ্চল বরাদ্দ করা
- ধাপ 4: আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার আইপি যোগ করা হচ্ছে
- ধাপ 5: ব্যক্তিত্ব সংজ্ঞায়িত করা
- ধাপ 6: রিভিশন তৈরি করা
- ধাপ 7: বেস রিভিশন কম্পাইল করা
- ধাপ 8: জনসংযোগ বাস্তবায়ন সংশোধনের প্রস্তুতি
- ধাপ 9: বোর্ড প্রোগ্রামিং
ধাপ 1: শুরু করা
রেফারেন্স ডিজাইন কপি করতে fileআপনার কাজের পরিবেশে যান এবং blinking_led ফ্ল্যাট ডিজাইন কম্পাইল করুন:
- আপনার কাজের পরিবেশে একটি ডিরেক্টরি তৈরি করুন, agilex_pcie_devkit_blinking_led_pr.
- ডাউনলোড করা টিউটোরিয়াল/agilex_pcie_devkit_blinking_led/flat সাব-ফোল্ডার ডিরেক্টরিতে অনুলিপি করুন, agilex_pcie_devkit_blinking_led_pr.
- Intel Quartus Prime Pro Edition সফটওয়্যারে ক্লিক করুন File ➤ প্রজেক্ট খুলুন এবং blinking_led.qpf নির্বাচন করুন।
- ফ্ল্যাট ডিজাইনের শ্রেণিবিন্যাস বিস্তারিত করতে, প্রক্রিয়াকরণ ➤ শুরু ➤ বিশ্লেষণ ও সংশ্লেষণ শুরু করুন ক্লিক করুন। বিকল্পভাবে, কমান্ড-লাইনে, নিম্নলিখিত কমান্ডটি চালান: quartus_syn blinking_led -c blinking_led
একটি ডিজাইন পার্টিশন তৈরি করা
আপনাকে অবশ্যই প্রতিটি PR অঞ্চলের জন্য ডিজাইন পার্টিশন তৈরি করতে হবে যা আপনি আংশিকভাবে পুনরায় কনফিগার করতে চান। নিম্নলিখিত ধাপগুলি u_blinking_led উদাহরণের জন্য একটি ডিজাইন পার্টিশন তৈরি করে।
ডিজাইন পার্টিশন তৈরি করা
- প্রজেক্ট নেভিগেটরে u_blinking_led ইন্সট্যান্সে রাইট-ক্লিক করুন এবং ডিজাইন পার্টিশন ➤ রিকনফিগারেবল ক্লিক করুন। পার্টিশন হিসাবে সেট করা প্রতিটি উদাহরণের পাশে একটি ডিজাইন পার্টিশন আইকন উপস্থিত হয়।
- অ্যাসাইনমেন্ট ➤ ডিজাইন পার্টিশন উইন্ডোতে ক্লিক করুন। উইন্ডোটি প্রকল্পের সমস্ত নকশা পার্টিশন প্রদর্শন করে।
- নামটিতে ডাবল ক্লিক করে ডিজাইন পার্টিশন উইন্ডোতে পার্টিশনের নাম সম্পাদনা করুন। এই রেফারেন্স ডিজাইনের জন্য, পার্টিশনের নাম পরিবর্তন করে pr_partition করুন
- দ্রষ্টব্য: যখন আপনি একটি পার্টিশন তৈরি করেন, তখন Intel Quartus প্রাইম সফ্টওয়্যার স্বয়ংক্রিয়ভাবে একটি পার্টিশন নাম তৈরি করে, ইনস্ট্যান্সের নাম এবং হায়ারার্কি পাথের উপর ভিত্তি করে। এই ডিফল্ট পার্টিশনের নাম প্রতিটি উদাহরণের সাথে পরিবর্তিত হতে পারে।
- বেস রিভিশন কম্পাইল থেকে চূড়ান্ত স্ট্যাটিক অঞ্চল রপ্তানি করতে, পোস্ট ফাইনাল এক্সপোর্টে root_partition-এর জন্য এন্ট্রিতে ডাবল ক্লিক করুন File কলাম, এবং blinking_led_static টাইপ করুন। জিডিবি
ডিজাইন পার্টিশন উইন্ডোতে পোস্টের চূড়ান্ত স্ন্যাপশট রপ্তানি করা হচ্ছেযাচাই করুন যে blinking_led.qsf-এ নিম্নলিখিত অ্যাসাইনমেন্ট রয়েছে, আপনার পুনর্বিন্যাসযোগ্য ডিজাইন পার্টিশনের সাথে সম্পর্কিত:
সম্পর্কিত তথ্য
ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইডে "ডিজাইন পার্টিশন তৈরি করুন": আংশিক পুনর্বিন্যাস
পিআর পার্টিশনের জন্য প্লেসমেন্ট এবং রাউটিং অঞ্চল বরাদ্দ করা
আপনার তৈরি করা প্রতিটি বেস রিভিশনের জন্য, পিআর ডিজাইন ফ্লো আপনার পিআর পার্টিশন অঞ্চলে সংশ্লিষ্ট ব্যক্তিত্ব কোর স্থাপন করে। আপনার বেস রিভিশনের জন্য ডিভাইস ফ্লোরপ্ল্যানে PR অঞ্চলটি সনাক্ত করতে এবং বরাদ্দ করতে:
- প্রজেক্ট নেভিগেটরে u_blinking_led ইনস্ট্যান্সে ডান-ক্লিক করুন এবং লজিক লক অঞ্চলে ক্লিক করুন ➤ নতুন লজিক লক অঞ্চল তৈরি করুন। অঞ্চলটি লজিক লক অঞ্চল উইন্ডোতে প্রদর্শিত হয়।
- আপনার বসানো অঞ্চল অবশ্যই blinking_led লজিক আবদ্ধ করবে। চিপ প্ল্যানারে নোডটি সনাক্ত করে প্লেসমেন্ট অঞ্চল নির্বাচন করুন। লজিক লক রিজিয়ন উইন্ডোতে u_blinking_led অঞ্চলের নামটিতে ডান ক্লিক করুন এবং ক্লিক করুন
নোড সনাক্ত করুন ➤ চিপ প্ল্যানারে অবস্থান করুন। u_blinking_led অঞ্চলটি রঙ-কোডেড
blinking_led এর জন্য চিপ প্ল্যানার নোডের অবস্থান
- লজিক লক রিজিয়ন উইন্ডোতে, অরিজিন কলামে বসানো অঞ্চলের কো-অর্ডিনেট উল্লেখ করুন। উত্সটি অঞ্চলের নিম্ন-বাম কোণে অনুরূপ। প্রাক্তন জন্যample, (X1 Y1) কো-অর্ডিনেটের সাথে (163 4) একটি স্থান নির্ধারণ অঞ্চল সেট করতে, X163_Y4 হিসাবে উৎপত্তি উল্লেখ করুন। ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যার স্বয়ংক্রিয়ভাবে আপনার নির্দিষ্ট উচ্চতা এবং প্রস্থের উপর ভিত্তি করে প্লেসমেন্ট অঞ্চলের জন্য (X2 Y2) কো-অর্ডিনেট (উপর-ডানদিকে) গণনা করে।
- দ্রষ্টব্য: এই টিউটোরিয়ালটি (X1 Y1) কো-অর্ডিনেট ব্যবহার করে - (163 4), এবং স্থান নির্ধারণ অঞ্চলের জন্য 20 এর উচ্চতা এবং প্রস্থ। বসানো অঞ্চলের জন্য যেকোনো মান নির্ধারণ করুন। নিশ্চিত করুন যে অঞ্চলটি blinking_led লজিক কভার করে।
- সংরক্ষিত এবং শুধুমাত্র মূল বিকল্পগুলি সক্ষম করুন৷
- রাউটিং অঞ্চল বিকল্পে ডাবল-ক্লিক করুন। লজিক লক রাউটিং অঞ্চল সেটিংস ডায়ালগ বক্স প্রদর্শিত হবে।
- রাউটিং প্রকারের জন্য সম্প্রসারণের সাথে স্থির নির্বাচন করুন। এই বিকল্পটি নির্বাচন করা স্বয়ংক্রিয়ভাবে 2 এর সম্প্রসারণ দৈর্ঘ্য নির্ধারণ করে।
- দ্রষ্টব্য: ইঞ্জিন বিভিন্ন ব্যক্তিকে রুট করার সময় ফিটারের জন্য অতিরিক্ত নমনীয়তা প্রদান করতে রাউটিং অঞ্চলটি প্লেসমেন্ট অঞ্চলের চেয়ে বড় হতে হবে।
লজিক লক রিজিয়ন উইন্ডোযাচাই করুন যে blinking_led.qsf-এ আপনার ফ্লোর প্ল্যানিংয়ের সাথে সম্পর্কিত নিম্নলিখিত অ্যাসাইনমেন্ট রয়েছে:
সম্পর্কিত তথ্য
ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইডে "ফ্লোরপ্ল্যান আংশিক পুনর্বিন্যাস ডিজাইন": আংশিক পুনর্বিন্যাস
আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল FPGA আইপি যোগ করা হচ্ছে
আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল এফপিজিএ আইপি ইন্টারফেস ইন্টেল এজিলেক্স পিআর কন্ট্রোল ব্লকের সাথে বিটস্ট্রিম উত্স পরিচালনা করতে। বাহ্যিক কনফিগারেশন বাস্তবায়নের জন্য আপনাকে অবশ্যই আপনার ডিজাইনে এই আইপি যোগ করতে হবে। আংশিক পুনর্বিন্যাস বহিরাগত কনফিগারেশন কন্ট্রোলার যোগ করতে এই পদক্ষেপগুলি অনুসরণ করুন
আপনার প্রকল্পে ইন্টেল এফপিজিএ আইপি:
- আইপি ক্যাটালগ অনুসন্ধান ক্ষেত্রে আংশিক পুনর্বিন্যাস টাইপ করুন (সরঞ্জাম ➤ আইপি ক্যাটালগ)।
- আংশিক পুনর্বিন্যাস বাহ্যিক কনফিগারেশন কন্ট্রোলার ইন্টেল FPGA আইপি ডাবল-ক্লিক করুন।
- আইপি ভেরিয়েন্ট তৈরি করুন ডায়ালগ বক্সে, external_host_pr_ip হিসাবে টাইপ করুন File নাম, এবং তারপর তৈরি করুন ক্লিক করুন। পরামিতি সম্পাদক উপস্থিত হয়।
- ব্যস্ত ইন্টারফেস প্যারামিটার সক্ষম করার জন্য, নিষ্ক্রিয় (ডিফল্ট সেটিং) নির্বাচন করুন। যখন আপনার এই সংকেতটি ব্যবহার করার প্রয়োজন হয়, তখন আপনি সেটিংটি সক্রিয় করতে পারেন৷
প্যারামিটার এডিটরে ব্যস্ত ইন্টারফেস প্যারামিটার সক্ষম করুন
- ক্লিক করুন File ➤ সিস্টেম তৈরি না করেই প্যারামিটার এডিটর সংরক্ষণ করুন এবং প্রস্থান করুন। পরামিতি সম্পাদক বহিরাগত_host_pr_ip.ip IP বৈচিত্র তৈরি করে file এবং যোগ করে file blinking_led প্রকল্পে। AN 991: কনফিগারেশন পিনের মাধ্যমে আংশিক পুনর্বিন্যাস (বহিরাগত হোস্ট) রেফারেন্স ডিজাইন 750856 | 2022.11.14 AN 991:
- দ্রষ্টব্য:
- a. আপনি যদি external_host_pr_ip.ip অনুলিপি করছেন file pr ডিরেক্টরি থেকে, blinking_led.qsf নিজে সম্পাদনা করুন file নিম্নলিখিত লাইন অন্তর্ভুক্ত করতে: set_global_assignment -name IP_FILE pr_ip.ip
- b. IP_ বসানFILE এসডিসি_এর পর অ্যাসাইনমেন্টFILE আপনার blinking_led.qsf-এ অ্যাসাইনমেন্ট (blinking_led. dc) file. এই অর্ডারিং আংশিক পুনর্বিন্যাস কন্ট্রোলার আইপি কোরের যথাযথ সীমাবদ্ধতা নিশ্চিত করে।
- দ্রষ্টব্য: ঘড়ি সনাক্ত করতে, .sdc file পিআর আইপির জন্য অবশ্যই যেকোনো .sdc অনুসরণ করতে হবে যা আইপি কোর ব্যবহার করে এমন ঘড়ি তৈরি করে। আপনি .ip নিশ্চিত করে এই অর্ডারটি সহজতর করেন file পিআর আইপি কোর জন্য যে কোনো .ip পরে প্রদর্শিত হবে files বা .sdc files যা আপনি .qsf-এ এই ঘড়িগুলি সংজ্ঞায়িত করতে ব্যবহার করেন file আপনার ইন্টেল কোয়ার্টাস প্রাইম প্রকল্পের সংশোধনের জন্য। আরও তথ্যের জন্য, আংশিক পুনর্বিন্যাস আইপি সলিউশন ব্যবহারকারী নির্দেশিকা পড়ুন।
টপ-লেভেল ডিজাইন আপডেট করা হচ্ছে
top.sv আপডেট করতে file PR_IP উদাহরণ সহ:
- টপ-লেভেল ডিজাইনে external_host_pr_ip ইন্সট্যান্স যোগ করতে, top.sv-এ নিম্নলিখিত কোড ব্লকগুলিকে আনকমেন্ট করুন file:
ব্যক্তিত্বের সংজ্ঞা
এই রেফারেন্স ডিজাইনটি একক PR পার্টিশনের জন্য তিনটি পৃথক ব্যক্তিকে সংজ্ঞায়িত করে। আপনার প্রকল্পে ব্যক্তিত্বগুলিকে সংজ্ঞায়িত করতে এবং অন্তর্ভুক্ত করতে:
- তিনটি সিস্টেম ভেরিলগ তৈরি করুন files, blinking_led.sv, blinking_led_slow.sv, এবং blinking_led_empty.sv তিনটি ব্যক্তির জন্য আপনার কার্যকারী ডিরেক্টরিতে।
রেফারেন্স ডিজাইন ব্যক্তিত্ব
দ্রষ্টব্য:
- blinking_led.sv এর অংশ হিসাবে ইতিমধ্যে উপলব্ধ fileআপনি ফ্ল্যাট/সাব-ডিরেক্টরি থেকে কপি করেন। আপনি সহজভাবে এটি পুনরায় ব্যবহার করতে পারেন file.
- আপনি যদি SystemVerilog তৈরি করেন fileইন্টেল কোয়ার্টাস প্রাইম টেক্সট এডিটর থেকে, অ্যাড অক্ষম করুন file বর্তমান প্রকল্প বিকল্পে, সংরক্ষণ করার সময় files.
রিভিশন তৈরি করা
পিআর ডিজাইন ফ্লো ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যারে প্রকল্প সংশোধন বৈশিষ্ট্য ব্যবহার করে। আপনার প্রাথমিক নকশা হল বেস রিভিশন, যেখানে আপনি FPGA-তে স্থির অঞ্চলের সীমানা এবং পুনরায় কনফিগারযোগ্য অঞ্চলগুলিকে সংজ্ঞায়িত করেন। বেস রিভিশন থেকে, আপনি একাধিক রিভিশন তৈরি করেন। এই সংশোধনগুলি পিআর অঞ্চলগুলির জন্য বিভিন্ন বাস্তবায়ন ধারণ করে। যাইহোক, সমস্ত PR বাস্তবায়ন সংশোধন একই শীর্ষ-স্তরের প্লেসমেন্ট এবং বেস রিভিশন থেকে রাউটিং ফলাফল ব্যবহার করে। একটি PR ডিজাইন কম্পাইল করতে, আপনাকে অবশ্যই প্রতিটি ব্যক্তিত্বের জন্য একটি PR বাস্তবায়ন সংশোধন তৈরি করতে হবে। উপরন্তু, আপনাকে প্রতিটি সংশোধনের জন্য পুনর্বিবেচনার ধরন বরাদ্দ করতে হবে। উপলব্ধ রিভিশন প্রকারগুলি হল:
- আংশিক পুনর্বিন্যাস - বেস
- আংশিক পুনর্বিন্যাস - ব্যক্তিত্ব বাস্তবায়ন
নিম্নলিখিত সারণীতে প্রতিটি সংশোধনের জন্য পুনর্বিবেচনার নাম এবং সংশোধনের ধরন তালিকাভুক্ত করা হয়েছে:
রিভিশন নাম এবং প্রকার
রিভিশন নাম | রিভিশন টাইপ |
blinking_led.qsf | আংশিক পুনর্বিন্যাস - বেস |
blinking_led_default.qsf | আংশিক পুনর্বিন্যাস - ব্যক্তিত্ব বাস্তবায়ন |
blinking_led_slow.qsf | আংশিক পুনর্বিন্যাস - ব্যক্তিত্ব বাস্তবায়ন |
blinking_led_empty.qsf | আংশিক পুনর্বিন্যাস - ব্যক্তিত্ব বাস্তবায়ন |
বেস রিভিশন টাইপ সেট করা
- প্রজেক্ট ➤ রিভিশনে ক্লিক করুন।
- রিভিশন নামে, blinking_led রিভিশন নির্বাচন করুন, এবং তারপর Set Current-এ ক্লিক করুন।
- আবেদন ক্লিক করুন. blinking_led রিভিশন বর্তমান রিভিশন হিসাবে প্রদর্শিত হয়।
- blinking_led এর জন্য রিভিশন টাইপ সেট করতে, অ্যাসাইনমেন্ট ➤ সেটিংস ➤ সাধারণ ক্লিক করুন।
- পুনর্বিবেচনার প্রকারের জন্য, আংশিক পুনর্বিন্যাস - বেস নির্বাচন করুন এবং তারপরে ঠিক আছে ক্লিক করুন।
- যাচাই করুন যে blinking_led.qsf-এ এখন নিম্নলিখিত অ্যাসাইনমেন্ট রয়েছে: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
বাস্তবায়ন সংশোধন তৈরি করা
- Revisions ডায়ালগ বক্স খুলতে Project ➤ Revisions-এ ক্লিক করুন।
- একটি নতুন রিভিশন তৈরি করতে, ডাবল ক্লিক করুন < >
- পুনর্বিবেচনার নামে, blinking_led_default উল্লেখ করুন এবং পুনর্বিবেচনার উপর ভিত্তি করে blinking_led নির্বাচন করুন।
- পুনর্বিবেচনার প্রকারের জন্য, আংশিক পুনর্বিন্যাস - ব্যক্তিত্ব বাস্তবায়ন নির্বাচন করুন।
রিভিশন তৈরি করা
- একইভাবে, blinking_led_slow এবং blinking_led_empty রিভিশনের জন্য রিভিশন টাইপ সেট করুন।
- যাচাই করুন যে প্রতিটি .qsf file এখন নিম্নলিখিত অ্যাসাইনমেন্ট রয়েছে: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led যেখানে, place_holder হল সদ্য নির্মিত PR বাস্তবায়ন সংশোধনের জন্য ডিফল্ট সত্তার নাম।
প্রকল্প সংশোধন
বেস রিভিশন কম্পাইল করা
- বেস রিভিশন কম্পাইল করতে, Processing ➤ Start Compilation এ ক্লিক করুন। বিকল্পভাবে, নিম্নলিখিত কমান্ডটি বেস রিভিশন কম্পাইল করে: quartus_sh –flow compile blinking_led -c blinking_led
- বিটস্ট্রিম পরিদর্শন করুন files যা আউটপুটে উৎপন্ন হয়_files ডিরেক্টরি।
উৎপন্ন Files
নাম | টাইপ | বর্ণনা |
blinking_led.sof | বেস প্রোগ্রামিং file | ফুল-চিপ বেস কনফিগারেশনের জন্য ব্যবহৃত হয় |
blinking_led.pr_partition.rbf | পিআর বিটস্ট্রিম file বেস ব্যক্তিত্বের জন্য | বেস ব্যক্তিত্বের আংশিক পুনর্বিন্যাস করার জন্য ব্যবহৃত হয়। |
blinking_led_static.qdb | .qdb ডাটাবেস file | চূড়ান্ত ডাটাবেস file স্ট্যাটিক অঞ্চল আমদানি করতে ব্যবহৃত হয়। |
সম্পর্কিত তথ্য
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইডে "ফ্লোরপ্ল্যান আংশিক পুনর্বিন্যাস ডিজাইন": আংশিক পুনর্বিন্যাস
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইডে "ফ্লোরপ্ল্যান সীমাবদ্ধতা ক্রমবর্ধমানভাবে প্রয়োগ করা হচ্ছে: আংশিক পুনর্বিন্যাস
জনসংযোগ বাস্তবায়ন সংশোধনের প্রস্তুতি
ডিভাইস প্রোগ্রামিংয়ের জন্য PR বিটস্ট্রিম কম্পাইল এবং জেনারেট করার আগে আপনাকে অবশ্যই PR বাস্তবায়ন সংশোধনগুলি প্রস্তুত করতে হবে। এই সেটআপে স্ট্যাটিক অঞ্চল .qdb যোগ করা অন্তর্ভুক্ত file উত্স হিসাবে file প্রতিটি বাস্তবায়ন সংশোধনের জন্য। এছাড়াও, আপনাকে অবশ্যই PR অঞ্চলের সংশ্লিষ্ট সত্তা উল্লেখ করতে হবে।
- বর্তমান রিভিশন সেট করতে, প্রজেক্ট ➤ রিভিশনে ক্লিক করুন, রিভিশন নাম হিসেবে blinking_led_default নির্বাচন করুন এবং তারপর Set Current এ ক্লিক করুন।
- প্রতিটি বাস্তবায়ন পুনর্বিবেচনার জন্য সঠিক উৎস যাচাই করতে, প্রকল্প ➤যোগ/সরান ক্লিক করুন Fileপ্রকল্পে এস. blinking_led.sv file মধ্যে প্রদর্শিত হয় file তালিকা
Files পৃষ্ঠা
- অন্য বাস্তবায়ন পুনর্বিবেচনা উৎস যাচাই করতে পদক্ষেপ 1 থেকে 2 পুনরাবৃত্তি করুন files:
বাস্তবায়ন রিভিশন নাম | উৎস File |
blinking_led_default | blinking_led.sv |
blinking_led_rempty | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- .qdb যাচাই করতে file রুট পার্টিশনের সাথে যুক্ত, অ্যাসাইনমেন্ট ➤ ডিজাইন পার্টিশন উইন্ডোতে ক্লিক করুন। পার্টিশন ডাটাবেস নিশ্চিত করুন File blinking_led_static.qdb নির্দিষ্ট করে file, অথবা পার্টিশন ডাটাবেসে ডাবল ক্লিক করুন File সেল এই নির্দিষ্ট করতে file. বিকল্পভাবে, নিম্নলিখিত কমান্ড এটি নির্ধারণ করে file: set_instance_assignment -নাম QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- এন্টিটি রি-বাইন্ডিং কক্ষে, প্রতিটি পিআর পার্টিশনের সত্তার নাম উল্লেখ করুন যা আপনি বাস্তবায়ন সংশোধনে পরিবর্তন করেন। blinking_led_default বাস্তবায়ন সংশোধনের জন্য, সত্তার নাম blinking_led। এই টিউটোরিয়ালে, আপনি নতুন blinking_led সত্তার সাথে বেস রিভিশন কম্পাইল থেকে u_blinking_led ইনস্ট্যান্স ওভাররাইট করেছেন।
দ্রষ্টব্য: একটি স্থানধারক সত্তা রিবাইন্ডিং অ্যাসাইনমেন্ট স্বয়ংক্রিয়ভাবে বাস্তবায়ন সংশোধনে যোগ করা হয়। যাইহোক, আপনাকে অবশ্যই অ্যাসাইনমেন্টের ডিফল্ট সত্তার নাম আপনার ডিজাইনের জন্য একটি উপযুক্ত সত্তার নাম পরিবর্তন করতে হবে।
বাস্তবায়ন রিভিশন নাম | এন্টিটি রি-বাইন্ডিং |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_rempty | blinking_led_rempty |
এন্টিটি রিবাইন্ডিং
- ডিজাইন কম্পাইল করতে, Processing ➤ Start Compilation এ ক্লিক করুন। বিকল্পভাবে, নিম্নলিখিত কমান্ডটি এই প্রকল্পটি কম্পাইল করে: quartus_sh –flow compile blinking_led –c blinking_led_default
- blinking_led_slow এবং blinking_led_empty রিভিশন প্রস্তুত করতে উপরের ধাপগুলি পুনরাবৃত্তি করুন: quartus_sh –flow কম্পাইল blinking_led –c blinking_led_slow quartus_sh –flow কম্পাইল blinking_led –c blinking_led_empt
দ্রষ্টব্য: আপনি PR বাস্তবায়ন সংকলনের সময় যে কোনও ফিটার নির্দিষ্ট সেটিংস নির্দিষ্ট করতে পারেন যা আপনি প্রয়োগ করতে চান। ফিটার নির্দিষ্ট সেটিংস আমদানি করা স্ট্যাটিক অঞ্চলকে প্রভাবিত না করে শুধুমাত্র ব্যক্তিত্বের ফিটকে প্রভাবিত করে।
বোর্ড প্রোগ্রামিং
এই টিউটোরিয়ালটি আপনার হোস্ট মেশিনে PCIe* স্লটের বাইরে বেঞ্চে একটি Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ড ব্যবহার করে। আপনি বোর্ড প্রোগ্রাম করার আগে, নিশ্চিত করুন যে আপনি নিম্নলিখিত পদক্ষেপগুলি সম্পন্ন করেছেন:
- Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডে পাওয়ার সাপ্লাই সংযুক্ত করুন।
- আপনার PC USB পোর্ট এবং বিকাশ বোর্ডে Intel FPGA ডাউনলোড কেবল পোর্টের মধ্যে ইন্টেল এফপিজিএ ডাউনলোড কেবলটি সংযুক্ত করুন।
Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডে ডিজাইন চালানোর জন্য:
- ইন্টেল কোয়ার্টাস প্রাইম সফটওয়্যারটি খুলুন এবং টুল ➤ প্রোগ্রামার এ ক্লিক করুন।
- প্রোগ্রামারে, হার্ডওয়্যার সেটআপে ক্লিক করুন এবং ইউএসবি-ব্লাস্টার নির্বাচন করুন।
- অটো ডিটেক্ট ক্লিক করুন এবং ডিভাইসটি নির্বাচন করুন, AGFB014R24AR0।
- ওকে ক্লিক করুন। ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যার বোর্ডে থাকা তিনটি এফপিজিএ ডিভাইসের সাহায্যে প্রোগ্রামারকে সনাক্ত করে এবং আপডেট করে।
- AGFB014R24AR0 ডিভাইসটি নির্বাচন করুন, পরিবর্তন ক্লিক করুন File এবং blinking_led_default.sof লোড করুন file.
- blinking_led_default.sof এর জন্য প্রোগ্রাম/কনফিগার সক্ষম করুন file.
- স্টার্ট ক্লিক করুন এবং প্রগতি দণ্ড 100% পৌঁছানোর জন্য অপেক্ষা করুন।
- মূল ফ্ল্যাট ডিজাইনের মতো একই ফ্রিকোয়েন্সিতে বোর্ডের LED গুলি ব্লিঙ্কিং লক্ষ্য করুন।
- শুধুমাত্র PR অঞ্চল প্রোগ্রাম করতে, blinking_led_default.sof-এ ডান-ক্লিক করুন file প্রোগ্রামারে এবং পিআর প্রোগ্রামিং যুক্ত করুন ক্লিক করুন File.
- blinking_led_slow.pr_partition.rbf নির্বাচন করুন file.
- blinking_led_default.sof এর জন্য প্রোগ্রাম/কনফিগার অক্ষম করুন file.
- blinking_led_slow.pr_partition.rbf এর জন্য প্রোগ্রাম/কনফিগার সক্ষম করুন file এবং Start এ ক্লিক করুন। বোর্ডে, LED[0] এবং LED[1] অবিরত ব্লিঙ্ক করা পর্যবেক্ষণ করুন। যখন অগ্রগতি বার 100% ছুঁয়ে যায়, তখন LED[2] এবং LED[3] ধীরে ধীরে ব্লিঙ্ক করে।
- পিআর অঞ্চল পুনরায় প্রোগ্রাম করতে, .rbf-এ ডান-ক্লিক করুন file প্রোগ্রামার-এ এবং চেঞ্জ পিআর প্রোগ্রামিং-এ ক্লিক করুন File.
- .rbf নির্বাচন করুন fileবোর্ডে অন্য দুই ব্যক্তিত্বের আচরণ পর্যবেক্ষণ করার জন্য। blinking_led_default.rbf লোড হচ্ছে file একটি নির্দিষ্ট ফ্রিকোয়েন্সিতে এলইডি ব্লিঙ্ক করে এবং blinking_led_empty.rbf লোড করে file LEDs অন থাকার কারণ.
Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডের প্রোগ্রামিং
হার্ডওয়্যার টেস্টিং ফ্লো
নিম্নলিখিত ক্রমগুলি রেফারেন্স ডিজাইন হার্ডওয়্যার পরীক্ষার প্রবাহ বর্ণনা করে।
ইন্টেল এজিলেক্স ডিভাইস এক্সটার্নাল হোস্ট হার্ডওয়্যার সেটআপ
সাহায্যকারী FPGA (বহিরাগত হোস্ট) প্রোগ্রাম করুন
নিম্নলিখিত ক্রমটি সাহায্যকারী এফপিজিএ প্রোগ্রামিং বর্ণনা করে যা পিআর প্রক্রিয়া বহিরাগত হোস্ট হিসাবে কাজ করে:
- Avalon স্ট্রিমিং ইন্টারফেস সেটিংস নির্দিষ্ট করুন যা আপনার নির্বাচন করা মোডের সাথে মিলে যায় (x8, x16, বা x32)।
- ইন্টেল কোয়ার্টাস প্রাইম প্রোগ্রামার এবং সংযুক্ত কনফিগারেশন কেবল ব্যবহার করে হেল্পার এফপিজিএ প্রোগ্রামিং করে প্ল্যাটফর্মটি শুরু করুন।
- সাহায্যকারী FPGA ব্যবহার করে, CONF_DONE এবং AVST_READY সংকেত পড়ুন। CONF_DONE 0 হওয়া উচিত, AVST_READY 1 হওয়া উচিত। এই পিনে উচ্চতর যুক্তি নির্দেশ করে যে SDM একটি বহিরাগত হোস্ট থেকে ডেটা গ্রহণ করতে প্রস্তুত। এই আউটপুট SDM I/O এর অংশ।
দ্রষ্টব্য: CONF_DONE পিন একটি বাহ্যিক হোস্টকে সংকেত দেয় যে বিটস্ট্রিম স্থানান্তর সফল হয়েছে৷ সম্পূর্ণ চিপ কনফিগারেশন প্রক্রিয়া নিরীক্ষণ করতে শুধুমাত্র এই সংকেত ব্যবহার করুন. এই পিন সম্পর্কে আরও তথ্যের জন্য Intel Agilex কনফিগারেশন ব্যবহারকারী নির্দেশিকা পড়ুন।
বাহ্যিক হোস্টের মাধ্যমে ফুল চিপ এসওএফ সহ DUT FPGA প্রোগ্রাম করুন নিম্নলিখিত ক্রমটি সম্পূর্ণ চিপ SRAM অবজেক্টের সাথে DUT FPGA প্রোগ্রামিং বর্ণনা করে File (.sof) হোস্ট Avalon স্ট্রিমিং ইন্টারফেস ব্যবহার করে:
- সাহায্যকারী FPGA (বাহ্যিক হোস্ট) এর DDR4 বাহ্যিক মেমরিতে সম্পূর্ণ চিপ বিটস্ট্রিম লিখুন।
- Avalon স্ট্রিমিং ইন্টারফেস (x8, x16, x32) ব্যবহার করে সম্পূর্ণ চিপ .sof সহ DUT FPGA কনফিগার করুন।
- অবস্থা DUT FPGA কনফিগারেশন সংকেত পড়ুন. CONF_DONE 1 হওয়া উচিত, AVST_READY 0 হওয়া উচিত।
টাইমিং স্পেসিফিকেশন: আংশিক পুনর্বিন্যাস এক্সটার্নাল কন্ট্রোলার ইন্টেল FPGA আইপি
এক্সটার্নাল হোস্টের মাধ্যমে ফার্স্ট পারসোনার সাথে DUT FPGA প্রোগ্রাম করুন
- DUT FPGA-তে লক্ষ্য PR অঞ্চলে ফ্রিজ প্রয়োগ করুন।
- ইন্টেল কোয়ার্টাস প্রাইম সিস্টেম কনসোল ব্যবহার করে, আংশিক পুনর্বিন্যাস শুরু করতে pr_request বলুন। AVST_READY 1 হতে হবে।
- সাহায্যকারী FPGA (বাহ্যিক হোস্ট) এর DDR4 বাহ্যিক মেমরিতে প্রথম PR ব্যক্তিত্ব বিটস্ট্রিম লিখুন।
- Avalon স্ট্রিমিং ইন্টারফেস (x8, x16, x32) ব্যবহার করে, প্রথম ব্যক্তি বিটস্ট্রিমের সাথে DUT FPGA পুনরায় কনফিগার করুন।
- পিআর স্ট্যাটাস নিরীক্ষণ করতে, সিস্টেম কনসোল চালু করতে Tools ➤ System Console-এ ক্লিক করুন। সিস্টেম কনসোলে, পিআর স্থিতি নিরীক্ষণ করুন:
- pr_error হল 2—প্রক্রিয়ায় পুনরায় কনফিগারেশন।
- pr_error হল 3—পুনঃকনফিগারেশন সম্পূর্ণ।
- DUT FPGA-তে PR অঞ্চলে আনফ্রিজ প্রয়োগ করুন।
দ্রষ্টব্য: যদি পিআর অপারেশন চলাকালীন একটি ত্রুটি ঘটে, যেমন সংস্করণ চেকিং বা অনুমোদন পরীক্ষায় ব্যর্থতা, পিআর অপারেশন বন্ধ হয়ে যায়।
সম্পর্কিত তথ্য
- ইন্টেল এজিলেক্স কনফিগারেশন ব্যবহারকারীর নির্দেশিকা
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইড: ডিবাগ টুলস
AN 991-এর জন্য নথি পুনর্বিবেচনার ইতিহাস: Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডের জন্য কনফিগারেশন পিন (বাহ্যিক হোস্ট) রেফারেন্স ডিজাইনের মাধ্যমে আংশিক পুনর্বিন্যাস
নথি সংস্করণ | ইন্টেল কোয়ার্টাস প্রাইম সংস্করণ | পরিবর্তন |
2022.11.14 | 22.3 | • প্রাথমিক প্রকাশ। |
AN 991: কনফিগারেশন পিনের মাধ্যমে আংশিক পুনর্বিন্যাস (বহিরাগত হোস্ট) রেফারেন্স ডিজাইন: Intel Agilex F-Series FPGA ডেভেলপমেন্ট বোর্ডের জন্য
শীর্ষ FAQগুলির উত্তর:
- Q কনফিগারেশন পিনের মাধ্যমে পিআর কি?
- A পৃষ্ঠা 3-এ বহিরাগত হোস্ট কনফিগারেশন
- Q এই রেফারেন্স ডিজাইনের জন্য আমার কী দরকার?
- A পৃষ্ঠা 6-এ রেফারেন্স ডিজাইনের প্রয়োজনীয়তা
- Q আমি রেফারেন্স ডিজাইন কোথায় পেতে পারি?
- A পৃষ্ঠা 6-এ রেফারেন্স ডিজাইনের প্রয়োজনীয়তা
- Q আমি কিভাবে বাহ্যিক কনফিগারেশনের মাধ্যমে PR সঞ্চালন করব?
- A পৃষ্ঠা 6-এ রেফারেন্স ডিজাইন ওয়াকথ্রু
- Q একটি PR ব্যক্তিত্ব কি?
- A পৃষ্ঠা 11-এ ব্যক্তিদের সংজ্ঞায়িত করা
- Q আমি কিভাবে বোর্ড প্রোগ্রাম করব?
- A 17 পৃষ্ঠায় বোর্ড প্রোগ্রাম করুন
- Q PR পরিচিত সমস্যা এবং সীমাবদ্ধতা কি কি?
- A ইন্টেল FPGA সমর্থন ফোরাম: PR
- Q আপনি PR উপর প্রশিক্ষণ আছে?
- A ইন্টেল FPGA প্রযুক্তিগত প্রশিক্ষণ ক্যাটালগ
অনলাইন সংস্করণ প্রতিক্রিয়া পাঠান
- আইডি: 750856
- সংস্করণ: 2022.11.14
দলিল/সম্পদ
![]() |
intel 750856 Agilex FPGA ডেভেলপমেন্ট বোর্ড [পিডিএফ] ব্যবহারকারীর নির্দেশিকা 750856, 750857, 750856 Agilex FPGA উন্নয়ন বোর্ড, Agilex FPGA উন্নয়ন বোর্ড, FPGA উন্নয়ন বোর্ড, উন্নয়ন বোর্ড, বোর্ড |