intel 750856 Agilex FPGA డెవలప్మెంట్ బోర్డ్
ఉత్పత్తి సమాచారం
ఈ సూచన డిజైన్ Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్ కోసం ఉద్దేశించబడింది. ఇది పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ Intel FPGA IPని ఉపయోగిస్తుంది మరియు సాధారణ PR ప్రాంతాన్ని కలిగి ఉంటుంది. Intel Agilex పరికర బాహ్య హోస్ట్ హార్డ్వేర్ సెటప్లో బాహ్య పరికరం (హెల్పర్ FPGA), DUT FPGA మరియు మీ బాహ్య హోస్ట్ డిజైన్ ఉంటాయి. బాహ్య పరికరంలోని హోస్ట్ డిజైన్ PR ప్రక్రియను హోస్ట్ చేయడానికి బాధ్యత వహిస్తుంది. PR పిన్లు రెండు పరికరాలను కనెక్ట్ చేయడానికి ఉపయోగించబడతాయి మరియు అందుబాటులో ఉన్న ఏదైనా వినియోగదారు I/Oలు కావచ్చు.
ఉత్పత్తి వినియోగ సూచనలు
బాహ్య హోస్ట్ కాన్ఫిగరేషన్
బాహ్య హోస్ట్ కాన్ఫిగరేషన్ చేయడానికి, ఈ దశలను అనుసరించండి:
- PR ప్రక్రియను హోస్ట్ చేయడానికి బాహ్య పరికరంలో హోస్ట్ డిజైన్ను సృష్టించండి.
- బాహ్య పరికరం నుండి PR పిన్లను DUT FPGAలోని పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ Intel FPGA IPకి కనెక్ట్ చేయండి.
- IP నుండి PR హ్యాండ్షేకింగ్ సిగ్నల్లకు అనుగుణంగా ఉండే హోస్ట్ డిజైన్ నుండి Intel Agilex Avalon స్ట్రీమింగ్ ఇంటర్ఫేస్ పిన్లకు స్ట్రీమ్ కాన్ఫిగరేషన్ డేటా.
కాన్ఫిగరేషన్ పిన్స్ ఆపరేషన్ ద్వారా పాక్షిక రీకాన్ఫిగరేషన్
కింది క్రమం కాన్ఫిగరేషన్ పిన్ల ద్వారా పాక్షిక పునర్నిర్మాణం యొక్క ఆపరేషన్ను వివరిస్తుంది:
- పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IPకి కనెక్ట్ చేయబడిన pr_request పిన్ను నొక్కి చెప్పండి.
- PR ప్రక్రియ పురోగతిలో ఉందని సూచించడానికి IP బిజీ సిగ్నల్ను నిర్ధారిస్తుంది (ఐచ్ఛికం).
- కాన్ఫిగరేషన్ సిస్టమ్ PR ఆపరేషన్ కోసం సిద్ధంగా ఉంటే, avst_ready పిన్ నొక్కిచెప్పబడుతుంది, ఇది డేటాను ఆమోదించడానికి సిద్ధంగా ఉందని సూచిస్తుంది.
- బ్యాక్ప్రెషర్తో డేటా బదిలీ కోసం Avalon స్ట్రీమింగ్ స్పెసిఫికేషన్ను అనుసరించి, PR కాన్ఫిగరేషన్ డేటాను avst_data పిన్స్ మరియు avst_valid పిన్ ద్వారా ప్రసారం చేయండి.
- avst_ready పిన్ డి-అస్సర్ట్ చేయబడినప్పుడు స్ట్రీమింగ్ ఆగిపోతుంది.
- PR ఆపరేషన్ కోసం మరింత డేటా అవసరం లేదని సూచించడానికి avst_ready పిన్ను డి-అసెర్ట్ చేయండి.
- పాక్షిక రీకాన్ఫిగరేషన్ ఎక్స్టర్నల్ కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IP ప్రక్రియ ముగింపును సూచించడానికి బిజీ సిగ్నల్ను నిర్ధారిస్తుంది (ఐచ్ఛికం).
కాన్ఫిగరేషన్ పిన్స్ (బాహ్య హోస్ట్) రిఫరెన్స్ డిజైన్ ద్వారా పాక్షిక రీకాన్ఫిగరేషన్
ఈ అప్లికేషన్ నోట్ Intel® Agilex® F-Series FPGA డెవలప్మెంట్ బోర్డ్లో కాన్ఫిగరేషన్ పిన్స్ (బాహ్య హోస్ట్) ద్వారా పాక్షిక రీకాన్ఫిగరేషన్ను ప్రదర్శిస్తుంది.
రిఫరెన్స్ డిజైన్ ముగిసిందిview
పాక్షిక రీకాన్ఫిగరేషన్ (PR) ఫీచర్ FPGAలోని కొంత భాగాన్ని డైనమిక్గా రీకాన్ఫిగర్ చేయడానికి మిమ్మల్ని అనుమతిస్తుంది, మిగిలిన FPGA డిజైన్ పని చేస్తూనే ఉంటుంది. మీరు మీ డిజైన్లో నిర్దిష్ట ప్రాంతం కోసం బహుళ వ్యక్తులను సృష్టించవచ్చు, అది ఈ ప్రాంతం వెలుపలి ప్రాంతాల్లో ఆపరేషన్పై ప్రభావం చూపదు. బహుళ విధులు ఒకే FPGA పరికర వనరులను సమయాన్ని పంచుకునే సిస్టమ్లలో ఈ పద్దతి ప్రభావవంతంగా ఉంటుంది. ఇంటెల్ క్వార్టస్ ® ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్వేర్ యొక్క ప్రస్తుత వెర్షన్ పాక్షిక పునర్నిర్మాణం కోసం కొత్త మరియు సరళీకృత కంపైలేషన్ ఫ్లోను పరిచయం చేసింది. ఈ ఇంటెల్ అజిలెక్స్ రిఫరెన్స్ డిజైన్ పాక్షిక రీకాన్ఫిగరేషన్ ఎక్స్టర్నల్ కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IPని ఉపయోగిస్తుంది మరియు సాధారణ PR ప్రాంతాన్ని కలిగి ఉంది.
Intel Agilex పరికరం బాహ్య హోస్ట్ హార్డ్వేర్ సెటప్
బాహ్య హోస్ట్ కాన్ఫిగరేషన్
బాహ్య హోస్ట్ కాన్ఫిగరేషన్లో, Intel Agilex డివైస్ ఎక్స్టర్నల్ హోస్ట్ హార్డ్వేర్ సెటప్ చూపినట్లుగా, PR ప్రాసెస్ను హోస్ట్ చేయడానికి మీరు ముందుగా బాహ్య పరికరంలో హోస్ట్ డిజైన్ని సృష్టించాలి. పాక్షిక రీకాన్ఫిగరేషన్ ఎక్స్టర్నల్ కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IP నుండి వచ్చే PR హ్యాండ్షేకింగ్ సిగ్నల్లకు అనుగుణంగా ఉండే Intel Agilex Avalon స్ట్రీమింగ్ ఇంటర్ఫేస్ పిన్లకు హోస్ట్ డిజైన్ కాన్ఫిగరేషన్ డేటాను ప్రసారం చేస్తుంది. మీరు రెండు పరికరాలను కనెక్ట్ చేయడానికి ఉపయోగించే PR పిన్లు అందుబాటులో ఉన్న ఏదైనా వినియోగదారు I/Oలు కావచ్చు.
కింది క్రమం కాన్ఫిగరేషన్ పిన్స్ ఆపరేషన్ ద్వారా పాక్షిక పునర్నిర్మాణాన్ని వివరిస్తుంది:
- ముందుగా పాక్షిక రీకాన్ఫిగరేషన్ ఎక్స్టర్నల్ కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IPకి కనెక్ట్ చేయబడిన pr_request పిన్ను నొక్కి చెప్పండి.
- PR ప్రక్రియ పురోగతిలో ఉందని సూచించడానికి IP బిజీ సిగ్నల్ను నిర్ధారిస్తుంది (ఐచ్ఛికం).
- కాన్ఫిగరేషన్ సిస్టమ్ PR ఆపరేషన్కు సిద్ధంగా ఉంటే, avst_ready పిన్ డేటాను ఆమోదించడానికి సిద్ధంగా ఉందని సూచించబడుతుంది.
- బ్యాక్ప్రెషర్తో డేటా బదిలీ కోసం Avalon స్ట్రీమింగ్ స్పెసిఫికేషన్ను గమనిస్తూ, PR కాన్ఫిగరేషన్ డేటాను avst_data పిన్స్ మరియు avst_valid పిన్ ద్వారా ప్రసారం చేయడం ప్రారంభించండి.
- avst_ready పిన్ డి-అస్సర్ట్ చేయబడినప్పుడల్లా స్ట్రీమింగ్ ఆగిపోతుంది.
- మొత్తం కాన్ఫిగరేషన్ డేటాను ప్రసారం చేసిన తర్వాత, PR ఆపరేషన్ కోసం ఇక డేటా అవసరం లేదని సూచించడానికి avst_ready పిన్ డి-అస్సర్ట్ చేయబడింది.
- పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IP ప్రక్రియ ముగింపును సూచించడానికి బిజీ సిగ్నల్ను డెజర్ట్ చేస్తుంది (ఐచ్ఛికం).
- PR ఆపరేషన్ విజయవంతంగా పూర్తయిందో లేదో నిర్ధారించడానికి మీరు pr_done మరియు pr_error పిన్లను తనిఖీ చేయవచ్చు. సంస్కరణ తనిఖీ మరియు అధికార తనిఖీలో వైఫల్యం వంటి లోపం సంభవించినట్లయితే, PR ఆపరేషన్ ముగుస్తుంది.
సంబంధిత సమాచారం
- Intel Agilex F-సిరీస్ FPGA డెవలప్మెంట్ కిట్ Web పేజీ
- Intel Agilex F-సిరీస్ FPGA డెవలప్మెంట్ కిట్ యూజర్ గైడ్
- ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: పాక్షిక రీకాన్ఫిగరేషన్
పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IP
PR ఆపరేషన్ కోసం PR డేటాను ప్రసారం చేయడానికి కాన్ఫిగరేషన్ పిన్లను ఉపయోగించడానికి పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ అవసరం. కోర్ నుండి సురక్షిత పరికర నిర్వాహికి (SDM)తో హోస్ట్ హ్యాండ్షేకింగ్ను అనుమతించడానికి మీరు తప్పనిసరిగా పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ Intel FPGA IP యొక్క అన్ని అగ్ర-స్థాయి పోర్ట్లను pr_request పిన్కి కనెక్ట్ చేయాలి. మీ MSEL సెట్టింగ్ ప్రకారం, ఏ రకమైన కాన్ఫిగరేషన్ పిన్లను ఉపయోగించాలో SDM నిర్ణయిస్తుంది.
పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IP
పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ పారామీటర్ సెట్టింగ్లు
పరామితి | విలువ | వివరణ |
బిజీ ఇంటర్ఫేస్ని ప్రారంభించండి | ప్రారంభించు or
ఆపివేయి |
బాహ్య కాన్ఫిగరేషన్ సమయంలో PR ప్రాసెసింగ్ ప్రోగ్రెస్లో ఉందని సూచించడానికి సంకేతాన్ని నొక్కి చెప్పే బిజీ ఇంటర్ఫేస్ను ప్రారంభించేందుకు లేదా నిలిపివేయడానికి మిమ్మల్ని అనుమతిస్తుంది.
డిఫాల్ట్ సెట్టింగ్ ఆపివేయి. |
పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ పోర్ట్లు
పోర్ట్ పేరు | వెడల్పు | దిశ | ఫంక్షన్ |
pr_request | 1 | ఇన్పుట్ | PR ప్రక్రియ ప్రారంభించడానికి సిద్ధంగా ఉందని సూచిస్తుంది. సిగ్నల్ అనేది ఏ క్లాక్ సిగ్నల్కు సింక్రోనస్ కాని కండ్యూట్. |
pr_error | 2 | అవుట్పుట్ | పాక్షిక రీకాన్ఫిగరేషన్ లోపాన్ని సూచిస్తుంది.:
• 2'b01—సాధారణ PR లోపం • 2'b11-అనుకూల బిట్స్ట్రీమ్ లోపం ఈ సంకేతాలు ఏ క్లాక్ సోర్స్కి సింక్రోనస్ కావు. |
pr_done | 1 | అవుట్పుట్ | PR ప్రక్రియ పూర్తయినట్లు సూచిస్తుంది. సిగ్నల్ అనేది ఏ క్లాక్ సిగ్నల్కు సింక్రోనస్ కాని కండ్యూట్. |
ప్రారంభం_జోడించు | 1 | ఇన్పుట్ | యాక్టివ్ సీరియల్ ఫ్లాష్లో PR డేటా ప్రారంభ చిరునామాను పేర్కొంటుంది. మీరు దేనినైనా ఎంచుకోవడం ద్వారా ఈ సిగ్నల్ని ఎనేబుల్ చేయండి అవలోన్®-ఎస్టీ or యాక్టివ్ సీరియల్ కోసం Avalon-ST పిన్లు లేదా యాక్టివ్ సీరియల్ పిన్లను ప్రారంభించండి పరామితి. సిగ్నల్ అనేది ఏ క్లాక్ సిగ్నల్కు సింక్రోనస్ కాని కండ్యూట్. |
రీసెట్ | 1 | ఇన్పుట్ | యాక్టివ్ హై, సింక్రోనస్ రీసెట్ సిగ్నల్. |
out_clk | 1 | అవుట్పుట్ | అంతర్గత ఓసిలేటర్ నుండి ఉత్పత్తి చేసే గడియార మూలం. |
బిజీగా ఉన్నారు | 1 | అవుట్పుట్ | ప్రోగ్రెస్లో ఉన్న PR డేటా బదిలీని సూచించడానికి IP ఈ సిగ్నల్ని నిర్ధారిస్తుంది. మీరు ఎంచుకోవడం ద్వారా ఈ సిగ్నల్ని ఎనేబుల్ చేయండి ప్రారంభించు కోసం బిజీ ఇంటర్ఫేస్ని ప్రారంభించండి పరామితి. |
సూచన డిజైన్ అవసరాలు
ఈ రిఫరెన్స్ డిజైన్ని ఉపయోగించడానికి కిందివి అవసరం:
- ఇంటెల్ అజిలెక్స్ పరికర కుటుంబానికి మద్దతుతో ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ వెర్షన్ 22.3 యొక్క ఇన్స్టాలేషన్.
- బెంచ్పై ఉన్న Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్కు కనెక్షన్.
- డిజైన్ యొక్క డౌన్లోడ్ మాజీampకింది ప్రదేశంలో అందుబాటులో ఉంది: https://github.com/intel/fpga-partial-reconfig.
డిజైన్ను డౌన్లోడ్ చేయడానికి మాజీampలే:
- క్లోన్ క్లిక్ చేయండి లేదా డౌన్లోడ్ చేయండి.
- డౌన్లోడ్ జిప్ క్లిక్ చేయండి. fpga-partial-reconfig-master.zipని అన్జిప్ చేయండి file.
- రిఫరెన్స్ డిజైన్ను యాక్సెస్ చేయడానికి ట్యుటోరియల్స్/agilex_external_pr_configuration సబ్ఫోల్డర్కి నావిగేట్ చేయండి.
సూచన డిజైన్ వాక్త్రూ
Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్లో కాన్ఫిగరేషన్ పిన్స్ (బాహ్య హోస్ట్) ద్వారా పాక్షిక పునర్నిర్మాణం అమలును క్రింది దశలు వివరిస్తాయి:
- దశ 1: మొదలు అవుతున్న
- దశ 2: డిజైన్ విభజనను సృష్టిస్తోంది
- దశ 3: ప్లేస్మెంట్ మరియు రూటింగ్ ప్రాంతాలను కేటాయించడం
- దశ 4: పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ IPని జోడిస్తోంది
- దశ 5: వ్యక్తులను నిర్వచించడం
- దశ 6: పునర్విమర్శలను సృష్టిస్తోంది
- దశ 7: బేస్ రివిజన్ కంపైల్ చేస్తోంది
- దశ 8: PR ఇంప్లిమెంటేషన్ రివిజన్లను సిద్ధం చేస్తోంది
- దశ 9: బోర్డు ప్రోగ్రామింగ్
దశ 1: ప్రారంభించడం
సూచన రూపకల్పనను కాపీ చేయడానికి fileమీ పని వాతావరణానికి s మరియు blinking_led ఫ్లాట్ డిజైన్ను కంపైల్ చేయండి:
- మీ పని వాతావరణంలో డైరెక్టరీని సృష్టించండి, agilex_pcie_devkit_blinking_led_pr.
- డౌన్లోడ్ చేయబడిన ట్యుటోరియల్స్/agilex_pcie_devkit_blinking_led/flat ఉప-ఫోల్డర్ను డైరెక్టరీకి కాపీ చేయండి, agilex_pcie_devkit_blinking_led_pr.
- ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్వేర్లో, క్లిక్ చేయండి File ➤ ప్రాజెక్ట్ తెరవండి మరియు blinking_led.qpf ఎంచుకోండి.
- ఫ్లాట్ డిజైన్ యొక్క సోపానక్రమాన్ని వివరించడానికి, ప్రాసెసింగ్ ➤ ప్రారంభం ➤ విశ్లేషణ & సంశ్లేషణ ప్రారంభించు క్లిక్ చేయండి. ప్రత్యామ్నాయంగా, కమాండ్-లైన్ వద్ద, కింది ఆదేశాన్ని అమలు చేయండి: quartus_syn blinking_led -c blinking_led
డిజైన్ విభజనను సృష్టిస్తోంది
మీరు పాక్షికంగా రీకాన్ఫిగర్ చేయాలనుకుంటున్న ప్రతి PR ప్రాంతం కోసం మీరు తప్పనిసరిగా డిజైన్ విభజనలను సృష్టించాలి. క్రింది దశలు u_blinking_led ఉదాహరణ కోసం డిజైన్ విభజనను సృష్టిస్తాయి.
డిజైన్ విభజనలను సృష్టిస్తోంది
- ప్రాజెక్ట్ నావిగేటర్లో u_blinking_led ఉదాహరణపై కుడి-క్లిక్ చేసి, డిజైన్ విభజన ➤ రీకాన్ఫిగర్ చేయదగినది క్లిక్ చేయండి. విభజనగా సెట్ చేయబడిన ప్రతి ఉదాహరణ పక్కన డిజైన్ విభజన చిహ్నం కనిపిస్తుంది.
- అసైన్మెంట్లు ➤ డిజైన్ విభజనల విండోను క్లిక్ చేయండి. విండో ప్రాజెక్ట్లోని అన్ని డిజైన్ విభజనలను ప్రదర్శిస్తుంది.
- పేరును డబుల్-క్లిక్ చేయడం ద్వారా డిజైన్ విభజనల విండోలో విభజన పేరును సవరించండి. ఈ సూచన రూపకల్పన కోసం, విభజన పేరును pr_partitionగా మార్చండి
- గమనిక: మీరు విభజనను సృష్టించినప్పుడు, ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్ ఇన్స్టాన్స్ పేరు మరియు సోపానక్రమం మార్గం ఆధారంగా స్వయంచాలకంగా విభజన పేరును రూపొందిస్తుంది. ఈ డిఫాల్ట్ విభజన పేరు ప్రతి సందర్భంలోనూ మారవచ్చు.
- బేస్ రివిజన్ కంపైల్ నుండి ఖరారు చేయబడిన స్టాటిక్ రీజియన్ను ఎగుమతి చేయడానికి, పోస్ట్ ఫైనల్ ఎగుమతిలో root_partition కోసం ఎంట్రీని డబుల్ క్లిక్ చేయండి File నిలువు వరుస, మరియు blinking_led_static అని టైప్ చేయండి. gdb
డిజైన్ విభజనల విండోలో పోస్ట్ ఫైనల్ స్నాప్షాట్ను ఎగుమతి చేస్తోందిblinking_led.qsf కింది అసైన్మెంట్లను కలిగి ఉందని ధృవీకరించండి, మీ పునర్నిర్మించదగిన డిజైన్ విభజనకు అనుగుణంగా:
సంబంధిత సమాచారం
ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్లో “డిజైన్ విభజనలను సృష్టించు”: పాక్షిక రీకాన్ఫిగరేషన్
PR విభజన కోసం ప్లేస్మెంట్ మరియు రూటింగ్ ప్రాంతాన్ని కేటాయించడం
మీరు సృష్టించే ప్రతి బేస్ రివిజన్ కోసం, PR డిజైన్ ఫ్లో మీ PR విభజన ప్రాంతంలో సంబంధిత పర్సన కోర్ని ఉంచుతుంది. మీ బేస్ రివిజన్ కోసం డివైజ్ ఫ్లోర్ప్లాన్లో PR ప్రాంతాన్ని గుర్తించి కేటాయించడానికి:
- ప్రాజెక్ట్ నావిగేటర్లో u_blinking_led ఇన్స్టాన్స్పై కుడి-క్లిక్ చేసి, లాజిక్ లాక్ రీజియన్ని క్లిక్ చేయండి ➤ కొత్త లాజిక్ లాక్ రీజియన్ని సృష్టించండి. ప్రాంతం లాజిక్ లాక్ రీజియన్స్ విండోలో కనిపిస్తుంది.
- మీ ప్లేస్మెంట్ ప్రాంతం తప్పనిసరిగా బ్లింకింగ్_లెడ్ లాజిక్ను జతచేయాలి. చిప్ ప్లానర్లో నోడ్ను గుర్తించడం ద్వారా ప్లేస్మెంట్ ప్రాంతాన్ని ఎంచుకోండి. లాజిక్ లాక్ రీజియన్స్ విండోలో u_blinking_led ప్రాంతం పేరుపై కుడి-క్లిక్ చేసి, క్లిక్ చేయండి
నోడ్ను గుర్తించండి ➤ చిప్ ప్లానర్లో గుర్తించండి. u_blinking_led ప్రాంతం రంగు-కోడెడ్ చేయబడింది
బ్లింకింగ్_లెడ్ కోసం చిప్ ప్లానర్ నోడ్ లొకేషన్
- లాజిక్ లాక్ రీజియన్స్ విండోలో, ఆరిజిన్ కాలమ్లో ప్లేస్మెంట్ రీజియన్ కో-ఆర్డినేట్లను పేర్కొనండి. మూలం ప్రాంతం యొక్క దిగువ-ఎడమ మూలకు అనుగుణంగా ఉంటుంది. ఉదాహరణకుample, (X1 Y1) కో-ఆర్డినేట్లతో (163 4) ప్లేస్మెంట్ ప్రాంతాన్ని సెట్ చేయడానికి, మూలాన్ని X163_Y4గా పేర్కొనండి. Intel Quartus Prime సాఫ్ట్వేర్ మీరు పేర్కొన్న ఎత్తు మరియు వెడల్పు ఆధారంగా ప్లేస్మెంట్ ప్రాంతం కోసం (X2 Y2) కో-ఆర్డినేట్లను (ఎగువ-కుడివైపు) స్వయంచాలకంగా గణిస్తుంది.
- గమనిక: ఈ ట్యుటోరియల్ (X1 Y1) కో-ఆర్డినేట్లను ఉపయోగిస్తుంది - (163 4), మరియు ప్లేస్మెంట్ ప్రాంతం కోసం ఎత్తు మరియు వెడల్పు 20. ప్లేస్మెంట్ ప్రాంతం కోసం ఏదైనా విలువను నిర్వచించండి. ప్రాంతం బ్లింకింగ్_లెడ్ లాజిక్ను కవర్ చేస్తుందని నిర్ధారించుకోండి.
- రిజర్వ్ చేయబడిన మరియు కోర్-ఓన్లీ ఎంపికలను ప్రారంభించండి.
- రూటింగ్ రీజియన్ ఎంపికపై రెండుసార్లు క్లిక్ చేయండి. లాజిక్ లాక్ రూటింగ్ రీజియన్ సెట్టింగ్ల డైలాగ్ బాక్స్ కనిపిస్తుంది.
- రూటింగ్ రకం కోసం విస్తరణతో స్థిరమైనది ఎంచుకోండి. ఈ ఎంపికను ఎంచుకోవడం వలన స్వయంచాలకంగా 2 విస్తరణ పొడవు కేటాయించబడుతుంది.
- గమనిక: ఇంజిన్ వేర్వేరు వ్యక్తులను రూట్ చేస్తున్నప్పుడు ఫిట్టర్ కోసం అదనపు సౌలభ్యాన్ని అందించడానికి, రూటింగ్ ప్రాంతం తప్పనిసరిగా ప్లేస్మెంట్ ప్రాంతం కంటే పెద్దదిగా ఉండాలి.
లాజిక్ లాక్ రీజియన్స్ విండోblinking_led.qsf మీ ఫ్లోర్ప్లానింగ్కు అనుగుణంగా కింది అసైన్మెంట్లను కలిగి ఉందని ధృవీకరించండి:
సంబంధిత సమాచారం
ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: పాక్షిక రీకాన్ఫిగరేషన్లో “ఫ్లోర్ప్లాన్ ది పార్షియల్ రీకాన్ఫిగరేషన్ డిజైన్”
పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IPని జోడిస్తోంది
పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ Intel FPGA IP బిట్స్ట్రీమ్ సోర్స్ను నిర్వహించడానికి Intel Agilex PR కంట్రోల్ బ్లాక్తో ఇంటర్ఫేస్ చేస్తుంది. బాహ్య కాన్ఫిగరేషన్ను అమలు చేయడానికి మీరు తప్పనిసరిగా ఈ IPని మీ డిజైన్కు జోడించాలి. పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ను జోడించడానికి ఈ దశలను అనుసరించండి
మీ ప్రాజెక్ట్కి Intel FPGA IP:
- IP కేటలాగ్ శోధన ఫీల్డ్లో పాక్షిక రీకాన్ఫిగరేషన్ని టైప్ చేయండి (టూల్స్ ➤ IP కేటలాగ్).
- పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కాన్ఫిగరేషన్ కంట్రోలర్ ఇంటెల్ FPGA IPని రెండుసార్లు క్లిక్ చేయండి.
- సృష్టించు IP వేరియంట్ డైలాగ్ బాక్స్లో, external_host_pr_ip అని టైప్ చేయండి File పేరు, ఆపై సృష్టించు క్లిక్ చేయండి. పారామీటర్ ఎడిటర్ కనిపిస్తుంది.
- ఎనేబుల్ బిజీ ఇంటర్ఫేస్ పరామితి కోసం, డిసేబుల్ (డిఫాల్ట్ సెట్టింగ్) ఎంచుకోండి. మీరు ఈ సిగ్నల్ని ఉపయోగించాల్సిన అవసరం వచ్చినప్పుడు, మీరు సెట్టింగ్ని ఎనేబుల్కి మార్చవచ్చు.
పారామీటర్ ఎడిటర్లో బిజీ ఇంటర్ఫేస్ పరామితిని ప్రారంభించండి
- క్లిక్ చేయండి File ➤ సిస్టమ్ను రూపొందించకుండానే పారామీటర్ ఎడిటర్ను సేవ్ చేసి, నిష్క్రమించండి. పారామీటర్ ఎడిటర్ external_host_pr_ip.ip IP వైవిధ్యాన్ని ఉత్పత్తి చేస్తుంది file మరియు జోడిస్తుంది file బ్లింకింగ్_లెడ్ ప్రాజెక్ట్కి. AN 991: కాన్ఫిగరేషన్ పిన్స్ (బాహ్య హోస్ట్) రిఫరెన్స్ డిజైన్ 750856 ద్వారా పాక్షిక రీకాన్ఫిగరేషన్ | 2022.11.14 AN 991:
- గమనిక:
- a. మీరు external_host_pr_ip.ipని కాపీ చేస్తుంటే file pr డైరెక్టరీ నుండి, blinking_led.qsfని మానవీయంగా సవరించండి file కింది పంక్తిని చేర్చడానికి: set_global_assignment -name IP_FILE pr_ip.ip
- b. IP_ని ఉంచండిFILE SDC_ తర్వాత అసైన్మెంట్FILE మీ blinking_led.qsfలో అసైన్మెంట్లు (blinking_led. dc). file. ఈ ఆర్డరింగ్ పాక్షిక రీకాన్ఫిగరేషన్ కంట్రోలర్ IP కోర్ యొక్క సరైన నిర్బంధాన్ని నిర్ధారిస్తుంది.
- గమనిక: గడియారాలను గుర్తించడానికి, .sdc file PR IP కోసం IP కోర్ ఉపయోగించే గడియారాలను సృష్టించే ఏదైనా .sdcని తప్పనిసరిగా అనుసరించాలి. మీరు .ip అని నిర్ధారించుకోవడం ద్వారా ఈ ఆర్డర్ను సులభతరం చేస్తారు file PR IP కోర్ ఏదైనా .ip తర్వాత కనిపిస్తుంది files లేదా .sdc fileమీరు .qsfలో ఈ గడియారాలను నిర్వచించడానికి ఉపయోగించే s file మీ ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ రివిజన్ కోసం. మరింత సమాచారం కోసం, పాక్షిక రీకాన్ఫిగరేషన్ IP సొల్యూషన్స్ యూజర్ గైడ్ని చూడండి.
ఉన్నత-స్థాయి డిజైన్ను నవీకరిస్తోంది
top.svని అప్డేట్ చేయడానికి file PR_IP ఉదాహరణతో:
- అత్యున్నత-స్థాయి డిజైన్కు external_host_pr_ip ఉదాహరణను జోడించడానికి, top.svలో కింది కోడ్ బ్లాక్లను అన్కామెంట్ చేయండి. file:
వ్యక్తులను నిర్వచించడం
ఈ సూచన డిజైన్ ఒకే PR విభజన కోసం మూడు వేర్వేరు వ్యక్తులను నిర్వచిస్తుంది. మీ ప్రాజెక్ట్లో వ్యక్తులను నిర్వచించడానికి మరియు చేర్చడానికి:
- మూడు SystemVerilogని సృష్టించండి fileమూడు వ్యక్తుల కోసం మీ వర్కింగ్ డైరెక్టరీలో s, blinking_led.sv, blinking_led_slow.sv మరియు blinking_led_empty.sv.
రిఫరెన్స్ డిజైన్ పర్సనస్
గమనిక:
- blinking_led.svలో భాగంగా ఇప్పటికే అందుబాటులో ఉంది fileమీరు ఫ్లాట్/సబ్ డైరెక్టరీ నుండి కాపీ చేస్తారు. మీరు దీన్ని మళ్లీ ఉపయోగించుకోవచ్చు file.
- మీరు SystemVerilogని సృష్టిస్తే fileఇంటెల్ క్వార్టస్ ప్రైమ్ టెక్స్ట్ ఎడిటర్ నుండి, యాడ్ని డిజేబుల్ చేయండి file ప్రస్తుత ప్రాజెక్ట్ ఎంపికకు, సేవ్ చేసేటప్పుడు files.
పునర్విమర్శలను సృష్టిస్తోంది
PR డిజైన్ ఫ్లో ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్లో ప్రాజెక్ట్ పునర్విమర్శల లక్షణాన్ని ఉపయోగిస్తుంది. మీరు FPGAలో స్థిరమైన ప్రాంత సరిహద్దులు మరియు పునర్నిర్మించదగిన ప్రాంతాలను నిర్వచించే మూల పునర్విమర్శ మీ ప్రారంభ రూపకల్పన. బేస్ పునర్విమర్శ నుండి, మీరు బహుళ పునర్విమర్శలను సృష్టిస్తారు. ఈ పునర్విమర్శలు PR ప్రాంతాల కోసం వివిధ అమలులను కలిగి ఉంటాయి. అయినప్పటికీ, అన్ని PR అమలు పునర్విమర్శలు బేస్ రివిజన్ నుండి ఒకే ఉన్నత-స్థాయి ప్లేస్మెంట్ మరియు రూటింగ్ ఫలితాలను ఉపయోగిస్తాయి. PR డిజైన్ను కంపైల్ చేయడానికి, మీరు ప్రతి వ్యక్తికి PR అమలు పునర్విమర్శను తప్పనిసరిగా సృష్టించాలి. అదనంగా, మీరు ప్రతి పునర్విమర్శలకు తప్పనిసరిగా పునర్విమర్శ రకాలను కేటాయించాలి. అందుబాటులో ఉన్న పునర్విమర్శ రకాలు:
- పాక్షిక రీకాన్ఫిగరేషన్ - బేస్
- పాక్షిక రీకాన్ఫిగరేషన్ - పర్సనా ఇంప్లిమెంటేషన్
కింది పట్టిక ప్రతి పునర్విమర్శల కోసం పునర్విమర్శ పేరు మరియు పునర్విమర్శ రకాన్ని జాబితా చేస్తుంది:
పునర్విమర్శ పేర్లు మరియు రకాలు
పునర్విమర్శ పేరు | పునర్విమర్శ రకం |
blinking_led.qsf | పాక్షిక రీకాన్ఫిగరేషన్ - బేస్ |
blinking_led_default.qsf | పాక్షిక రీకాన్ఫిగరేషన్ - పర్సనా ఇంప్లిమెంటేషన్ |
blinking_led_slow.qsf | పాక్షిక రీకాన్ఫిగరేషన్ - పర్సనా ఇంప్లిమెంటేషన్ |
blinking_led_empty.qsf | పాక్షిక రీకాన్ఫిగరేషన్ - పర్సనా ఇంప్లిమెంటేషన్ |
బేస్ రివిజన్ రకాన్ని సెట్ చేస్తోంది
- ప్రాజెక్ట్ ➤ పునర్విమర్శలను క్లిక్ చేయండి.
- పునర్విమర్శ పేరులో, blinking_led పునర్విమర్శను ఎంచుకుని, ఆపై ప్రస్తుతాన్ని సెట్ చేయి క్లిక్ చేయండి.
- వర్తించు క్లిక్ చేయండి. బ్లింకింగ్_లెడ్ పునర్విమర్శ ప్రస్తుత పునర్విమర్శ వలె ప్రదర్శించబడుతుంది.
- బ్లింకింగ్_లెడ్ కోసం పునర్విమర్శ రకాన్ని సెట్ చేయడానికి, అసైన్మెంట్లు ➤ సెట్టింగ్లు ➤ జనరల్ క్లిక్ చేయండి.
- పునర్విమర్శ రకం కోసం, పాక్షిక రీకాన్ఫిగరేషన్ – బేస్ ఎంచుకోండి, ఆపై సరి క్లిక్ చేయండి.
- blinking_led.qsf ఇప్పుడు కింది అసైన్మెంట్ని కలిగి ఉందని ధృవీకరించండి: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
అమలు పునర్విమర్శలను సృష్టిస్తోంది
- పునర్విమర్శల డైలాగ్ బాక్స్ను తెరవడానికి, ప్రాజెక్ట్ ➤ పునర్విమర్శలను క్లిక్ చేయండి.
- కొత్త పునర్విమర్శను సృష్టించడానికి, < డబుల్ క్లిక్ చేయండి >.
- పునర్విమర్శ పేరులో, blinking_led_defaultని పేర్కొనండి మరియు పునర్విమర్శ ఆధారంగా blinking_led ఎంచుకోండి.
- పునర్విమర్శ రకం కోసం, పాక్షిక రీకాన్ఫిగరేషన్ – పర్సనఇంప్లిమెంటేషన్ ఎంచుకోండి.
పునర్విమర్శలను సృష్టిస్తోంది
- అదేవిధంగా, blinking_led_slow మరియు blinking_led_empty పునర్విమర్శల కోసం పునర్విమర్శ రకాన్ని సెట్ చేయండి.
- ప్రతి .qsf అని ధృవీకరించండి file ఇప్పుడు కింది అసైన్మెంట్ను కలిగి ఉంది: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led ఇక్కడ, place_holder అనేది కొత్తగా సృష్టించబడిన PR అమలు పునర్విమర్శకు డిఫాల్ట్ ఎంటిటీ పేరు.
ప్రాజెక్ట్ పునర్విమర్శలు
బేస్ రివిజన్ కంపైల్ చేస్తోంది
- బేస్ పునర్విమర్శను కంపైల్ చేయడానికి, ప్రాసెసింగ్ ➤ కంపైలేషన్ ప్రారంభించు క్లిక్ చేయండి. ప్రత్యామ్నాయంగా, కింది ఆదేశం బేస్ పునర్విమర్శను కంపైల్ చేస్తుంది: quartus_sh –flow కంపైల్ blinking_led -c blinking_led
- బిట్స్ట్రీమ్ను తనిఖీ చేయండి fileఅవుట్పుట్లో ఉత్పత్తి చేసేవి_files డైరెక్టరీ.
ఉత్పత్తి చేయబడింది Files
పేరు | టైప్ చేయండి | వివరణ |
బ్లింకింగ్_led.sof | బేస్ ప్రోగ్రామింగ్ file | పూర్తి-చిప్ బేస్ కాన్ఫిగరేషన్ కోసం ఉపయోగించబడుతుంది |
blinking_led.pr_partition.rbf | PR బిట్స్ట్రీమ్ file ప్రాథమిక వ్యక్తిత్వం కోసం | బేస్ పర్సనా యొక్క పాక్షిక రీకాన్ఫిగరేషన్ కోసం ఉపయోగించబడుతుంది. |
blinking_led_static.qdb | .qdb డేటాబేస్ file | తుది డేటాబేస్ file స్థిర ప్రాంతాన్ని దిగుమతి చేయడానికి ఉపయోగిస్తారు. |
సంబంధిత సమాచారం
- ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: పాక్షిక రీకాన్ఫిగరేషన్లో “ఫ్లోర్ప్లాన్ ది పార్షియల్ రీకాన్ఫిగరేషన్ డిజైన్”
- ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్లో “ఫ్లోర్ప్లాన్ పరిమితులను పెంచడం”: పాక్షిక రీకాన్ఫిగరేషన్
PR ఇంప్లిమెంటేషన్ రివిజన్లను సిద్ధం చేస్తోంది
మీరు పరికర ప్రోగ్రామింగ్ కోసం PR బిట్స్ట్రీమ్ను కంపైల్ చేయడానికి మరియు రూపొందించడానికి ముందు మీరు తప్పనిసరిగా PR అమలు పునర్విమర్శలను సిద్ధం చేయాలి. ఈ సెటప్ స్టాటిక్ రీజియన్ .qdbని జోడించడాన్ని కలిగి ఉంటుంది file మూలంగా file ప్రతి అమలు పునర్విమర్శ కోసం. అదనంగా, మీరు తప్పనిసరిగా PR ప్రాంతం యొక్క సంబంధిత ఎంటిటీని పేర్కొనాలి.
- ప్రస్తుత పునర్విమర్శను సెట్ చేయడానికి, ప్రాజెక్ట్ ➤ పునర్విమర్శలను క్లిక్ చేయండి, రివిజన్ పేరుగా blinking_led_defaultని ఎంచుకుని, ఆపై ప్రస్తుతాన్ని సెట్ చేయి క్లిక్ చేయండి.
- ప్రతి అమలు పునర్విమర్శకు సరైన మూలాన్ని ధృవీకరించడానికి, ప్రాజెక్ట్ ➤జోడించు/తీసివేయి క్లిక్ చేయండి Fileప్రాజెక్ట్లో లు. బ్లింకింగ్_led.sv file లో కనిపిస్తుంది file జాబితా.
Fileలు పేజీ
- ఇతర అమలు పునర్విమర్శ మూలాన్ని ధృవీకరించడానికి 1 నుండి 2 దశలను పునరావృతం చేయండి files:
అమలు పునర్విమర్శ పేరు | మూలం File |
blinking_led_default | బ్లింకింగ్_led.sv |
బ్లింకింగ్_లెడ్_ఖాళీ | blinking_led_empty.sv |
మెల్లగా_నెమ్మదిగా | blinking_led_slow.sv |
- .qdbని ధృవీకరించడానికి file రూట్ విభజనతో అనుబంధించబడి, అసైన్మెంట్లు ➤ డిజైన్ విభజనల విండోను క్లిక్ చేయండి. విభజన డేటాబేస్ అని నిర్ధారించండి File blinking_led_static.qdbని నిర్దేశిస్తుంది file, లేదా విభజన డేటాబేస్పై డబుల్ క్లిక్ చేయండి File దీన్ని పేర్కొనడానికి సెల్ file. ప్రత్యామ్నాయంగా, కింది ఆదేశం దీన్ని కేటాయిస్తుంది file: set_instance_assignment -పేరు QDB_FILE_పార్టీషన్ \ blinking_led_static.qdb -to |
- ఎంటిటీ రీ-బైండింగ్ సెల్లో, మీరు ఇంప్లిమెంటేషన్ రివిజన్లో మార్చే ప్రతి PR విభజన యొక్క ఎంటిటీ పేరును పేర్కొనండి. blinking_led_default అమలు పునర్విమర్శ కోసం, ఎంటిటీ పేరు blinking_led. ఈ ట్యుటోరియల్లో, మీరు కొత్త blinking_led ఎంటిటీతో బేస్ రివిజన్ కంపైల్ నుండి u_blinking_led ఉదంతాన్ని ఓవర్రైట్ చేస్తారు.
గమనిక: ప్లేస్హోల్డర్ ఎంటిటీ రీబైండింగ్ అసైన్మెంట్ స్వయంచాలకంగా అమలు పునర్విమర్శకు జోడించబడుతుంది. అయితే, మీరు తప్పనిసరిగా అసైన్మెంట్లోని డిఫాల్ట్ ఎంటిటీ పేరును మీ డిజైన్కు తగిన ఎంటిటీ పేరుకు మార్చాలి.
అమలు పునర్విమర్శ పేరు | ఎంటిటీ రీ-బైండింగ్ |
blinking_led_default | బ్లింకింగ్_లెడ్ |
మెల్లగా_నెమ్మదిగా | మెల్లగా_నెమ్మదిగా |
బ్లింకింగ్_లెడ్_ఖాళీ | బ్లింకింగ్_లెడ్_ఖాళీ |
ఎంటిటీ రీబైండింగ్
- డిజైన్ను కంపైల్ చేయడానికి, ప్రాసెసింగ్ ➤ కంపైలేషన్ ప్రారంభించు క్లిక్ చేయండి. ప్రత్యామ్నాయంగా, కింది ఆదేశం ఈ ప్రాజెక్ట్ను కంపైల్ చేస్తుంది: quartus_sh –flow కంపైల్ blinking_led –c blinking_led_default
- blinking_led_slow మరియు blinking_led_empty పునర్విమర్శలను సిద్ధం చేయడానికి పై దశలను పునరావృతం చేయండి: quartus_sh –flow కంపైల్ blinking_led –c blinking_led_slow quartus_sh –flow కంపైల్ blinking_led –c blinking_led_empt
గమనిక: మీరు PR అమలు సంకలనం సమయంలో దరఖాస్తు చేయాలనుకుంటున్న ఏవైనా ఫిట్టర్ నిర్దిష్ట సెట్టింగ్లను పేర్కొనవచ్చు. ఫిట్టర్ నిర్దిష్ట సెట్టింగ్లు దిగుమతి చేయబడిన స్టాటిక్ ప్రాంతాన్ని ప్రభావితం చేయకుండా, వ్యక్తి యొక్క ఫిట్ను మాత్రమే ప్రభావితం చేస్తాయి.
బోర్డు ప్రోగ్రామింగ్
ఈ ట్యుటోరియల్ మీ హోస్ట్ మెషీన్లోని PCIe* స్లాట్ వెలుపల బెంచ్పై Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్ను ఉపయోగిస్తుంది. మీరు బోర్డుని ప్రోగ్రామ్ చేయడానికి ముందు, మీరు ఈ క్రింది దశలను పూర్తి చేశారని నిర్ధారించుకోండి:
- Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్కు విద్యుత్ సరఫరాను కనెక్ట్ చేయండి.
- డెవలప్మెంట్ బోర్డ్లో మీ PC USB పోర్ట్ మరియు Intel FPGA డౌన్లోడ్ కేబుల్ పోర్ట్ మధ్య Intel FPGA డౌన్లోడ్ కేబుల్ను కనెక్ట్ చేయండి.
Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్లో డిజైన్ను అమలు చేయడానికి:
- ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్ని తెరిచి, టూల్స్ ➤ ప్రోగ్రామర్ క్లిక్ చేయండి.
- ప్రోగ్రామర్లో, హార్డ్వేర్ సెటప్ క్లిక్ చేసి, USB-బ్లాస్టర్ని ఎంచుకోండి.
- ఆటో డిటెక్ట్ క్లిక్ చేసి, పరికరాన్ని ఎంచుకోండి, AGFB014R24AR0.
- సరే క్లిక్ చేయండి. ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్ బోర్డ్లోని మూడు FPGA పరికరాలతో ప్రోగ్రామర్ను గుర్తించి, అప్డేట్ చేస్తుంది.
- AGFB014R24AR0 పరికరాన్ని ఎంచుకుని, మార్చు క్లిక్ చేయండి File మరియు blinking_led_default.sofని లోడ్ చేయండి file.
- blinking_led_default.sof కోసం ప్రోగ్రామ్/కాన్ఫిగర్ని ప్రారంభించండి file.
- ప్రారంభం క్లిక్ చేయండి మరియు ప్రోగ్రెస్ బార్ 100% చేరుకోవడానికి వేచి ఉండండి.
- ఒరిజినల్ ఫ్లాట్ డిజైన్ వలె అదే ఫ్రీక్వెన్సీలో బోర్డు మీద LED లు మెరిసిపోతున్నట్లు గమనించండి.
- PR ప్రాంతాన్ని మాత్రమే ప్రోగ్రామ్ చేయడానికి, blinking_led_default.sofపై కుడి-క్లిక్ చేయండి file ప్రోగ్రామర్లో మరియు PR ప్రోగ్రామింగ్ని జోడించు క్లిక్ చేయండి File.
- blinking_led_slow.pr_partition.rbfని ఎంచుకోండి file.
- blinking_led_default.sof కోసం ప్రోగ్రామ్/కాన్ఫిగర్ని నిలిపివేయండి file.
- Blinking_led_slow.pr_partition.rbf కోసం ప్రోగ్రామ్/కాన్ఫిగర్ని ప్రారంభించండి file మరియు ప్రారంభించు క్లిక్ చేయండి. బోర్డ్లో, LED[0] మరియు LED[1] బ్లింక్ అవ్వడాన్ని గమనించండి. ప్రోగ్రెస్ బార్ 100%కి చేరుకున్నప్పుడు, LED[2] మరియు LED[3] నెమ్మదిగా బ్లింక్ అవుతాయి.
- PR ప్రాంతాన్ని రీప్రోగ్రామ్ చేయడానికి, .rbfపై కుడి-క్లిక్ చేయండి file ప్రోగ్రామర్లో మరియు PR ప్రోగ్రామింగ్ని మార్చు క్లిక్ చేయండి File.
- .rbfని ఎంచుకోండి fileబోర్డులో ప్రవర్తనను గమనించడానికి ఇతర ఇద్దరు వ్యక్తులకు s. blinking_led_default.rbf లోడ్ అవుతోంది file LED లు నిర్దిష్ట పౌనఃపున్యం వద్ద బ్లింక్ అయ్యేలా చేస్తుంది మరియు blinking_led_empty.rbfని లోడ్ చేస్తుంది file LED లు ఆన్లో ఉండేలా చేస్తుంది.
Intel Agilex F-Series FPGA డెవలప్మెంట్ బోర్డ్ను ప్రోగ్రామింగ్ చేస్తోంది
హార్డ్వేర్ టెస్టింగ్ ఫ్లో
కింది సీక్వెన్సులు రిఫరెన్స్ డిజైన్ హార్డ్వేర్ టెస్టింగ్ ఫ్లోను వివరిస్తాయి.
Intel Agilex పరికరం బాహ్య హోస్ట్ హార్డ్వేర్ సెటప్
సహాయక FPGA (బాహ్య హోస్ట్)ని ప్రోగ్రామ్ చేయండి
కింది క్రమం PR ప్రాసెస్ బాహ్య హోస్ట్గా పనిచేసే సహాయక FPGA ప్రోగ్రామింగ్ను వివరిస్తుంది:
- మీరు ఎంచుకున్న మోడ్కు (x8, x16, లేదా x32) అనుగుణంగా ఉండే Avalon స్ట్రీమింగ్ ఇంటర్ఫేస్ సెట్టింగ్ను పేర్కొనండి.
- Intel Quartus Prime ప్రోగ్రామర్ మరియు కనెక్ట్ చేయబడిన కాన్ఫిగరేషన్ కేబుల్ని ఉపయోగించి సహాయక FPGAని ప్రోగ్రామింగ్ చేయడం ద్వారా ప్లాట్ఫారమ్ను ప్రారంభించండి.
- సహాయక FPGAని ఉపయోగించి, CONF_DONE మరియు AVST_READY సిగ్నల్లను చదవండి. CONF_DONE 0 అయి ఉండాలి, AVST_READY 1 అయి ఉండాలి. ఈ పిన్లో లాజిక్ ఎక్కువగా ఉంటే SDM బాహ్య హోస్ట్ నుండి డేటాను ఆమోదించడానికి సిద్ధంగా ఉందని సూచిస్తుంది. ఈ అవుట్పుట్ SDM I/Oలో భాగం.
గమనిక: CONF_DONE పిన్ బిట్స్ట్రీమ్ బదిలీ విజయవంతమైందని బాహ్య హోస్ట్కు సూచిస్తుంది. పూర్తి చిప్ కాన్ఫిగరేషన్ ప్రక్రియను పర్యవేక్షించడానికి మాత్రమే ఈ సంకేతాలను ఉపయోగించండి. ఈ పిన్ గురించి మరింత సమాచారం కోసం Intel Agilex కాన్ఫిగరేషన్ యూజర్ గైడ్ని చూడండి.
బాహ్య హోస్ట్ ద్వారా పూర్తి చిప్ SOFతో DUT FPGAని ప్రోగ్రామ్ చేయండి, పూర్తి చిప్ SRAM ఆబ్జెక్ట్తో DUT FPGA ప్రోగ్రామింగ్ను క్రింది క్రమం వివరిస్తుంది File (.sof) హోస్ట్ Avalon స్ట్రీమింగ్ ఇంటర్ఫేస్ని ఉపయోగిస్తోంది:
- సహాయక FPGA (బాహ్య హోస్ట్) యొక్క DDR4 బాహ్య మెమరీలో పూర్తి చిప్ బిట్స్ట్రీమ్ను వ్రాయండి.
- Avalon స్ట్రీమింగ్ ఇంటర్ఫేస్ (x8, x16, x32) ఉపయోగించి DUT FPGAని పూర్తి చిప్ .sofతో కాన్ఫిగర్ చేయండి.
- స్థితి DUT FPGA కాన్ఫిగరేషన్ సిగ్నల్లను చదవండి. CONF_DONE 1 ఉండాలి, AVST_READY 0 ఉండాలి.
సమయ లక్షణాలు: పాక్షిక రీకాన్ఫిగరేషన్ బాహ్య కంట్రోలర్ ఇంటెల్ FPGA IP
ఎక్స్టర్నల్ హోస్ట్ ద్వారా మొదటి వ్యక్తితో DUT FPGAని ప్రోగ్రామ్ చేయండి
- DUT FPGAలో టార్గెట్ PR ప్రాంతంలో ఫ్రీజ్ని వర్తింపజేయండి.
- ఇంటెల్ క్వార్టస్ ప్రైమ్ సిస్టమ్ కన్సోల్ని ఉపయోగించి, పాక్షిక రీకాన్ఫిగరేషన్ను ప్రారంభించడానికి pr_request నొక్కి చెప్పండి. AVST_READY 1 ఉండాలి.
- సహాయక FPGA (బాహ్య హోస్ట్) యొక్క DDR4 బాహ్య మెమరీలో మొదటి PR వ్యక్తి బిట్స్ట్రీమ్ను వ్రాయండి.
- Avalon స్ట్రీమింగ్ ఇంటర్ఫేస్ (x8, x16, x32) ఉపయోగించి, DUT FPGAని మొదటి పర్సన బిట్స్ట్రీమ్తో రీకాన్ఫిగర్ చేయండి.
- PR స్థితిని పర్యవేక్షించడానికి, సిస్టమ్ కన్సోల్ని ప్రారంభించడానికి సాధనాలు ➤ సిస్టమ్ కన్సోల్ని క్లిక్ చేయండి. సిస్టమ్ కన్సోల్లో, PR స్థితిని పర్యవేక్షించండి:
- pr_error 2-రీకాన్ఫిగరేషన్ ప్రక్రియలో ఉంది.
- pr_error 3-రీకాన్ఫిగరేషన్ పూర్తయింది.
- DUT FPGAలోని PR ప్రాంతంలో అన్ఫ్రీజ్ని వర్తింపజేయండి.
గమనిక: PR ఆపరేషన్ సమయంలో వెర్షన్ చెకింగ్ లేదా ఆథరైజేషన్ చెకింగ్లో వైఫల్యం వంటి లోపం సంభవించినట్లయితే, PR ఆపరేషన్ ముగుస్తుంది.
సంబంధిత సమాచారం
- Intel Agilex కాన్ఫిగరేషన్ యూజర్ గైడ్
- ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: డీబగ్ టూల్స్
AN 991 కోసం డాక్యుమెంట్ రివిజన్ హిస్టరీ: ఇంటెల్ అజిలెక్స్ ఎఫ్-సిరీస్ ఎఫ్పిజిఎ డెవలప్మెంట్ బోర్డ్ కోసం కాన్ఫిగరేషన్ పిన్స్ (ఎక్స్టర్నల్ హోస్ట్) రిఫరెన్స్ డిజైన్ ద్వారా పాక్షిక రీకాన్ఫిగరేషన్
డాక్యుమెంట్ వెర్షన్ | ఇంటెల్ క్వార్టస్ ప్రైమ్ వెర్షన్ | మార్పులు |
2022.11.14 | 22.3 | • ప్రారంభ విడుదల. |
AN 991: కాన్ఫిగరేషన్ పిన్స్ (బాహ్య హోస్ట్) ద్వారా పాక్షిక రీకాన్ఫిగరేషన్ రిఫరెన్స్ డిజైన్: ఇంటెల్ అజిలెక్స్ F-సిరీస్ FPGA డెవలప్మెంట్ బోర్డ్ కోసం
అగ్ర తరచుగా అడిగే ప్రశ్నలకు సమాధానాలు:
- Q కాన్ఫిగరేషన్ పిన్స్ ద్వారా PR అంటే ఏమిటి?
- A పేజీ 3లో బాహ్య హోస్ట్ కాన్ఫిగరేషన్
- Q ఈ సూచన రూపకల్పన కోసం నాకు ఏమి కావాలి?
- A పేజీ 6లో సూచన డిజైన్ అవసరాలు
- Q నేను రిఫరెన్స్ డిజైన్ను ఎక్కడ పొందగలను?
- A పేజీ 6లో సూచన డిజైన్ అవసరాలు
- Q నేను బాహ్య కాన్ఫిగరేషన్ ద్వారా PRని ఎలా నిర్వహించగలను?
- A పేజీ 6లో సూచన డిజైన్ వాక్త్రూ
- Q PR వ్యక్తిత్వం అంటే ఏమిటి?
- A 11వ పేజీలో వ్యక్తులను నిర్వచించడం
- Q నేను బోర్డుని ఎలా ప్రోగ్రామ్ చేయాలి?
- A 17వ పేజీలో బోర్డుని ప్రోగ్రామ్ చేయండి
- Q PR తెలిసిన సమస్యలు మరియు పరిమితులు ఏమిటి?
- A ఇంటెల్ FPGA మద్దతు ఫోరమ్లు: PR
- Q మీకు PRపై శిక్షణ ఉందా?
- A ఇంటెల్ FPGA టెక్నికల్ ట్రైనింగ్ కేటలాగ్
ఆన్లైన్ వెర్షన్ అభిప్రాయాన్ని పంపండి
- ID: 750856
- వెర్షన్: 2022.11.14
పత్రాలు / వనరులు
![]() |
intel 750856 Agilex FPGA డెవలప్మెంట్ బోర్డ్ [pdf] యూజర్ గైడ్ 750856, 750857, 750856 అజిలెక్స్ FPGA డెవలప్మెంట్ బోర్డ్, అజిలెక్స్ FPGA డెవలప్మెంట్ బోర్డ్, FPGA డెవలప్మెంట్ బోర్డ్, డెవలప్మెంట్ బోర్డ్, బోర్డ్ |