intel 750856 Agilex FPGA සංවර්ධන මණ්ඩලය
නිෂ්පාදන තොරතුරු
මෙම යොමු සැලසුම Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලය සඳහා වේ. එය අර්ධ ප්රතිසංවිධානය බාහිර වින්යාස පාලක Intel FPGA IP භාවිතා කරන අතර සරල PR කලාපයක් ඇත. Intel Agilex උපාංග බාහිර සත්කාරක දෘඪාංග සැකසුම බාහිර උපාංගයකින් (Helper FPGA), DUT FPGA සහ ඔබේ බාහිර සත්කාරක සැලසුමකින් සමන්විත වේ. PR ක්රියාවලිය සත්කාරකත්වය සඳහා බාහිර උපාංගයේ ධාරක සැලසුම වගකිව යුතුය. PR pins උපාංග දෙකම සම්බන්ධ කිරීමට භාවිතා කරන අතර පවතින ඕනෑම පරිශීලක I/Os විය හැක.
නිෂ්පාදන භාවිත උපදෙස්
බාහිර ධාරක වින්යාසය
බාහිර සත්කාරක වින්යාසය සිදු කිරීමට, මෙම පියවර අනුගමනය කරන්න:
- PR ක්රියාවලිය සත්කාරකත්වය සඳහා බාහිර උපාංගයක ධාරක සැලසුමක් සාදන්න.
- PR පින් බාහිර උපාංගයේ සිට DUT FPGA හි අර්ධ ප්රතිසංවිධාන බාහිර වින්යාස පාලක Intel FPGA IP වෙත සම්බන්ධ කරන්න.
- IP වෙතින් PR අතට අත දීමේ සංඥා වලට අනුරූප වන Intel Agilex Avalon ප්රවාහ අතුරුමුහුණත් පින් වෙත සත්කාරක සැලසුමේ සිට ප්රවාහ වින්යාස දත්ත.
Configuration Pins මෙහෙයුම හරහා අර්ධ නැවත සකස් කිරීම
පහත දැක්වෙන අනුපිළිවෙල වින්යාස පින් හරහා අර්ධ ප්රතිනිර්මාණය කිරීමේ ක්රියාකාරිත්වය විස්තර කරයි:
- අර්ධ ප්රතිනිර්මාණය බාහිර වින්යාස පාලක Intel FPGA IP වෙත සම්බන්ධ කර ඇති pr_request පින් එක තහවුරු කරන්න.
- PR ක්රියාවලිය ක්රියාත්මක වන බව දැක්වීමට IP කාර්යබහුල සංඥාවක් ප්රකාශ කරයි (විකල්ප).
- වින්යාස පද්ධතිය PR මෙහෙයුමක් සඳහා සූදානම් නම්, එය දත්ත පිළිගැනීමට සූදානම් බව අඟවමින් avst_ready පින් එක ප්රකාශ කරනු ලැබේ.
- PR වින්යාස දත්ත avst_data pins සහ avst_valid pin හරහා ප්රවාහ කරන්න, පසු පීඩනය සමඟ දත්ත හුවමාරුව සඳහා Avalon streaming පිරිවිතර අනුගමනය කරන්න.
- avst_ready පින් එක ප්රත්යක්ෂ කළ විට ප්රවාහය නතර වේ.
- PR මෙහෙයුම සඳහා තවත් දත්ත අවශ්ය නොවන බව දැක්වීමට avst_ready පින් එක ඉවත් කරන්න.
- අර්ධ ප්රතිනිර්මාණය කිරීමේ බාහිර වින්යාස පාලක Intel FPGA IP ක්රියාවලියේ අවසානය දැක්වීමට කාර්යබහුල සංඥාව ඉවත් කරයි (විකල්ප).
වින්යාස පින් (බාහිර සත්කාරක) යොමු නිර්මාණය හරහා අර්ධ ප්රතිසංවිධානය
මෙම යෙදුම් සටහන Intel® Agilex® F-Series FPGA සංවර්ධන මණ්ඩලයේ වින්යාස පින් (බාහිර සත්කාරක) හරහා අර්ධ ප්රතිසංවිධානය පෙන්නුම් කරයි.
යොමු නිර්මාණය අවසන්view
අර්ධ නැවත සකස් කිරීමේ (PR) විශේෂාංගය ඔබට FPGA හි කොටසක් ගතිකව නැවත සකස් කිරීමට ඉඩ සලසයි, ඉතිරි FPGA සැලසුම දිගටම ක්රියාත්මක වේ. මෙම කලාපයෙන් පිටත ප්රදේශ වල ක්රියාකාරිත්වයට බලපෑම් නොකරන ඔබේ සැලසුමේ යම් කලාපයක් සඳහා බහුවිධ පුද්ගලයන් නිර්මාණය කළ හැක. එකම FPGA උපාංග සම්පත් බහු කාර්යයන් කාල-බෙදා ගන්නා පද්ධති තුළ මෙම ක්රමවේදය ඵලදායී වේ. Intel Quartus® Prime Pro සංස්කරණ මෘදුකාංගයේ වත්මන් අනුවාදය අර්ධ වශයෙන් නැවත සකස් කිරීම සඳහා නව සහ සරල කළ සම්පාදන ප්රවාහයක් හඳුන්වා දෙයි. මෙම Intel Agilex සමුද්දේශ සැලසුම අර්ධ ප්රතිසංවිධානය බාහිර වින්යාස පාලක Intel FPGA IP භාවිතා කරන අතර සරල PR කලාපයක් ඇත.
Intel Agilex උපාංග බාහිර සත්කාරක දෘඪාංග සැකසුම
බාහිර ධාරක වින්යාසය
බාහිර ධාරක වින්යාසය තුළ, Intel Agilex උපාංග බාහිර සත්කාරක දෘඪාංග සැකසුම පෙන්වන පරිදි, PR ක්රියාවලිය සත්කාරකත්වය සඳහා ඔබ පළමුව බාහිර උපාංගයක ධාරක සැලසුමක් සෑදිය යුතුය. ධාරක සැලසුම වින්යාස දත්ත Intel Agilex Avalon ප්රවාහ අතුරුමුහුණත් පින් වෙත ප්රවාහ කරයි, එය අර්ධ ප්රතිසංවිධාන බාහිර වින්යාස පාලක Intel FPGA IP වෙතින් එන PR අතට සෙලවීමේ සංඥා වලට අනුරූප වේ. උපාංග දෙකම සම්බන්ධ කිරීමට ඔබ භාවිතා කරන PR පින් ඕනෑම පවතින පරිශීලක I/Os විය හැක.
පහත දැක්වෙන අනුපිළිවෙල වින්යාස පින් ක්රියාකාරිත්වය හරහා අර්ධ ප්රතිනිර්මාණය විස්තර කරයි:
- පළමුව, අර්ධ ප්රතිසංවිධාන බාහිර වින්යාස පාලක Intel FPGA IP වෙත සම්බන්ධ කර ඇති pr_request පින් එක තහවුරු කරන්න.
- PR ක්රියාවලිය ක්රියාත්මක වන බව දැක්වීමට IP කාර්යබහුල සංඥාවක් ප්රකාශ කරයි (විකල්ප).
- වින්යාස පද්ධතිය PR මෙහෙයුමක් සිදු කිරීමට සූදානම් නම්, එය දත්ත පිළිගැනීමට සූදානම් බව අඟවමින් avst_ready පින් එක ප්රකාශ කරනු ලැබේ.
- PR වින්යාස දත්ත avst_data pins සහ avst_valid pin හරහා ප්රවාහ කිරීමට පටන් ගන්න, පසු පීඩනය සමඟ දත්ත හුවමාරුව සඳහා Avalon streaming පිරිවිතර නිරීක්ෂණය කරමින්.
- avst_ready පින් එක ප්රත්යක්ෂ කළ විට ප්රවාහය නතර වේ.
- සියලුම වින්යාස දත්ත ප්රවාහයෙන් පසු, PR ක්රියාකාරිත්වය සඳහා තවත් දත්ත අවශ්ය නොවන බව දැක්වීමට avst_ready පින් එක ඉවත් කර ඇත.
- අර්ධ ප්රතිනිර්මාණය කිරීමේ බාහිර වින්යාස පාලකය Intel FPGA IP ක්රියාවලියේ අවසානය දැක්වීමට කාර්යබහුල සංඥාව අතුරුපස ලබා දෙයි (විකල්ප).
- PR මෙහෙයුම සාර්ථකව නිම වූවාද යන්න තහවුරු කිරීමට ඔබට pr_done සහ pr_error පින් පරීක්ෂා කළ හැක. අනුවාද පිරික්සීමේදී සහ අවසරය පිරික්සීමේදී අසාර්ථක වීම වැනි දෝෂයක් සිදුවුවහොත්, PR මෙහෙයුම අවසන් වේ.
අදාළ තොරතුරු
- Intel Agilex F-Series FPGA සංවර්ධන කට්ටලය Web පිටුව
- Intel Agilex F-Series FPGA සංවර්ධන කට්ටල පරිශීලක මාර්ගෝපදේශය
- Intel Quartus Prime Pro සංස්කරණ පරිශීලක මාර්ගෝපදේශය: අර්ධ ප්රතිසංවිධානය
අර්ධ නැවත සකස් කිරීම බාහිර වින්යාස පාලක Intel FPGA IP
PR මෙහෙයුම සඳහා PR දත්ත ප්රවාහ කිරීමට වින්යාස පින් භාවිතා කිරීමට අර්ධ ප්රතිසංවිධාන බාහිර වින්යාස පාලකය අවශ්ය වේ. මධ්යයේ සිට ආරක්ෂිත උපාංග කළමනාකරු (SDM) සමඟින් ධාරකයට අතට සෙලවීමට ඉඩ දීම සඳහා ඔබ අර්ධ ප්රතිසංවිධාන බාහිර වින්යාස පාලක Intel FPGA IP හි සියලුම ඉහළ මට්ටමේ තොටවල් pr_request පින් එකට සම්බන්ධ කළ යුතුය. ඔබගේ MSEL සැකසුම අනුව කුමන ආකාරයේ වින්යාස පින් භාවිතා කළ යුතුද යන්න SDM විසින් තීරණය කරයි.
අර්ධ නැවත සකස් කිරීම බාහිර වින්යාස පාලක Intel FPGA IP
අර්ධ නැවත සකස් කිරීම බාහිර වින්යාස පාලක පරාමිති සැකසුම්
පරාමිතිය | වටිනාකම | විස්තරය |
කාර්යබහුල අතුරු මුහුණත සබල කරන්න | සබල කරන්න or
අක්රිය කරන්න |
කාර්යබහුල අතුරුමුහුණත සක්රීය කිරීමට හෝ අක්රිය කිරීමට ඔබට ඉඩ සලසයි, එය බාහිර වින්යාස කිරීමේදී PR සැකසීම සිදුවෙමින් පවතින බව ඇඟවීමට සංඥාවක් ප්රකාශ කරයි.
පෙරනිමි සැකසුම වේ අක්රිය කරන්න. |
අර්ධ නැවත සකස් කිරීම බාහිර වින්යාස පාලක වරායන්
වරායේ නම | පළල | දිශාව | කාර්යය |
pr_request | 1 | ආදානය | PR ක්රියාවලිය ආරම්භ කිරීමට සූදානම් බව පෙන්නුම් කරයි. සංඥාව යනු කිසිදු ඔරලෝසු සංඥාවකට සමමුහුර්ත නොවන වාහකයකි. |
pr_error | 2 | ප්රතිදානය | අර්ධ නැවත සැකසීමේ දෝෂයක් පෙන්නුම් කරයි.:
• 2'b01—සාමාන්ය PR දෝෂය • 2'b11-නොගැලපෙන bitstream දෝෂයකි මෙම සංඥා කිසිදු ඔරලෝසු ප්රභවයකට සමමුහුර්ත නොවන වාහක වේ. |
pr_done | 1 | ප්රතිදානය | PR ක්රියාවලිය අවසන් බව පෙන්නුම් කරයි. සංඥාව යනු කිසිදු ඔරලෝසු සංඥාවකට සමමුහුර්ත නොවන වාහකයකි. |
start_addr | 1 | ආදානය | Active Serial Flash හි PR දත්තවල ආරම්භක ලිපිනය සඳහන් කරයි. ඔබ එක්කෝ තේරීමෙන් මෙම සංඥාව සක්රීය කරන්න Avalon®-එස්ටී or ක්රියාකාරී අනුක්රමය සඳහා Avalon-ST Pins හෝ Active Serial Pins සබල කරන්න පරාමිතිය. සංඥාව යනු කිසිදු ඔරලෝසු සංඥාවකට සමමුහුර්ත නොවන වාහකයකි. |
යළි පිහිටුවන්න | 1 | ආදානය | ක්රියාකාරී ඉහළ, සමමුහුර්ත යළි පිහිටුවීමේ සංඥාව. |
out_clk | 1 | ප්රතිදානය | අභ්යන්තර ඔස්කිලේටරයකින් ජනනය කරන ඔරලෝසු මූලාශ්රය. |
කාර්යබහුල | 1 | ප්රතිදානය | PR දත්ත හුවමාරුව සිදුවෙමින් පවතින බව දැක්වීමට IP මෙම සංඥාව තහවුරු කරයි. තේරීමෙන් ඔබ මෙම සංඥාව සක්රිය කරන්න සබල කරන්න සඳහා කාර්යබහුල අතුරු මුහුණත සබල කරන්න පරාමිතිය. |
විමර්ශන සැලසුම් අවශ්යතා
මෙම යොමු සැලසුම භාවිතා කිරීම සඳහා පහත සඳහන් දෑ අවශ්ය වේ:
- Intel Agilex උපාංග පවුල සඳහා සහය ඇතිව Intel Quartus Prime Pro සංස්කරණය අනුවාදය 22.3 ස්ථාපනය කිරීම.
- බංකුව මත Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලය වෙත සම්බන්ධ කිරීම.
- නිර්මාණය බාගන්න exampපහත ස්ථානයෙන් ලබා ගත හැක: https://github.com/intel/fpga-partial-reconfig.
නිර්මාණය බාගත කිරීමට exampලෙ:
- Clone ක්ලික් කරන්න හෝ බාගන්න.
- බාගත ZIP ක්ලික් කරන්න. fpga-partial-reconfig-master.zip එක Unzip කරන්න file.
- යොමු සැලසුම වෙත ප්රවේශ වීමට නිබන්ධන/agilex_external_pr_configuration උප ෆෝල්ඩරය වෙත සංචාලනය කරන්න.
විමර්ශන සැලසුම් ඇවිදීම
Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලයේ වින්යාස පින් (බාහිර සත්කාරක) හරහා අර්ධ ප්රතිනිර්මාණය ක්රියාත්මක කිරීම පහත පියවර විස්තර කරයි:
- පියවර 1: ඇරඹේ
- පියවර 2: නිර්මාණ කොටසක් නිර්මාණය කිරීම
- පියවර 3: ස්ථානගත කිරීම සහ මාර්ගගත කිරීමේ කලාප වෙන් කිරීම
- පියවර 4: අර්ධ ප්රතිසංවිධානය බාහිර වින්යාස පාලක IP එකතු කිරීම
- පියවර 5: පුද්ගල නිර්වචනය
- පියවර 6: සංශෝධන නිර්මාණය කිරීම
- පියවර 7: මූලික සංශෝධනය සම්පාදනය කිරීම
- පියවර 8: PR ක්රියාත්මක කිරීමේ සංශෝධන සකස් කිරීම
- පියවර 9: මණ්ඩලය වැඩසටහන්ගත කිරීම
පියවර 1: ආරම්භ කිරීම
යොමු නිර්මාණය පිටපත් කිරීමට fileඔබගේ වැඩ කරන පරිසරයට s සහ blinking_led පැතලි මෝස්තරය සම්පාදනය කරන්න:
- ඔබගේ වැඩ කරන පරිසරය තුළ නාමාවලියක් සාදන්න, agilex_pcie_devkit_blinking_led_pr.
- බාගත් නිබන්ධන/agilex_pcie_devkit_blinking_led/flat උප ෆෝල්ඩරය, agilex_pcie_devkit_blinking_led_pr නාමාවලියට පිටපත් කරන්න.
- Intel Quartus Prime Pro Edition මෘදුකාංගයේ, ක්ලික් කරන්න File ➤ Project විවෘත කර blinking_led.qpf තෝරන්න.
- පැතලි මෝස්තරයේ ධුරාවලිය විස්තාරනය කිරීම සඳහා, සැකසීම ➤ ආරම්භය ➤ විශ්ලේෂණය සහ සංශ්ලේෂණය ආරම්භ කරන්න ක්ලික් කරන්න. විකල්පයක් ලෙස, විධාන රේඛාවේදී, පහත විධානය ක්රියාත්මක කරන්න: quartus_syn blinking_led -c blinking_led
නිර්මාණ කොටසක් නිර්මාණය කිරීම
ඔබට අර්ධ වශයෙන් නැවත සකස් කිරීමට අවශ්ය සෑම PR කලාපයක් සඳහාම ඔබ සැලසුම් කොටස් සෑදිය යුතුය. පහත පියවර මඟින් u_blinking_led අවස්ථාව සඳහා නිර්මාණ කොටසක් නිර්මාණය කරයි.
නිර්මාණ කොටස් නිර්මාණය කිරීම
- Project Navigator හි u_blinking_led අවස්ථාව දකුණු-ක්ලික් කර Design Partition ➤ Reconfigurable ක්ලික් කරන්න. කොටසක් ලෙස සකසා ඇති සෑම අවස්ථාවක් අසලම සැලසුම් කොටස් නිරූපකයක් දිස්වේ.
- Assignments ➤ Design Partitions Window ක්ලික් කරන්න. කවුළුව ව්යාපෘතියේ සියලුම සැලසුම් කොටස් පෙන්වයි.
- නම දෙවරක් ක්ලික් කිරීමෙන් නිර්මාණ කොටස් කවුළුවෙහි කොටස් නාමය සංස්කරණය කරන්න. මෙම යොමු සැලසුම සඳහා, කොටස් නාමය pr_partition ලෙස නැවත නම් කරන්න
- සටහන: ඔබ කොටසක් සාදන විට, Intel Quartus Prime මෘදුකාංගය නිදසුන් නාමය සහ ධුරාවලියේ මාර්ගය මත පදනම්ව ස්වයංක්රීයව කොටස් නාමයක් ජනනය කරයි. මෙම පෙරනිමි කොටස් නාමය එක් එක් අවස්ථාව අනුව වෙනස් විය හැක.
- පාදක සංශෝධන සම්පාදනයෙන් අවසන් කරන ලද ස්ථිතික කලාපය අපනයනය කිරීමට, පශ්චාත් අවසාන අපනයනයේ root_partition සඳහා ඇතුළත් කිරීම දෙවරක් ක්ලික් කරන්න. File තීරුව, සහ blinking_led_static ටයිප් කරන්න. gdb
නිර්මාණ කොටස් කවුළුව තුළ පශ්චාත් අවසාන ස්නැප්ෂොට් අපනයනය කිරීමblinking_led.qsf හි ඔබගේ ප්රතිනිර්මාණය කළ හැකි සැලසුම් කොටසට අනුරූප වන පහත පැවරුම් අඩංගු බව තහවුරු කරන්න:
අදාළ තොරතුරු
Intel Quartus Prime Pro සංස්කරණ පරිශීලක මාර්ගෝපදේශයේ “නිර්මාණ කොටස් සාදන්න”: අර්ධ ප්රතිසංවිධානය
PR කොටසක් සඳහා ස්ථානගත කිරීම සහ මාර්ගගත කිරීමේ කලාපය වෙන් කිරීම
ඔබ සාදන සෑම මූලික සංශෝධනයක් සඳහාම, PR සැලසුම් ප්රවාහය ඔබේ PR කොටස් කලාපය තුළ අනුරූප පුද්ගල හරය තබයි. ඔබගේ මූලික සංශෝධනය සඳහා උපාංග බිම් සැලැස්මේ PR කලාපය ස්ථානගත කිරීමට සහ පැවරීමට:
- Project Navigator හි u_blinking_led අවස්ථාව දකුණු-ක්ලික් කර Logic Lock Region ➤ Create New Logic Lock Region ක්ලික් කරන්න. කලාපය Logic Lock Regions කවුළුවේ දිස්වේ.
- ඔබේ ස්ථානගත කිරීමේ කලාපය blinking_led තර්කය ඇතුළත් කළ යුතුය. Chip Planner හි නෝඩය ස්ථානගත කිරීමෙන් ස්ථානගත කිරීමේ කලාපය තෝරන්න. Logic Lock Regions කවුළුවේ u_blinking_led කලාපයේ නම දකුණු-ක්ලික් කර ක්ලික් කරන්න
නෝඩය සොයා ගන්න ➤ Chip Planner හි ස්ථානගත කරන්න. u_blinking_led කලාපය වර්ණ-කේත කර ඇත
Blinking_led සඳහා Chip Planner Node ස්ථානය
- Logic Lock Regions කවුළුවෙහි, මූලාරම්භක තීරුවේ ස්ථානගත කිරීමේ කලාප සම්බන්ධීකරණ සඳහන් කරන්න. මූලාරම්භය කලාපයේ පහළ වම් කෙළවරට අනුරූප වේ. උදාහරණයක් ලෙසample, (X1 Y1) ඛණ්ඩාංක (163 4) ලෙස ස්ථානගත කිරීමේ කලාපයක් සැකසීමට, සම්භවය X163_Y4 ලෙස සඳහන් කරන්න. Intel Quartus Prime මෘදුකාංගය ඔබ සඳහන් කරන උස සහ පළල මත පදනම්ව ස්ථානගත කිරීමේ කලාපය සඳහා (X2 Y2) ඛණ්ඩාංක (ඉහළ-දකුණ) ස්වයංක්රීයව ගණනය කරයි.
- සටහන: මෙම නිබන්ධනය (X1 Y1) ඛණ්ඩාංක භාවිතා කරයි - (163 4), සහ ස්ථානගත කිරීමේ කලාපය සඳහා උස සහ පළල 20. ස්ථානගත කිරීමේ කලාපය සඳහා ඕනෑම අගයක් නිර්වචනය කරන්න. කලාපය blinking_led තර්කය ආවරණය කරන බව සහතික කර ගන්න.
- වෙන් කර ඇති සහ මූලික-පමණක් විකල්ප සබල කරන්න.
- Routing Region විකල්පය මත දෙවරක් ක්ලික් කරන්න. Logic Lock Routing Region Settings සංවාද කොටුව දිස්වේ.
- Routing වර්ගය සඳහා Fixed with expansion තෝරන්න. මෙම විකල්පය තේරීමෙන් ස්වයංක්රීයව 2 ප්රසාරණ දිගක් පවරයි.
- සටහන: එන්ජිම විවිධ පුද්ගලයන් වෙත ගමන් කරන විට ෆිටරයට අමතර නම්යශීලී බවක් ලබා දීම සඳහා, ස්ථානගත කිරීමේ කලාපයට වඩා මාර්ගගත කිරීමේ කලාපය විශාල විය යුතුය.
Logic Lock Regions කවුළුවblinking_led.qsf හි ඔබගේ බිම් සැලසුම් වලට අනුරූප පහත පැවරුම් අඩංගු බව තහවුරු කරන්න:
අදාළ තොරතුරු
ඉන්ටෙල් ක්වාටස් ප්රයිම් ප්රෝ සංස්කරණ පරිශීලක මාර්ගෝපදේශයේ “අර්ධ ප්රතිසංවිධාන සැලසුම බිම සැලසුම් කරන්න”: අර්ධ ප්රතිසංවිධානය
අර්ධ ප්රතිසංවිධානය බාහිර වින්යාස පාලක Intel FPGA IP එකතු කිරීම
Bitstream මූලාශ්රය කළමනාකරණය කිරීම සඳහා Intel Agilex PR පාලන බ්ලොක් සමඟ අර්ධ ප්රතිසංවිධානය බාහිර වින්යාස පාලකය Intel FPGA IP අතුරුමුහුණත් කරයි. බාහිර වින්යාසය ක්රියාත්මක කිරීම සඳහා ඔබ මෙම IP එක ඔබේ සැලසුමට එක් කළ යුතුය. අර්ධ ප්රතිසංවිධාන බාහිර වින්යාස පාලකය එක් කිරීමට මෙම පියවර අනුගමනය කරන්න
ඔබේ ව්යාපෘතියට Intel FPGA IP:
- IP නාමාවලි සෙවුම් ක්ෂේත්රයේ අර්ධ ප්රතිසංවිධානය ටයිප් කරන්න (මෙවලම් ➤ IP නාමාවලිය).
- අර්ධ ප්රතිසංවිධානය බාහිර වින්යාස පාලක Intel FPGA IP ද්වි-ක්ලික් කරන්න.
- Create IP Variant සංවාද කොටුවේ, external_host_pr_ip ලෙස ටයිප් කරන්න File නම, ඉන්පසු Create ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
- Enable කාර්යබහුල අතුරුමුහුණත් පරාමිතිය සඳහා, Disable තෝරන්න (පෙරනිමි සැකසුම). ඔබට මෙම සංඥාව භාවිතා කිරීමට අවශ්ය වූ විට, ඔබට සැකසීම සක්රීය කිරීමට මාරු කළ හැකිය.
පරාමිති සංස්කාරකයේ කාර්යබහුල අතුරුමුහුණත් පරාමිතිය සක්රීය කරන්න
- ක්ලික් කරන්න File ➤ පද්ධතිය උත්පාදනය නොකර පරාමිති සංස්කාරකය සුරකින්න සහ පිටවන්න. පරාමිති සංස්කාරකය external_host_pr_ip.ip IP විචලනය ජනනය කරයි file සහ එකතු කරයි file blinking_led ව්යාපෘතියට. AN 991: වින්යාස පින් හරහා අර්ධ ප්රතිනිර්මාණය කිරීම (බාහිර සත්කාරක) විමර්ශන නිර්මාණය 750856 | 2022.11.14 AN 991:
- සටහන:
- a. ඔබ external_host_pr_ip.ip පිටපත් කරන්නේ නම් file pr නාමාවලියෙන්, blinking_led.qsf අතින් සංස්කරණය කරන්න file පහත පේළිය ඇතුළත් කිරීමට: set_global_assignment -name IP_FILE pr_ip.ip
- b. IP_ තබන්නFILE SDC_ ට පසු පැවරුමFILE ඔබගේ blinking_led.qsf හි පැවරුම් (blinking_led. dc). file. මෙම ඇණවුම අර්ධ ප්රතිසංවිධාන පාලක IP හරයේ සුදුසු සීමා කිරීම් සහතික කරයි.
- සටහන: ඔරලෝසු හඳුනා ගැනීමට, .sdc file PR IP සඳහා IP හරය භාවිතා කරන ඔරලෝසු නිර්මාණය කරන ඕනෑම .sdc අනුගමනය කළ යුතුය. .ip බව සහතික කර ගැනීමෙන් ඔබ මෙම ඇණවුම පහසු කරයි file PR IP හරය ඕනෑම .ip ට පසුව දිස්වේ files හෝ .sdc file.qsf හි මෙම ඔරලෝසු නිර්වචනය කිරීමට ඔබ භාවිතා කරන s file ඔබේ Intel Quartus Prime ව්යාපෘති සංශෝධනය සඳහා. වැඩි විස්තර සඳහා, අර්ධ ප්රතිසංවිධාන IP විසඳුම් පරිශීලක මාර්ගෝපදේශය වෙත යොමු වන්න.
ඉහළ මට්ටමේ සැලසුම යාවත්කාලීන කිරීම
top.sv යාවත්කාලීන කිරීමට file PR_IP අවස්ථාව සමඟ:
- ඉහළ මට්ටමේ සැලසුමට external_host_pr_ip නිදර්ශනය එක් කිරීමට, top.sv හි පහත කේත කුට්ටි විවරණ ඉවත් කරන්න file:
පුද්ගල නිර්වචනය
මෙම යොමු සැලසුම තනි PR කොටස සඳහා වෙනම පුද්ගලයන් තුනක් නිර්වචනය කරයි. ඔබේ ව්යාපෘතියේ පුද්ගලයන් නිර්වචනය කිරීමට සහ ඇතුළත් කිරීමට:
- SystemVerilog තුනක් සාදන්න files, blinking_led.sv, blinking_led_slow.sv, සහ blinking_led_empty.sv ඔබේ ක්රියාකාරී නාමාවලියෙහි පුද්ගලයන් තිදෙනා සඳහා.
යොමු නිර්මාණ පුද්ගලයින්
සටහන:
- blinking_led.sv හි කොටසක් ලෙස දැනටමත් පවතී fileඔබ පැතලි/උප නාමාවලියෙන් පිටපත් කරයි. ඔබට මෙය සරලව නැවත භාවිතා කළ හැකිය file.
- ඔබ SystemVerilog නිර්මාණය කරන්නේ නම් fileIntel Quartus Prime Text Editor වෙතින්, එකතු කිරීම අක්රිය කරන්න file වත්මන් ව්යාපෘති විකල්පයට, සුරැකීමේදී files.
සංශෝධන නිර්මාණය කිරීම
PR සැලසුම් ප්රවාහය Intel Quartus Prime මෘදුකාංගයේ ව්යාපෘති සංශෝධන විශේෂාංගය භාවිතා කරයි. FPGA හි ස්ථිතික කලාප සීමාවන් සහ නැවත සකස් කළ හැකි කලාප නිර්වචනය කරන මූලික සංශෝධනය ඔබේ මූලික සැලසුමයි. මූලික සංශෝධනයෙන්, ඔබ බහු සංශෝධන නිර්මාණය කරයි. මෙම සංශෝධනවල PR කලාප සඳහා විවිධ ක්රියාත්මක කිරීම් අඩංගු වේ. කෙසේ වෙතත්, සියලුම PR ක්රියාත්මක කිරීමේ සංශෝධන මූලික සංශෝධනයෙන් එකම ඉහළ මට්ටමේ ස්ථානගත කිරීම් සහ මාර්ගගත කිරීමේ ප්රතිඵල භාවිතා කරයි. PR සැලසුමක් සම්පාදනය කිරීමට, ඔබ එක් එක් පුද්ගලයා සඳහා PR ක්රියාත්මක කිරීමේ සංශෝධනයක් සෑදිය යුතුය. ඊට අමතරව, ඔබ එක් එක් සංශෝධන සඳහා සංශෝධන වර්ග පැවරිය යුතුය. පවතින සංශෝධන වර්ග වන්නේ:
- අර්ධ නැවත සකස් කිරීම - පදනම
- අර්ධ ප්රතිනිර්මාණය - පුද්ගල ක්රියාත්මක කිරීම
පහත වගුවේ එක් එක් සංශෝධන සඳහා සංශෝධන නම සහ සංශෝධන වර්ගය ලැයිස්තුගත කරයි:
සංශෝධන නම් සහ වර්ග
සංශෝධන නම | සංශෝධන වර්ගය |
blinking_led.qsf | අර්ධ නැවත සකස් කිරීම - පදනම |
blinking_led_default.qsf | අර්ධ ප්රතිනිර්මාණය - පුද්ගල ක්රියාත්මක කිරීම |
blinking_led_slow.qsf | අර්ධ ප්රතිනිර්මාණය - පුද්ගල ක්රියාත්මක කිරීම |
blinking_led_empty.qsf | අර්ධ ප්රතිනිර්මාණය - පුද්ගල ක්රියාත්මක කිරීම |
මූලික සංශෝධන වර්ගය සැකසීම
- Project ➤ Revisions ක්ලික් කරන්න.
- සංශෝධන නාමය තුළ, blinking_led සංශෝධනය තෝරන්න, ඉන්පසු Set Current ක්ලික් කරන්න.
- අයදුම් කරන්න ක්ලික් කරන්න. Blinking_led සංශෝධනය වත්මන් සංශෝධනය ලෙස පෙන්වයි.
- Blinking_led සඳහා Revision Type සැකසීමට, Assignments ➤ Settings ➤ General ක්ලික් කරන්න.
- Revision Type සඳහා, Partial Reconfiguration – Base තෝරන්න, ඉන්පසු OK ක්ලික් කරන්න.
- දැන් blinking_led.qsf හි පහත පැවරුම අඩංගු බව තහවුරු කරන්න: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
ක්රියාත්මක කිරීමේ සංශෝධන නිර්මාණය කිරීම
- සංශෝධන සංවාද කොටුව විවෘත කිරීමට, Project ➤ Revisions ක්ලික් කරන්න.
- නව සංශෝධනයක් සෑදීමට, < දෙවරක් ක්ලික් කරන්න >.
- සංශෝධන නාමය තුළ, blinking_led_default සඳහන් කරන්න සහ සංශෝධනය මත පදනම්ව blinking_led තෝරන්න.
- සංශෝධන වර්ගය සඳහා, අර්ධ ප්රතිසංවිධානය - පුද්ගල ක්රියාත්මක කිරීම තෝරන්න.
සංශෝධන නිර්මාණය කිරීම
- එලෙසම, blinking_led_slow සහ blinking_led_empty revisions සඳහා Revision වර්ගය සකසන්න.
- එක් එක් .qsf බව තහවුරු කරන්න file දැන් පහත පැවරුම අඩංගු වේ: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led එහිදී, place_holder යනු අලුතින් සාදන ලද PR ක්රියාත්මක කිරීමේ සංශෝධනය සඳහා පෙරනිමි ආයතන නාමය වේ.
ව්යාපෘති සංශෝධන
මූලික සංශෝධනය සම්පාදනය කිරීම
- මූලික සංශෝධනය සම්පාදනය කිරීමට, සැකසීම ➤ සම්පාදනය ආරම්භ කරන්න ක්ලික් කරන්න. විකල්පයක් ලෙස, පහත විධානය මූලික සංශෝධනය සම්පාදනය කරයි: quartus_sh –flow compile blinking_led -c blinking_led
- බිට්ස්ට්රීම් පරීක්ෂා කරන්න fileප්රතිදානය තුල ජනනය කරන s_fileගේ නාමාවලිය.
උත්පාදනය කරන ලදී Files
නම | ටයිප් කරන්න | විස්තරය |
blinking_led.sof | මූලික වැඩසටහන්කරණය file | සම්පූර්ණ චිප පාදක වින්යාසය සඳහා භාවිතා වේ |
blinking_led.pr_partition.rbf | PR bitstream file මූලික පුද්ගලයා සඳහා | මූලික පෞරුෂය අර්ධ වශයෙන් නැවත සකස් කිරීම සඳහා භාවිතා වේ. |
blinking_led_static.qdb | .qdb දත්ත සමුදාය file | අවසන් දත්ත සමුදාය file ස්ථිතික කලාපය ආනයනය කිරීමට භාවිතා කරයි. |
අදාළ තොරතුරු
- ඉන්ටෙල් ක්වාටස් ප්රයිම් ප්රෝ සංස්කරණ පරිශීලක මාර්ගෝපදේශයේ “අර්ධ ප්රතිසංවිධාන සැලසුම බිම සැලසුම් කරන්න”: අර්ධ ප්රතිසංවිධානය
- Intel Quartus Prime Pro සංස්කරණ පරිශීලක මාර්ගෝපදේශයේ “මහල සැලැස්මේ සීමාවන් වැඩි කිරීම”: අර්ධ ප්රතිසංවිධානය
PR ක්රියාත්මක කිරීමේ සංශෝධන සකස් කිරීම
උපාංග ක්රමලේඛනය සඳහා PR බිට්ස්ට්රීම් සම්පාදනය කිරීමට සහ උත්පාදනය කිරීමට පෙර ඔබ PR ක්රියාත්මක කිරීමේ සංශෝධන සකස් කළ යුතුය. මෙම පිහිටුවීමට ස්ථිතික කලාපය .qdb එකතු කිරීම ඇතුළත් වේ file ප්රභවය ලෙස file එක් එක් ක්රියාත්මක කිරීමේ සංශෝධනය සඳහා. ඊට අමතරව, ඔබ PR කලාපයේ අනුරූප ආයතනය සඳහන් කළ යුතුය.
- වත්මන් සංශෝධනය සැකසීමට, Project ➤ Revisions ක්ලික් කරන්න, Revision නම ලෙස blinking_led_default තෝරන්න, ඉන්පසු Set Current ක්ලික් කරන්න.
- එක් එක් ක්රියාත්මක කිරීමේ සංශෝධනය සඳහා නිවැරදි මූලාශ්රය සත්යාපනය කිරීමට, ව්යාපෘතිය ➤එකතු කරන්න/ඉවත් කරන්න ක්ලික් කරන්න Fileව්යාපෘතියේ එස්. Blinking_led.sv file හි දිස්වේ file ලැයිස්තුව.
FilesPage
- අනෙක් ක්රියාත්මක කිරීමේ සංශෝධන මූලාශ්රය සත්යාපනය කිරීමට පියවර 1 සිට 2 දක්වා නැවත නැවත කරන්න files:
ක්රියාත්මක කිරීමේ සංශෝධන නාමය | මූලාශ්රය File |
blinking_led_default | blinking_led.sv |
දිලිසෙන_ලෙස_හිස් | blinking_led_empty.sv |
දිලිසෙන_සෙමින් | blinking_led_slow.sv |
- .qdb සත්යාපනය කිරීමට file මූල කොටස හා සම්බන්ධ, Assignments ➤ Design Partitions Window ක්ලික් කරන්න. කොටස් දත්ත සමුදාය බව තහවුරු කරන්න File blinking_led_static.qdb සඳහන් කරයි file, හෝ කොටස් දත්ත සමුදාය මත දෙවරක් ක්ලික් කරන්න File මෙය සඳහන් කිරීමට cell file. විකල්පයක් ලෙස, පහත විධානය මෙය පවරයි file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Entity Re-binding cell තුළ, ක්රියාත්මක කිරීමේ සංශෝධනයේදී ඔබ වෙනස් කරන එක් එක් PR කොටසෙහි ආයතන නාමය සඳහන් කරන්න. Blinking_led_default ක්රියාත්මක කිරීමේ සංශෝධනය සඳහා, ආයතන නාමය blinking_led වේ. මෙම නිබන්ධනයේදී, ඔබ නව blinking_led entity සමඟ පදනම් සංශෝධන සම්පාදනයෙන් u_blinking_led අවස්ථාව උඩින් ලියයි.
සටහන: ක්රියාත්මක කිරීමේ සංශෝධන වෙත ස්වයංක්රීයව ස්ථාන දරණ ආයතනයක් නැවත බැඳීමේ පැවරුමක් එක් කෙරේ. කෙසේ වෙතත්, ඔබ පැවරුමේ පෙරනිමි භූතාර්ථ නාමය ඔබේ සැලසුම සඳහා සුදුසු ආයතන නාමයකට වෙනස් කළ යුතුය.
ක්රියාත්මක කිරීමේ සංශෝධන නාමය | ආයතන නැවත බැඳීම |
blinking_led_default | දැල්වෙන_ලෙස |
දිලිසෙන_සෙමින් | දිලිසෙන_සෙමින් |
දිලිසෙන_ලෙස_හිස් | දිලිසෙන_ලෙස_හිස් |
ආයතන නැවත බැඳීම
- සැලසුම සම්පාදනය කිරීමට, සැකසීම ➤ ආරම්භය සම්පාදනය ක්ලික් කරන්න. විකල්පයක් ලෙස, පහත විධානය මෙම ව්යාපෘතිය සම්පාදනය කරයි: quartus_sh –flow compile blinking_led –c blinking_led_default
- blinking_led_slow සහ blinking_led_empty revisions සකස් කිරීමට ඉහත පියවර නැවත කරන්න: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
සටහන: PR ක්රියාත්මක කිරීමේ සම්පාදනය අතරතුර ඔබට අයදුම් කිරීමට අවශ්ය ඕනෑම ෆිටර් විශේෂිත සැකසුම් නියම කළ හැක. ෆිටර් විශේෂිත සිටුවම් ආනයනය කරන ලද ස්ථිතික කලාපයට බලපෑමක් නොකර, පුද්ගලයාගේ ගැළපීමට පමණක් බලපායි.
මණ්ඩලය වැඩසටහන්ගත කිරීම
මෙම නිබන්ධනය ඔබේ සත්කාරක යන්ත්රයේ PCIe* slot එකෙන් පිටත බංකුව මත Intel Agilex F-Series FPGA සංවර්ධන පුවරුවක් භාවිතා කරයි. ඔබ පුවරුව වැඩසටහන්ගත කිරීමට පෙර, ඔබ පහත පියවර සම්පූර්ණ කර ඇති බවට සහතික වන්න:
- Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලයට බල සැපයුම සම්බන්ධ කරන්න.
- Intel FPGA බාගැනීම් කේබලය ඔබේ PC USB පෝට් එක සහ Intel FPGA බාගැනීම් කේබල් තොට සංවර්ධන පුවරුවේ සම්බන්ධ කරන්න.
Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලයේ සැලසුම ක්රියාත්මක කිරීමට:
- Intel Quartus Prime මෘදුකාංගය විවෘත කර Tools ➤ Programmer ක්ලික් කරන්න.
- ක්රමලේඛකයා තුළ, දෘඪාංග සැකසුම ක්ලික් කර USB-Blaster තෝරන්න.
- Auto Detect ක්ලික් කර උපාංගය තෝරන්න, AGFB014R24AR0.
- හරි ක්ලික් කරන්න. Intel Quartus Prime මෘදුකාංගය පුවරුවේ ඇති FPGA උපාංග තුන සමඟින් ක්රමලේඛකයා හඳුනාගෙන යාවත්කාලීන කරයි.
- AGFB014R24AR0 උපාංගය තෝරන්න, වෙනස් කරන්න ක්ලික් කරන්න File සහ blinking_led_default.sof පූරණය කරන්න file.
- blinking_led_default.sof සඳහා Program/Configure සබල කරන්න file.
- ආරම්භය ක්ලික් කර ප්රගති තීරුව 100% දක්වා ළඟා වන තෙක් රැඳී සිටින්න.
- මුල් පැතලි සැලසුමට සමාන සංඛ්යාතයකින් පුවරුවේ LEDs දැල්වීම නිරීක්ෂණය කරන්න.
- PR කලාපය පමණක් වැඩසටහන් කිරීමට, blinking_led_default.sof දකුණු ක්ලික් කරන්න file ක්රමලේඛකයා තුළ සහ PR වැඩසටහන්කරණය එකතු කරන්න ක්ලික් කරන්න File.
- Blinking_led_slow.pr_partition.rbf තෝරන්න file.
- blinking_led_default.sof සඳහා වැඩසටහන/Configure අක්රිය කරන්න file.
- blinking_led_slow.pr_partition.rbf සඳහා වැඩසටහන/වින්යාස කරන්න file සහ Start ක්ලික් කරන්න. පුවරුවේ, LED[0] සහ LED[1] දිගටම දැල්වීම නිරීක්ෂණය කරන්න. ප්රගති තීරුව 100%ට ළඟා වූ විට, LED[2] සහ LED[3] දැල්වීම මන්දගාමී වේ.
- PR කලාපය නැවත ක්රමලේඛනය කිරීමට, .rbf මත දකුණු-ක්ලික් කරන්න file ක්රමලේඛකයා තුළ සහ PR ක්රමලේඛනය වෙනස් කරන්න ක්ලික් කරන්න File.
- .rbf තෝරන්න fileපුවරුවේ හැසිරීම නිරීක්ෂණය කිරීම සඳහා අනෙක් පුද්ගලයින් දෙදෙනා සඳහා s. blinking_led_default.rbf පූරණය කරමින් file LED නිශ්චිත සංඛ්යාතයකින් දැල්වීමට හේතු වන අතර blinking_led_empty.rbf පූරණය කරයි file LED ක්රියාත්මක වීමට හේතු වේ.
Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලය ක්රමලේඛනය කිරීම
දෘඪාංග පරීක්ෂණ ප්රවාහය
පහත අනුපිළිවෙලවල් යොමු සැලසුම් දෘඩාංග පරීක්ෂණ ප්රවාහය විස්තර කරයි.
Intel Agilex උපාංග බාහිර සත්කාරක දෘඪාංග සැකසුම
උපකාරක FPGA (බාහිර සත්කාරක) වැඩසටහන් කරන්න
PR ක්රියාවලිය බාහිර ධාරකය ලෙස ක්රියාත්මක වන උපකාරක FPGA ක්රමලේඛනය පහත අනුපිළිවෙල විස්තර කරයි:
- ඔබ තෝරන මාදිලියට අනුරූප වන Avalon ප්රවාහ අතුරුමුහුණත් සැකසුම සඳහන් කරන්න (x8, x16, හෝ x32).
- Intel Quartus Prime Programmer සහ සම්බන්ධිත වින්යාස කේබලය භාවිතයෙන් උපකාරක FPGA වැඩසටහන්ගත කිරීමෙන් වේදිකාව ආරම්භ කරන්න.
- උපකාරක FPGA භාවිතා කරමින්, CONF_DONE සහ AVST_READY සංඥා කියවන්න. CONF_DONE 0 විය යුතුය, AVST_READY 1 විය යුතුය. මෙම පින් එකෙහි ඉහළ තාර්කිකයෙන් පෙන්නුම් කරන්නේ SDM බාහිර ධාරකයකින් දත්ත පිළිගැනීමට සූදානම් බවයි. මෙම ප්රතිදානය SDM I/O හි කොටසකි.
සටහන: CONF_DONE පින් එක බාහිර ධාරකයකට බිට්ස්ට්රීම් හුවමාරුව සාර්ථක බව සංඥා කරයි. සම්පූර්ණ චිප් වින්යාස කිරීමේ ක්රියාවලිය නිරීක්ෂණය කිරීමට පමණක් මෙම සංඥා භාවිතා කරන්න. මෙම පින් පිළිබඳ වැඩි විස්තර සඳහා Intel Agilex වින්යාස පරිශීලක මාර්ගෝපදේශය වෙත යොමු වන්න.
බාහිර සත්කාරක හරහා සම්පූර්ණ චිප් SOF සමඟ DUT FPGA ක්රමලේඛනය කරන්න DUT FPGA සම්පූර්ණ චිප් SRAM Object සමඟ ක්රමලේඛනය කිරීම පහත අනුපිළිවෙල විස්තර කරයි. File (.sof) සත්කාරක Avalon ප්රවාහ අතුරුමුහුණත භාවිතා කරමින්:
- සහායක FPGA (බාහිර සත්කාරක) හි DDR4 බාහිර මතකයට සම්පූර්ණ චිප් බිට්ස්ට්රීම් ලියන්න.
- Avalon streaming අතුරුමුහුණත (x8, x16, x32) භාවිතයෙන් DUT FPGA සම්පූර්ණ චිප් .sof සමඟ වින්යාස කරන්න.
- තත්ත්ව DUT FPGA වින්යාස සංඥා කියවන්න. CONF_DONE 1 විය යුතුය, AVST_READY 0 විය යුතුය.
කාල පිරිවිතර: අර්ධ නැවත සකස් කිරීම බාහිර පාලක Intel FPGA IP
බාහිර සත්කාරක හරහා පළමු පුද්ගලයා සමඟ DUT FPGA වැඩසටහන් කරන්න
- DUT FPGA හි ඉලක්ක PR කලාපය මත කැටි කිරීම යොදන්න.
- Intel Quartus Prime System Console භාවිතා කරමින්, අර්ධ ප්රතිසංවිධානය ආරම්භ කිරීමට pr_request තහවුරු කරන්න. AVST_READY 1 විය යුතුය.
- උපකාරක FPGA (බාහිර සත්කාරක) හි DDR4 බාහිර මතකයට පළමු PR පුද්ගල බිට්ස්ට්රීම් ලියන්න.
- Avalon ප්රවාහ අතුරුමුහුණත (x8, x16, x32) භාවිතා කරමින්, DUT FPGA ප්රථම පුද්ගල බිට්ස්ට්රීම් සමඟ නැවත වින්යාස කරන්න.
- PR තත්ත්වය නිරීක්ෂණය කිරීමට, පද්ධති කොන්සෝලය දියත් කිරීමට මෙවලම් ➤ පද්ධති කොන්සෝලය ක්ලික් කරන්න. පද්ධති කොන්සෝලය තුළ, PR තත්ත්වය නිරීක්ෂණය කරන්න:
- pr_error යනු 2-ප්රතිසංවිධානය ක්රියාවලියේ පවතී.
- pr_error 3 - නැවත සකස් කිරීම සම්පූර්ණයි.
- DUT FPGA හි PR කලාපය මත unfreeze යොදන්න.
සටහන: PR මෙහෙයුම අතරතුරදී, අනුවාද පරීක්ෂා කිරීමේදී අසාර්ථක වීම හෝ අවසරය පිරික්සීම වැනි දෝෂයක් සිදුවුවහොත්, PR මෙහෙයුම අවසන් වේ.
අදාළ තොරතුරු
- Intel Agilex වින්යාස පරිශීලක මාර්ගෝපදේශය
- Intel Quartus Prime Pro සංස්කරණ පරිශීලක මාර්ගෝපදේශය: නිදොස් කිරීමේ මෙවලම්
AN 991 සඳහා ලේඛන සංශෝධන ඉතිහාසය: Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලය සඳහා Configuration Pins (බාහිර සත්කාරක) යොමු නිර්මාණය හරහා අර්ධ ප්රතිසංවිධානය
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | වෙනස්කම් |
2022.11.14 | 22.3 | • මුලික නිදහස් කිරිම. |
AN 991: වින්යාස පින් (බාහිර සත්කාරක) හරහා අර්ධ ප්රතිනිර්මාණය කිරීම විමර්ශන නිර්මාණය: Intel Agilex F-Series FPGA සංවර්ධන මණ්ඩලය සඳහා
ඉහළම නිතර අසන ප්රශ්නවලට පිළිතුරු:
- Q වින්යාස පින් හරහා PR යනු කුමක්ද?
- A 3 පිටුවේ බාහිර ධාරක වින්යාසය
- Q මෙම යොමු නිර්මාණය සඳහා මට අවශ්ය වන්නේ කුමක්ද?
- A 6 පිටුවේ යොමු සැලසුම් අවශ්යතා
- Q මට විමර්ශන නිර්මාණය ලබා ගත හැක්කේ කොතැනින්ද?
- A 6 පිටුවේ යොමු සැලසුම් අවශ්යතා
- Q බාහිර වින්යාසය හරහා PR සිදු කරන්නේ කෙසේද?
- A 6 පිටුවේ විමර්ශන සැලසුම් ඇවිදීම
- Q PR පුද්ගලයා යනු කුමක්ද?
- A 11 පිටුවේ පුද්ගලයන් නිර්වචනය කිරීම
- Q පුවරුව වැඩසටහන්ගත කරන්නේ කෙසේද?
- A 17 පිටුවේ මණ්ඩලය වැඩසටහන් කරන්න
- Q PR දන්නා ගැටළු සහ සීමාවන් මොනවාද?
- A Intel FPGA ආධාරක සංසද: PR
- Q ඔබට PR පිළිබඳ පුහුණුවක් තිබේද?
- A Intel FPGA තාක්ෂණික පුහුණු නාමාවලිය
සබැඳි අනුවාදය ප්රතිපෝෂණ යවන්න
- ID: 750856
- අනුවාදය: 2022.11.14
ලේඛන / සම්පත්
![]() |
intel 750856 Agilex FPGA සංවර්ධන මණ්ඩලය [pdf] පරිශීලක මාර්ගෝපදේශය 750856, 750857, 750856 Agilex FPGA සංවර්ධන මණ්ඩලය, Agilex FPGA සංවර්ධන මණ්ඩලය, FPGA සංවර්ධන මණ්ඩලය, සංවර්ධන මණ්ඩලය, මණ්ඩලය |