ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel 750856 Agilex FPGA
ព័ត៌មានអំពីផលិតផល
ការរចនាឯកសារយោងនេះគឺសម្រាប់ Intel Agilex F-Series FPGA Development Board។ វាប្រើប្រាស់ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅរបស់ Intel FPGA IP និងមានតំបន់ PR សាមញ្ញ។ ការដំឡើងផ្នែករឹងម៉ាស៊ីនខាងក្រៅរបស់ Intel Agilex រួមមានឧបករណ៍ខាងក្រៅ (ជំនួយ FPGA), DUT FPGA និងការរចនាម៉ាស៊ីនខាងក្រៅរបស់អ្នក។ ការរចនាម៉ាស៊ីននៅក្នុងឧបករណ៍ខាងក្រៅគឺទទួលខុសត្រូវសម្រាប់ការបង្ហោះដំណើរការ PR ។ ម្ជុល PR ត្រូវបានប្រើដើម្បីភ្ជាប់ឧបករណ៍ទាំងពីរ ហើយអាចជា I/Os អ្នកប្រើប្រាស់ដែលមាន។
ការណែនាំអំពីការប្រើប្រាស់ផលិតផល
ការកំណត់រចនាសម្ព័ន្ធម៉ាស៊ីនខាងក្រៅ
ដើម្បីអនុវត្តការកំណត់រចនាសម្ព័ន្ធម៉ាស៊ីនខាងក្រៅ សូមអនុវត្តតាមជំហានទាំងនេះ៖
- បង្កើតការរចនាម៉ាស៊ីននៅក្នុងឧបករណ៍ខាងក្រៅដើម្បីរៀបចំដំណើរការ PR ។
- ភ្ជាប់ម្ជុល PR ពីឧបករណ៍ខាងក្រៅទៅឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅរបស់ Intel FPGA IP នៅក្នុង DUT FPGA ។
- ស្ទ្រីមទិន្នន័យការកំណត់រចនាសម្ព័ន្ធពីការរចនាម៉ាស៊ីនទៅចំណុចប្រទាក់ស្ទ្រីម Intel Agilex Avalon ដែលត្រូវគ្នានឹងសញ្ញាចាប់ដៃ PR ពី IP ។
ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈប្រតិបត្តិការកំណត់រចនាសម្ព័ន្ធម្ជុល
លំដាប់ខាងក្រោមពិពណ៌នាអំពីប្រតិបត្តិការនៃការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈម្ជុលកំណត់រចនាសម្ព័ន្ធ៖
- អះអាងម្ជុល pr_request ដែលបានតភ្ជាប់ទៅឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធផ្នែកខាងក្រៅការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែក Intel FPGA IP ។
- IP អះអាងពីសញ្ញារវល់ដើម្បីបង្ហាញថាដំណើរការ PR កំពុងដំណើរការ (ជាជម្រើស)។
- ប្រសិនបើប្រព័ន្ធកំណត់រចនាសម្ព័ន្ធរួចរាល់សម្រាប់ប្រតិបត្តិការ PR នោះ ម្ជុល avst_ready ត្រូវបានអះអាង ដែលបង្ហាញថាវារួចរាល់ក្នុងការទទួលយកទិន្នន័យ។
- ស្ទ្រីមទិន្នន័យការកំណត់រចនាសម្ព័ន្ធ PR លើម្ជុល avst_data និងម្ជុល avst_valid ដោយធ្វើតាមការបញ្ជាក់នៃការស្ទ្រីម Avalon សម្រាប់ការផ្ទេរទិន្នន័យដោយប្រើសម្ពាធថយក្រោយ។
- ការស្ទ្រីមឈប់នៅពេលដែលម្ជុល avst_ready ត្រូវបានបដិសេធ។
- បដិសេធការអះអាងម្ជុល avst_ready ដើម្បីបង្ហាញថាមិនចាំបាច់មានទិន្នន័យបន្ថែមទៀតសម្រាប់ប្រតិបត្តិការ PR ។
- ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅរបស់ Intel FPGA IP de- asserts សញ្ញារវល់ដើម្បីបង្ហាញពីការបញ្ចប់នៃដំណើរការនេះ (ជាជម្រើស) ។
ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈការកំណត់រចនាសម្ព័ន្ធម្ជុល (ម៉ាស៊ីនខាងក្រៅ) ការរចនាយោង
កំណត់ចំណាំកម្មវិធីនេះបង្ហាញពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈម្ជុលកំណត់រចនាសម្ព័ន្ធ (ម៉ាស៊ីនខាងក្រៅ) នៅលើបន្ទះអភិវឌ្ឍន៍ Intel® Agilex® F-Series FPGA ។
ការរចនាយោងលើview
មុខងារកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក (PR) អនុញ្ញាតឱ្យអ្នកកំណត់រចនាសម្ព័ន្ធផ្នែកនៃ FPGA ឡើងវិញដោយថាមវន្ត ខណៈដែលការរចនា FPGA ដែលនៅសល់បន្តដំណើរការ។ អ្នកអាចបង្កើតមនុស្សច្រើនសម្រាប់តំបន់ជាក់លាក់មួយនៅក្នុងការរចនារបស់អ្នក ដែលមិនប៉ះពាល់ដល់ប្រតិបត្តិការនៅក្នុងតំបន់នៅខាងក្រៅតំបន់នេះ។ វិធីសាស្រ្តនេះមានប្រសិទ្ធភាពនៅក្នុងប្រព័ន្ធដែលមុខងារច្រើនចែករំលែកពេលវេលាធនធានឧបករណ៍ FPGA ដូចគ្នា។ កំណែបច្ចុប្បន្ននៃកម្មវិធី Intel Quartus® Prime Pro Edition ណែនាំលំហូរចងក្រងថ្មី និងសាមញ្ញសម្រាប់ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។ ការរចនាឯកសារយោង Intel Agilex នេះប្រើឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅឡើងវិញដោយផ្នែក Intel FPGA IP និងមានតំបន់ PR សាមញ្ញ។
ឧបករណ៍ Intel Agilex ការដំឡើងផ្នែករឹងម៉ាស៊ីនខាងក្រៅ
ការកំណត់រចនាសម្ព័ន្ធម៉ាស៊ីនខាងក្រៅ
នៅក្នុងការកំណត់រចនាសម្ព័ន្ធម៉ាស៊ីនខាងក្រៅ ជាដំបូងអ្នកត្រូវតែបង្កើតការរចនាម៉ាស៊ីននៅក្នុងឧបករណ៍ខាងក្រៅដើម្បីធ្វើជាម្ចាស់ផ្ទះដំណើរការ PR ដូចដែល Intel Agilex Device External Host Hardware Setup បង្ហាញ។ ការរចនាម៉ាស៊ីនស្ទ្រីមទិន្នន័យការកំណត់រចនាសម្ព័ន្ធទៅកាន់ចំណុចប្រទាក់ស្ទ្រីម Intel Agilex Avalon ដែលត្រូវគ្នានឹងសញ្ញាចាប់ដៃ PR ដែលចេញមកពីឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅរបស់ Intel FPGA IP ។ ម្ជុល PR ដែលអ្នកប្រើដើម្បីភ្ជាប់ឧបករណ៍ទាំងពីរអាចជា I/O អ្នកប្រើប្រាស់ដែលមាន។
លំដាប់ខាងក្រោមពិពណ៌នាអំពីការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈប្រតិបត្តិការម្ជុលកំណត់រចនាសម្ព័ន្ធ៖
- ដំបូងសូមបញ្ជាក់ម្ជុល pr_request ដែលត្រូវបានតភ្ជាប់ទៅឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធផ្នែកខាងក្រៅការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែក Intel FPGA IP ។
- IP អះអាងពីសញ្ញារវល់ដើម្បីបង្ហាញថាដំណើរការ PR កំពុងដំណើរការ (ជាជម្រើស)។
- ប្រសិនបើប្រព័ន្ធកំណត់រចនាសម្ព័ន្ធរួចរាល់ដើម្បីដំណើរការ PR នោះ ម្ជុល avst_ready ត្រូវបានអះអាងដែលបង្ហាញថាវារួចរាល់ក្នុងការទទួលយកទិន្នន័យ។
- ចាប់ផ្តើមស្ទ្រីមទិន្នន័យការកំណត់រចនាសម្ព័ន្ធ PR លើម្ជុល avst_data និងម្ជុល avst_valid ខណៈពេលដែលកំពុងសង្កេតមើលការបញ្ជាក់ការស្ទ្រីម Avalon សម្រាប់ការផ្ទេរទិន្នន័យជាមួយនឹងសម្ពាធថយក្រោយ។
- ការស្ទ្រីមឈប់រាល់ពេលដែលម្ជុល avst_ready ត្រូវបានបដិសេធ។
- បន្ទាប់ពីការផ្សាយទិន្នន័យការកំណត់រចនាសម្ព័ន្ធទាំងអស់ ម្ជុល avst_ready ត្រូវបានបដិសេធដើម្បីបង្ហាញថាមិនត្រូវការទិន្នន័យទៀតទេសម្រាប់ប្រតិបត្តិការ PR ។
- ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅរបស់ Intel FPGA IP បង្ហាញសញ្ញារវល់ដើម្បីបង្ហាញពីការបញ្ចប់នៃដំណើរការ (ជាជម្រើស)។
- អ្នកអាចពិនិត្យមើលម្ជុល pr_done និង pr_error ដើម្បីបញ្ជាក់ថាតើប្រតិបត្តិការ PR បានបញ្ចប់ដោយជោគជ័យឬអត់។ ប្រសិនបើកំហុសកើតឡើង ដូចជាការបរាជ័យក្នុងការត្រួតពិនិត្យកំណែ និងការត្រួតពិនិត្យការអនុញ្ញាត ប្រតិបត្តិការ PR នឹងបញ្ចប់។
ព័ត៌មានពាក់ព័ន្ធ
- កញ្ចប់អភិវឌ្ឍន៍ FPGA Intel Agilex F-Series Web ទំព័រ
- ការណែនាំអ្នកប្រើប្រាស់ Intel Agilex F-Series FPGA Development Kit
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition៖ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
ការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខាងក្រៅ ឧបករណ៍បញ្ជា Intel FPGA IP
ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅនៃការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកគឺតម្រូវឱ្យប្រើម្ជុលកំណត់រចនាសម្ព័ន្ធដើម្បីចាក់ផ្សាយទិន្នន័យ PR សម្រាប់ប្រតិបត្តិការ PR ។ អ្នកត្រូវតែភ្ជាប់ច្រកកម្រិតកំពូលទាំងអស់នៃផ្នែកខាងក្រៅនៃការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខាងក្រៅរបស់ Intel FPGA IP ទៅនឹងម្ជុល pr_request ដើម្បីអនុញ្ញាតឱ្យមានការចាប់ដៃរបស់ម៉ាស៊ីនជាមួយនឹងកម្មវិធីគ្រប់គ្រងឧបករណ៍សុវត្ថិភាព (SDM) ពីស្នូល។ SDM កំណត់ប្រភេទម្ជុលកំណត់រចនាសម្ព័ន្ធដែលត្រូវប្រើ យោងទៅតាមការកំណត់ MSEL របស់អ្នក។
ការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខាងក្រៅ ឧបករណ៍បញ្ជា Intel FPGA IP
ការកំណត់រចនាសម្ព័ន្ធផ្នែកខាងក្រៅ ការកំណត់ប៉ារ៉ាម៉ែត្រឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅ
ប៉ារ៉ាម៉ែត្រ | តម្លៃ | ការពិពណ៌នា |
បើកដំណើរការចំណុចប្រទាក់រវល់ | បើក or
បិទ |
អនុញ្ញាតឱ្យអ្នកបើក ឬបិទចំណុចប្រទាក់ជាប់រវល់ ដែលអះអាងសញ្ញាដើម្បីបង្ហាញថាដំណើរការ PR កំពុងដំណើរការកំឡុងពេលកំណត់រចនាសម្ព័ន្ធខាងក្រៅ។
ការកំណត់លំនាំដើមគឺ បិទ. |
ការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខាងក្រៅ ច្រកឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅ
ឈ្មោះច្រក | ទទឹង | ទិសដៅ | មុខងារ |
pr_request | 1 | បញ្ចូល | បង្ហាញថាដំណើរការ PR គឺរួចរាល់ដើម្បីចាប់ផ្តើម។ សញ្ញាគឺជាបំពង់មិនស៊ីសង្វាក់គ្នានឹងសញ្ញានាឡិកាណាមួយទេ។ |
pr_error | 2 | ទិន្នផល | បង្ហាញពីកំហុសក្នុងការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។៖
• 2'b01—កំហុស PR ទូទៅ • 2'b11—កំហុសប៊ីតស្ទ្រីមមិនឆបគ្នា។ សញ្ញាទាំងនេះគឺជាបំពង់មិនសមកាលកម្មទៅនឹងប្រភពនាឡិកាណាមួយឡើយ។ |
pr_done | 1 | ទិន្នផល | បង្ហាញថាដំណើរការ PR ត្រូវបានបញ្ចប់។ សញ្ញាគឺជាបំពង់មិនស៊ីសង្វាក់គ្នានឹងសញ្ញានាឡិកាណាមួយទេ។ |
start_addr | 1 | បញ្ចូល | បញ្ជាក់អាសយដ្ឋានចាប់ផ្តើមនៃទិន្នន័យ PR នៅក្នុង Active Serial Flash ។ អ្នកបើកសញ្ញានេះដោយជ្រើសរើសណាមួយ។ Avalon®-ST or សៀរៀលសកម្ម សម្រាប់ បើក Avalon-ST Pins ឬ Active Serial Pins ប៉ារ៉ាម៉ែត្រ។ សញ្ញាគឺជាបំពង់មិនស៊ីសង្វាក់គ្នានឹងសញ្ញានាឡិកាណាមួយទេ។ |
កំណត់ឡើងវិញ | 1 | បញ្ចូល | សកម្មខ្ពស់ សញ្ញាកំណត់ឡើងវិញសមកាលកម្ម។ |
out_clk | 1 | ទិន្នផល | ប្រភពនាឡិកាដែលបង្កើតពីលំយោលខាងក្នុង។ |
រវល់ | 1 | ទិន្នផល | IP អះអាងសញ្ញានេះដើម្បីបង្ហាញពីការផ្ទេរទិន្នន័យ PR កំពុងដំណើរការ។ អ្នកបើកសញ្ញានេះដោយជ្រើសរើស បើក សម្រាប់ បើកដំណើរការចំណុចប្រទាក់រវល់ ប៉ារ៉ាម៉ែត្រ។ |
តម្រូវការការរចនាយោង
ការប្រើប្រាស់ការរចនាឯកសារយោងនេះទាមទារដូចខាងក្រោមៈ
- ការដំឡើង Intel Quartus Prime Pro Edition កំណែ 22.3 ជាមួយនឹងការគាំទ្រសម្រាប់គ្រួសារឧបករណ៍ Intel Agilex ។
- ការតភ្ជាប់ទៅក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA នៅលើកៅអី។
- ទាញយកការរចនា exampអាចរកទិញបាននៅទីតាំងខាងក្រោម៖ https://github.com/intel/fpga-partial-reconfig.
ដើម្បីទាញយកការរចនា exampលេ៖
- ចុចក្លូន ឬទាញយក។
- ចុចទាញយក ZIP ។ ពន្លា fpga-partial-reconfig-master.zip file.
- រុករកទៅថតរងឯកសារបង្រៀន/agilex_external_pr_configuration ដើម្បីចូលប្រើការរចនាយោង។
សេចក្តីយោង ដំណើរការរចនា
ជំហានខាងក្រោមពិពណ៌នាអំពីការអនុវត្តនៃការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈម្ជុលកំណត់រចនាសម្ព័ន្ធ (ម៉ាស៊ីនខាងក្រៅ) នៅលើក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA៖
- ជំហានទី 1៖ ការចាប់ផ្តើម
- ជំហានទី 2៖ ការបង្កើតភាគថាសរចនា
- ជំហានទី 3៖ ការបែងចែកទីតាំង និងតំបន់បញ្ជូនបន្ត
- ជំហានទី 4៖ ការបន្ថែម IP ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅនៃការកំណត់ឡើងវិញដោយផ្នែក
- ជំហានទី 5៖ និយមន័យបុគ្គល
- ជំហានទី 6៖ ការបង្កើតការកែប្រែ
- ជំហានទី 7៖ ចងក្រងការកែប្រែមូលដ្ឋាន
- ជំហានទី 8៖ កំពុងរៀបចំការកែប្រែការអនុវត្ត PR
- ជំហានទី 9៖ ការសរសេរកម្មវិធីក្រុមប្រឹក្សាភិបាល
ជំហានទី 1: ការចាប់ផ្តើម
ដើម្បីចម្លងការរចនាយោង files ទៅបរិយាកាសការងាររបស់អ្នក ហើយចងក្រងការរចនាផ្ទះល្វែង blinking_led៖
- បង្កើតថតនៅក្នុងបរិយាកាសការងាររបស់អ្នក agilex_pcie_devkit_blinking_led_pr ។
- ចម្លងឯកសារបង្រៀនដែលបានទាញយក/agilex_pcie_devkit_blinking_led/flat sub-folder ទៅថតឯកសារ agilex_pcie_devkit_blinking_led_pr ។
- នៅក្នុងកម្មវិធី Intel Quartus Prime Pro Edition សូមចុច File ➤ បើកគម្រោង ហើយជ្រើសរើស blinking_led.qpf ។
- ដើម្បីលម្អិតអំពីឋានានុក្រមនៃការរចនាផ្ទះល្វែង សូមចុចដំណើរការ ➤ ចាប់ផ្តើម ➤ ចាប់ផ្តើមការវិភាគ និងសំយោគ។ ជាជម្រើស នៅបន្ទាត់ពាក្យបញ្ជា ដំណើរការពាក្យបញ្ជាខាងក្រោម៖ quartus_syn blinking_led -c blinking_led
ការបង្កើតភាគថាសរចនា
អ្នកត្រូវតែបង្កើតភាគថាសរចនាសម្រាប់តំបន់ PR នីមួយៗដែលអ្នកចង់កំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។ ជំហានខាងក្រោមបង្កើតភាគថាសរចនាសម្រាប់ u_blinking_led instance ។
ការបង្កើតភាគថាសរចនា
- ចុចកណ្ដុរស្ដាំលើវត្ថុ u_blinking_led ក្នុងកម្មវិធីរុករកគម្រោង ហើយចុចផ្នែករចនា ➤ អាចកំណត់រចនាសម្ព័ន្ធឡើងវិញបាន។ រូបតំណាងភាគថាសរចនាលេចឡើងនៅជាប់នឹងវត្ថុនីមួយៗដែលត្រូវបានកំណត់ជាភាគថាស។
- ចុច Assignments ➤ Design Partitions Window។ បង្អួចបង្ហាញផ្នែករចនាទាំងអស់នៅក្នុងគម្រោង។
- កែសម្រួលឈ្មោះភាគថាសក្នុងបង្អួចផ្នែករចនាដោយចុចពីរដងលើឈ្មោះ។ សម្រាប់ការរចនាឯកសារយោងនេះ ប្តូរឈ្មោះភាគថាសទៅជា pr_partition
- ចំណាំ៖ នៅពេលអ្នកបង្កើតភាគថាស កម្មវិធី Intel Quartus Prime បង្កើតឈ្មោះភាគថាសដោយស្វ័យប្រវត្តិ ដោយផ្អែកលើឈ្មោះឧទាហរណ៍ និងផ្លូវឋានានុក្រម។ ឈ្មោះភាគថាសលំនាំដើមនេះអាចប្រែប្រួលទៅតាមឧទាហរណ៍នីមួយៗ។
- ដើម្បីនាំចេញតំបន់ឋិតិវន្តដែលបានបញ្ចប់ពីការចងក្រងការកែប្រែមូលដ្ឋាន សូមចុចពីរដងលើធាតុសម្រាប់ root_partition នៅក្នុងការនាំចេញក្រោយចុងក្រោយ File ជួរឈរ ហើយវាយ blinking_led_static ។ gdb
កំពុងនាំចេញរូបថតចុងក្រោយនៃការបង្ហោះក្នុងបង្អួចផ្នែករចនាផ្ទៀងផ្ទាត់ថា blinking_led.qsf មានកិច្ចការខាងក្រោម ដែលត្រូវនឹងភាគថាសរចនាដែលអាចកំណត់រចនាសម្ព័ន្ធឡើងវិញរបស់អ្នក៖
ព័ត៌មានពាក់ព័ន្ធ
"បង្កើតភាគថាសរចនា" នៅក្នុងការណែនាំអ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition: ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
ការបែងចែកទីតាំង និងតំបន់កំណត់ផ្លូវសម្រាប់ភាគថាស PR
សម្រាប់រាល់ការកែប្រែមូលដ្ឋានដែលអ្នកបង្កើត លំហូរការរចនា PR ដាក់ស្នូល persona ដែលត្រូវគ្នានៅក្នុងតំបន់ភាគថាស PR របស់អ្នក។ ដើម្បីកំណត់ទីតាំង និងកំណត់តំបន់ PR នៅក្នុងផែនការជាន់ឧបករណ៍សម្រាប់ការពិនិត្យឡើងវិញមូលដ្ឋានរបស់អ្នក៖
- ចុចកណ្ដុរស្ដាំលើវត្ថុ u_blinking_led ក្នុងកម្មវិធីរុករកគម្រោង ហើយចុចតំបន់ចាក់សោតក្កវិជ្ជា ➤ បង្កើតតំបន់ចាក់សោតក្កវិជ្ជាថ្មី។ តំបន់បង្ហាញនៅលើ Logic Lock Regions Window។
- តំបន់ដាក់របស់អ្នកត្រូវតែភ្ជាប់ជាមួយតក្កវិជ្ជា blinking_led ។ ជ្រើសរើសតំបន់ដាក់ដោយកំណត់ទីតាំងថ្នាំងនៅក្នុង Chip Planner។ ចុចកណ្ដុរស្ដាំលើឈ្មោះតំបន់ u_blinking_led ក្នុង Logic Lock Regions Window ហើយចុច
កំណត់ទីតាំង Node ➤ កំណត់ទីតាំងនៅក្នុង Chip Planner ។ តំបន់ u_blinking_led គឺមានកូដពណ៌
ទីតាំងថ្នាំង Chip Planner សម្រាប់ blinking_led
- ក្នុងបង្អួច Logic Lock Regions សូមបញ្ជាក់ការសម្របសម្រួលតំបន់ដាក់ក្នុងជួរឈរដើម។ ប្រភពដើមត្រូវគ្នាទៅនឹងជ្រុងខាងឆ្វេងខាងក្រោមនៃតំបន់។ សម្រាប់អតីតample ដើម្បីកំណត់តំបន់ដាក់ជាមួយ (X1 Y1) co-ordinates ជា (163 4) បញ្ជាក់ប្រភពដើមជា X163_Y4។ កម្មវិធី Intel Quartus Prime គណនាការសម្របសម្រួល (X2 Y2) ដោយស្វ័យប្រវត្តិ (ខាងលើស្តាំ) សម្រាប់តំបន់ដាក់ ដោយផ្អែកលើកម្ពស់ និងទទឹងដែលអ្នកបញ្ជាក់។
- ចំណាំ៖ ការបង្រៀននេះប្រើការសម្របសម្រួល (X1 Y1) – (163 4) និងកម្ពស់ និងទទឹង 20 សម្រាប់តំបន់ដាក់។ កំណត់តម្លៃណាមួយសម្រាប់តំបន់ដាក់។ ត្រូវប្រាកដថាតំបន់គ្របដណ្តប់តក្កវិជ្ជា blinking_led ។
- បើកជម្រើសដែលបានបម្រុងទុក និងស្នូលតែប៉ុណ្ណោះ។
- ចុចពីរដងលើជម្រើសតំបន់ផ្លូវ។ ប្រអប់កំណត់តំបន់កំណត់ផ្លូវចាក់សោរឡូជីខលលេចឡើង។
- ជ្រើសរើស ជួសជុលជាមួយនឹងការពង្រីកសម្រាប់ប្រភេទផ្លូវ។ ការជ្រើសរើសជម្រើសនេះកំណត់ប្រវែងពង្រីក 2 ដោយស្វ័យប្រវត្តិ។
- ចំណាំ៖ តំបន់នាំផ្លូវត្រូវតែធំជាងតំបន់ដាក់ ដើម្បីផ្តល់ភាពបត់បែនបន្ថែមសម្រាប់ Fitter នៅពេលដែលម៉ាស៊ីនបញ្ជូនមនុស្សផ្សេងគ្នា។
Logic Lock Regions Windowផ្ទៀងផ្ទាត់ថា blinking_led.qsf មានកិច្ចការខាងក្រោម ដែលត្រូវនឹងផែនការជាន់របស់អ្នក៖
ព័ត៌មានពាក់ព័ន្ធ
“Floorplan the Partial Reconfiguration Design” នៅក្នុងការណែនាំអ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition: ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
ការបន្ថែមឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅឡើងវិញដោយផ្នែក Intel FPGA IP
ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅផ្នែកខាងក្រៅរបស់ Intel FPGA ចំណុចប្រទាក់ IP ជាមួយប្លុកត្រួតពិនិត្យ Intel Agilex PR ដើម្បីគ្រប់គ្រងប្រភពប៊ីត។ អ្នកត្រូវតែបន្ថែម IP នេះទៅក្នុងការរចនារបស់អ្នក ដើម្បីអនុវត្តការកំណត់រចនាសម្ព័ន្ធខាងក្រៅ។ អនុវត្តតាមជំហានទាំងនេះ ដើម្បីបន្ថែមឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធខាងក្រៅនៃការកំណត់ឡើងវិញដោយផ្នែក
Intel FPGA IP ទៅគម្រោងរបស់អ្នក៖
- វាយការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកនៅក្នុងវាលស្វែងរកកាតាឡុក IP (ឧបករណ៍ ➤ កាតាឡុក IP) ។
- ចុចទ្វេដងលើការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខាងក្រៅឧបករណ៍បញ្ជា Intel FPGA IP ។
- នៅក្នុងប្រអប់បង្កើត IP Variant dialog box វាយ external_host_pr_ip ជា File ឈ្មោះ ហើយបន្ទាប់មកចុច បង្កើត។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្រលេចឡើង។
- សម្រាប់អនុញ្ញាតប៉ារ៉ាម៉ែត្រចំណុចប្រទាក់រវល់ សូមជ្រើសរើស បិទ (ការកំណត់លំនាំដើម)។ នៅពេលដែលអ្នកត្រូវការប្រើសញ្ញានេះ អ្នកអាចប្តូរការកំណត់ទៅជា Enable។
បើកដំណើរការប៉ារ៉ាម៉ែត្រចំណុចប្រទាក់រវល់នៅក្នុងកម្មវិធីនិពន្ធប៉ារ៉ាម៉ែត្រ
- ចុច File ➤ រក្សាទុក និងចេញពីកម្មវិធីនិពន្ធប៉ារ៉ាម៉ែត្រដោយមិនបង្កើតប្រព័ន្ធ។ កម្មវិធីកែសម្រួលប៉ារ៉ាម៉ែត្របង្កើតការបំរែបំរួល IP របស់ external_host_pr_ip.ip file និងបន្ថែម file ទៅគម្រោង blinking_led ។ AN 991៖ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈការកំណត់រចនាសម្ព័ន្ធម្ជុល (ម៉ាស៊ីនខាងក្រៅ) ការរចនាយោង 750856 | 2022.11.14 AN 991:
- ចំណាំ៖
- a. ប្រសិនបើអ្នកកំពុងចម្លង external_host_pr_ip.ip file ពីថត pr កែសម្រួល blinking_led.qsf ដោយដៃ file ដើម្បីរួមបញ្ចូលបន្ទាត់ខាងក្រោម៖ set_global_assignment -name IP_FILE pr_ip.ip
- b. ដាក់ IP_FILE កិច្ចការបន្ទាប់ពី SDC_FILE កិច្ចការ (blinking_led. dc) នៅក្នុង blinking_led.qsf របស់អ្នក។ file. ការបញ្ជាទិញនេះធានានូវការរឹតបន្តឹងសមស្របនៃស្នូល IP របស់ឧបករណ៍បញ្ជាការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។
- ចំណាំ៖ ដើម្បីស្វែងរកនាឡិកា .sdc file សម្រាប់ PR IP ត្រូវតែធ្វើតាម .sdc ណាមួយដែលបង្កើតនាឡិកាដែលស្នូល IP ប្រើ។ អ្នកសម្របសម្រួលការបញ្ជាទិញនេះដោយធានាថា .ip file សម្រាប់ស្នូល PR IP លេចឡើងបន្ទាប់ពី .ip ណាមួយ។ files ឬ .sdc files ដែលអ្នកប្រើដើម្បីកំណត់នាឡិកាទាំងនេះនៅក្នុង .qsf file សម្រាប់ការកែប្រែគម្រោង Intel Quartus Prime របស់អ្នក។ សម្រាប់ព័ត៌មានបន្ថែម សូមមើលការណែនាំអ្នកប្រើប្រាស់ដំណោះស្រាយ IP កំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។
ធ្វើបច្ចុប្បន្នភាពការរចនាកម្រិតកំពូល
ដើម្បីធ្វើបច្ចុប្បន្នភាព top.sv file ជាមួយឧទាហរណ៍ PR_IP៖
- ដើម្បីបន្ថែមឧទាហរណ៍ External_host_pr_ip ទៅក្នុងការរចនាកម្រិតកំពូល សូមមិនបញ្ចេញមតិលើប្លុកកូដខាងក្រោមនៅក្នុង top.sv file:
និយមន័យបុគ្គល
ការរចនាឯកសារយោងនេះកំណត់បុគ្គលបីដាច់ដោយឡែកពីគ្នាសម្រាប់ភាគថាស PR តែមួយ។ ដើម្បីកំណត់ និងរួមបញ្ចូលបុគ្គលនៅក្នុងគម្រោងរបស់អ្នក៖
- បង្កើត SystemVerilog ចំនួនបី files, blinking_led.sv, blinking_led_slow.sv, និង blinking_led_empty.sv នៅក្នុងបញ្ជីការងាររបស់អ្នកសម្រាប់បុគ្គលទាំងបី។
អ្នករចនាឯកសារយោង
ចំណាំ៖
- blinking_led.sv មានរួចហើយជាផ្នែកនៃ fileអ្នកចម្លងពីថតផ្ទះល្វែង/រង។ អ្នកគ្រាន់តែអាចប្រើវាឡើងវិញបាន។ file.
- ប្រសិនបើអ្នកបង្កើត SystemVerilog files ពី Intel Quartus Prime Text Editor សូមបិទការបន្ថែម file ទៅជម្រើសគម្រោងបច្ចុប្បន្ន នៅពេលរក្សាទុក files.
ការបង្កើតការកែប្រែ
លំហូរនៃការរចនា PR ប្រើប្រាស់មុខងារកែប្រែគម្រោងនៅក្នុងកម្មវិធី Intel Quartus Prime ។ ការរចនាដំបូងរបស់អ្នកគឺជាការកែប្រែមូលដ្ឋាន ដែលអ្នកកំណត់ព្រំដែនតំបន់ឋិតិវន្ត និងតំបន់ដែលអាចកំណត់ឡើងវិញបាននៅលើ FPGA ។ ពីការកែប្រែមូលដ្ឋាន អ្នកបង្កើតការកែប្រែច្រើន។ ការកែប្រែទាំងនេះមានការអនុវត្តខុសៗគ្នាសម្រាប់តំបន់ PR ។ ទោះជាយ៉ាងណាក៏ដោយ ការកែប្រែការអនុវត្ត PR ទាំងអស់ប្រើប្រាស់ទីតាំងកម្រិតកំពូលដូចគ្នា និងលទ្ធផលកំណត់ផ្លូវពីការកែប្រែមូលដ្ឋាន។ ដើម្បីចងក្រងការរចនា PR អ្នកត្រូវតែបង្កើតការកែប្រែការអនុវត្ត PR សម្រាប់បុគ្គលនីមួយៗ។ លើសពីនេះទៀត អ្នកត្រូវតែកំណត់ប្រភេទការកែប្រែសម្រាប់ការកែប្រែនីមួយៗ។ ប្រភេទកំណែប្រែដែលមានគឺ៖
- ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - មូលដ្ឋាន
- ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល
តារាងខាងក្រោមរាយឈ្មោះការកែប្រែ និងប្រភេទការកែប្រែសម្រាប់ការកែប្រែនីមួយៗ៖
កែប្រែឈ្មោះ និងប្រភេទ
ឈ្មោះកែប្រែ | ប្រភេទការពិនិត្យឡើងវិញ |
blinking_led.qsf | ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - មូលដ្ឋាន |
blinking_led_default.qsf | ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល |
blinking_led_slow.qsf | ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល |
blinking_led_empty.qsf | ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក - ការអនុវត្តបុគ្គល |
ការកំណត់ប្រភេទកំណែប្រែមូលដ្ឋាន
- ចុច គម្រោង ➤ ការកែប្រែ។
- នៅក្នុង Revision Name ជ្រើសរើស blinking_led revision ហើយចុច Set Current។
- ចុចអនុវត្ត។ ការកែប្រែ blinking_led បង្ហាញជាកំណែបច្ចុប្បន្ន។
- ដើម្បីកំណត់ប្រភេទការកែប្រែសម្រាប់ blinking_led សូមចុច Assignments ➤ Settings ➤ General ។
- សម្រាប់ប្រភេទការកែសម្រួល សូមជ្រើសរើសការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក – មូលដ្ឋាន ហើយបន្ទាប់មកចុច យល់ព្រម។
- ផ្ទៀងផ្ទាត់ថា blinking_led.qsf ឥឡូវនេះមានកិច្ចការខាងក្រោម៖ ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
ការបង្កើតការពិនិត្យឡើងវិញនៃការអនុវត្ត
- ដើម្បីបើកប្រអប់ កែប្រែ សូមចុច គម្រោង ➤ ការកែប្រែ។
- ដើម្បីបង្កើតការកែប្រែថ្មី សូមចុចពីរដង < >
- នៅក្នុងឈ្មោះកំណែ បញ្ជាក់ blinking_led_default ហើយជ្រើសរើស blinking_led សម្រាប់ផ្អែកលើការកែប្រែ។
- សម្រាប់ប្រភេទការកែប្រែ សូមជ្រើសរើសការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក – ការអនុវត្តបុគ្គល។
ការបង្កើតការកែប្រែ
- ដូចគ្នាដែរ កំណត់ប្រភេទកំណែប្រែសម្រាប់ការកែប្រែ blinking_led_slow និង blinking_led_empty revisions។
- ផ្ទៀងផ្ទាត់ថានីមួយៗ .qsf file ឥឡូវនេះមានកិច្ចការខាងក្រោម៖ set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led where place_holder គឺជាឈ្មោះអង្គភាពលំនាំដើមសម្រាប់ការកែប្រែការអនុវត្ត PR ដែលទើបបង្កើតថ្មី។
ការកែប្រែគម្រោង
ការចងក្រងការកែប្រែមូលដ្ឋាន
- ដើម្បីចងក្រងការកែប្រែមូលដ្ឋាន សូមចុចដំណើរការ ➤ ចាប់ផ្តើមការចងក្រង។ ជាជម្រើស ពាក្យបញ្ជាខាងក្រោមចងក្រងការកែប្រែមូលដ្ឋាន៖ quartus_sh –flow compile blinking_led -c blinking_led
- ពិនិត្យប៊ីតស្ទ្រីម files ដែលបង្កើតនៅក្នុង output_files ថត។
បង្កើត Files
ឈ្មោះ | ប្រភេទ | ការពិពណ៌នា |
blinking_led.sof | ការសរសេរកម្មវិធីមូលដ្ឋាន file | ប្រើសម្រាប់ការកំណត់រចនាសម្ព័ន្ធមូលដ្ឋានឈីបពេញ |
blinking_led.pr_partition.rbf | PR bitstream file សម្រាប់បុគ្គលមូលដ្ឋាន | ប្រើសម្រាប់ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកនៃ base persona ។ |
blinking_led_static.qdb | មូលដ្ឋានទិន្នន័យ .qdb file | មូលដ្ឋានទិន្នន័យចុងក្រោយ file ប្រើដើម្បីនាំចូលតំបន់ឋិតិវន្ត។ |
ព័ត៌មានពាក់ព័ន្ធ
- “Floorplan the Partial Reconfiguration Design” នៅក្នុងការណែនាំអ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition: ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
- "ការអនុវត្តការរឹតបន្តឹងផែនការជាន់" នៅក្នុងការណែនាំអ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition: ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក
ការរៀបចំការកែប្រែការអនុវត្ត PR
អ្នកត្រូវតែរៀបចំការកែប្រែការអនុវត្ត PR មុនពេលអ្នកអាចចងក្រង និងបង្កើត PR bitstream សម្រាប់កម្មវិធីឧបករណ៍។ ការរៀបចំនេះរួមបញ្ចូលទាំងការបន្ថែមតំបន់ឋិតិវន្ត .qdb file ជាប្រភព file សម្រាប់ការពិនិត្យឡើងវិញនៃការអនុវត្តនីមួយៗ។ លើសពីនេះទៀតអ្នកត្រូវតែបញ្ជាក់អង្គភាពដែលត្រូវគ្នានៃតំបន់ PR ។
- ដើម្បីកំណត់ការកែប្រែបច្ចុប្បន្ន សូមចុច Project ➤ Revisions ជ្រើសរើស blinking_led_default ជាឈ្មោះកែប្រែ ហើយបន្ទាប់មកចុច Set Current។
- ដើម្បីផ្ទៀងផ្ទាត់ប្រភពត្រឹមត្រូវសម្រាប់ការកែប្រែការអនុវត្តនីមួយៗ សូមចុច គម្រោង ➤បន្ថែម/លុប Files នៅក្នុងគម្រោង។ The blinking_led.sv file លេចឡើងនៅក្នុង file បញ្ជី។
Fileទំព័រ
- ធ្វើជំហានទី 1 ដល់ទី 2 ម្តងទៀត ដើម្បីផ្ទៀងផ្ទាត់ប្រភពកំណែប្រែការអនុវត្តផ្សេងទៀត។ files:
ឈ្មោះការពិនិត្យឡើងវិញនៃការអនុវត្ត | ប្រភព File |
blinking_led_default | blinking_led.sv |
blinking_led_empty | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- ដើម្បីផ្ទៀងផ្ទាត់ .qdb file ភ្ជាប់ជាមួយភាគថាសជា root ចុច Assignments ➤ Design Partitions Window។ បញ្ជាក់ថាមូលដ្ឋានទិន្នន័យភាគថាស File បញ្ជាក់ blinking_led_static.qdb fileឬចុចពីរដងលើមូលដ្ឋានទិន្នន័យភាគថាស File ក្រឡាដើម្បីបញ្ជាក់នេះ។ file. ម៉្យាងទៀត ពាក្យបញ្ជាខាងក្រោមកំណត់វា។ file៖ set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- នៅក្នុងក្រឡាចងភ្ជាប់អង្គភាពឡើងវិញ បញ្ជាក់ឈ្មោះអង្គភាពនៃភាគថាស PR នីមួយៗដែលអ្នកផ្លាស់ប្តូរនៅក្នុងការពិនិត្យឡើងវិញនៃការអនុវត្ត។ សម្រាប់ការពិនិត្យឡើងវិញនៃការអនុវត្ត blinking_led_default ឈ្មោះអង្គភាពគឺ blinking_led ។ នៅក្នុងមេរៀននេះ អ្នកសរសេរជាន់ពីលើ u_blinking_led instance ពីការកែប្រែមូលដ្ឋាន ចងក្រងជាមួយ blinking_led entity ថ្មី។
ចំណាំ៖ ការចាត់តាំងការចងឡើងវិញនៃអង្គភាពកន្លែងដាក់ត្រូវបានបន្ថែមទៅការពិនិត្យឡើងវិញនៃការអនុវត្តដោយស្វ័យប្រវត្តិ។ ទោះយ៉ាងណាក៏ដោយ អ្នកត្រូវតែផ្លាស់ប្តូរឈ្មោះអង្គភាពលំនាំដើមនៅក្នុងកិច្ចការទៅជាឈ្មោះអង្គភាពដែលសមរម្យសម្រាប់ការរចនារបស់អ្នក។
ឈ្មោះការពិនិត្យឡើងវិញនៃការអនុវត្ត | ការចងឡើងវិញអង្គភាព |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_empty | blinking_led_empty |
ការចងសម្ព័ន្ធភាពឡើងវិញ
- ដើម្បីចងក្រងការរចនា សូមចុចដំណើរការ ➤ ចាប់ផ្តើមការចងក្រង។ ជាជម្រើស ពាក្យបញ្ជាខាងក្រោមចងក្រងគម្រោងនេះ៖ quartus_sh –flow compile blinking_led –c blinking_led_default
- ធ្វើជំហានខាងលើម្តងទៀត ដើម្បីរៀបចំការកែប្រែ blinking_led_slow និង blinking_led_empty: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
ចំណាំ៖ អ្នកអាចបញ្ជាក់ការកំណត់ជាក់លាក់ណាមួយរបស់ Fitter ដែលអ្នកចង់អនុវត្តក្នុងអំឡុងពេលការចងក្រងការអនុវត្ត PR ។ ការកំណត់ជាក់លាក់ Fitter ប៉ះពាល់តែភាពសមរបស់បុគ្គល ដោយមិនប៉ះពាល់ដល់តំបន់ឋិតិវន្តដែលបាននាំចូល។
ការសរសេរកម្មវិធីក្រុមប្រឹក្សាភិបាល
ការបង្រៀននេះប្រើក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA នៅលើកៅអី នៅខាងក្រៅរន្ធ PCIe* នៅក្នុងម៉ាស៊ីនម៉ាស៊ីនរបស់អ្នក។ មុនពេលអ្នករៀបចំកម្មវិធីក្តារ សូមប្រាកដថាអ្នកបានបញ្ចប់ជំហានដូចខាងក្រោមនេះ៖
- ភ្ជាប់ការផ្គត់ផ្គង់ថាមពលទៅក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA ។
- ភ្ជាប់ខ្សែទាញយក Intel FPGA រវាងរន្ធ USB កុំព្យូទ័ររបស់អ្នក និងច្រក Intel FPGA Download Cable នៅលើបន្ទះអភិវឌ្ឍន៍។
ដើម្បីដំណើរការការរចនានៅលើក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA៖
- បើកកម្មវិធី Intel Quartus Prime ហើយចុចលើ Tools ➤ Programmer ។
- នៅក្នុង Programmer ចុច Hardware Setup ហើយជ្រើសរើស USB-Blaster។
- ចុច Auto Detect ហើយជ្រើសរើសឧបករណ៍ AGFB014R24AR0។
- ចុចយល់ព្រម។ កម្មវិធី Intel Quartus Prime រកឃើញ និងធ្វើបច្ចុប្បន្នភាព Programmer ជាមួយនឹងឧបករណ៍ FPGA ទាំងបីនៅលើក្តារ។
- ជ្រើសរើសឧបករណ៍ AGFB014R24AR0 ចុចផ្លាស់ប្តូរ File ហើយផ្ទុក blinking_led_default.sof file.
- បើកកម្មវិធី/កំណត់រចនាសម្ព័ន្ធសម្រាប់ blinking_led_default.sof file.
- ចុចចាប់ផ្តើមហើយរង់ចាំរបារវឌ្ឍនភាពឈានដល់ 100% ។
- សង្កេតមើល LEDs នៅលើក្តារលោតភ្លឹបភ្លែតៗក្នុងប្រេកង់ដូចគ្នាទៅនឹងការរចនាផ្ទះល្វែងដើម។
- ដើម្បីដាក់កម្មវិធីតែតំបន់ PR ចុចខាងស្តាំលើ blinking_led_default.sof file នៅក្នុង Programmer ហើយចុច Add PR Programming File.
- ជ្រើសរើស blinking_led_slow.pr_partition.rbf file.
- បិទកម្មវិធី/កំណត់រចនាសម្ព័ន្ធសម្រាប់ blinking_led_default.sof file.
- បើកកម្មវិធី/កំណត់រចនាសម្ព័ន្ធសម្រាប់ blinking_led_slow.pr_partition.rbf file ហើយចុចចាប់ផ្តើម។ នៅលើក្តារ សង្កេត LED[0] និង LED[1] បន្តព្រិចភ្នែក។ នៅពេលដែលរបារដំណើរការឈានដល់ 100%, LED[2] និង LED[3] ព្រិចភ្នែកយឺតជាង។
- ដើម្បីរៀបចំតំបន់ PR ឡើងវិញ ចុចខាងស្តាំលើ .rbf file នៅក្នុង Programmer ហើយចុច Change PR Programing File.
- ជ្រើសរើស .rbf files សម្រាប់បុគ្គលពីរនាក់ផ្សេងទៀតដើម្បីសង្កេតមើលអាកប្បកិរិយានៅលើក្តារ។ កំពុងផ្ទុក blinking_led_default.rbf file បណ្តាលឱ្យ LEDs ភ្លឹបភ្លែតៗនៅប្រេកង់ជាក់លាក់មួយ ហើយកំពុងដំណើរការ blinking_led_empty.rbf file បណ្តាលឱ្យ LEDs បើក។
សរសេរកម្មវិធីក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA
លំហូរការធ្វើតេស្តផ្នែករឹង
លំដាប់ខាងក្រោមពិពណ៌នាអំពីលំហូរនៃការធ្វើតេស្តផ្នែករឹងនៃការរចនាយោង។
ឧបករណ៍ Intel Agilex ការដំឡើងផ្នែករឹងម៉ាស៊ីនខាងក្រៅ
កម្មវិធីជំនួយ FPGA (ម៉ាស៊ីនខាងក្រៅ)
លំដាប់ខាងក្រោមពិពណ៌នាអំពីការសរសេរកម្មវិធីជំនួយ FPGA ដែលដំណើរការជា PR ដំណើរការម៉ាស៊ីនខាងក្រៅ៖
- បញ្ជាក់ការកំណត់ចំណុចប្រទាក់ស្ទ្រីម Avalon ដែលត្រូវនឹងរបៀបដែលអ្នកជ្រើសរើស (x8, x16, ឬ x32)។
- ចាប់ផ្តើមវេទិកាដោយសរសេរកម្មវិធីជំនួយ FPGA ដោយប្រើ Intel Quartus Prime Programmer និងខ្សែកំណត់រចនាសម្ព័ន្ធដែលបានតភ្ជាប់។
- ដោយប្រើជំនួយ FPGA សូមអានសញ្ញា CONF_DONE និង AVST_READY ។ CONF_DONE គួរតែជា 0 AVST_READY គួរតែជា 1។ តក្កវិជ្ជាខ្ពស់នៅលើម្ជុលនេះបង្ហាញថា SDM រួចរាល់ក្នុងការទទួលយកទិន្នន័យពីម៉ាស៊ីនខាងក្រៅ។ លទ្ធផលនេះគឺជាផ្នែកមួយនៃ SDM I/O ។
ចំណាំ៖ ម្ជុល CONF_DONE ផ្តល់សញ្ញាដល់ម៉ាស៊ីនខាងក្រៅថា ការផ្ទេរប៊ីតស្ទ្រីមទទួលបានជោគជ័យ។ ប្រើសញ្ញាទាំងនេះដើម្បីតាមដានដំណើរការកំណត់រចនាសម្ព័ន្ធបន្ទះឈីបពេញលេញប៉ុណ្ណោះ។ សូមមើលការណែនាំអ្នកប្រើប្រាស់ការកំណត់រចនាសម្ព័ន្ធ Intel Agilex សម្រាប់ព័ត៌មានបន្ថែមអំពីម្ជុលនេះ។
រៀបចំកម្មវិធី DUT FPGA ជាមួយនឹងបន្ទះឈីបពេញលេញ SOF តាមរយៈម៉ាស៊ីនខាងក្រៅ លំដាប់ខាងក្រោមពិពណ៌នាអំពីការសរសេរកម្មវិធី DUT FPGA ជាមួយនឹងបន្ទះឈីបពេញលេញ SRAM Object File (.sof) ដោយប្រើចំណុចប្រទាក់បង្ហោះ Avalon របស់ម៉ាស៊ីន៖
- សរសេរបណ្តុំឈីបពេញទៅក្នុងអង្គចងចាំខាងក្រៅ DDR4 នៃជំនួយ FPGA (ម៉ាស៊ីនខាងក្រៅ) ។
- កំណត់រចនាសម្ព័ន្ធ DUT FPGA ជាមួយនឹងបន្ទះឈីបពេញលេញ .sof ដោយប្រើចំណុចប្រទាក់ស្ទ្រីម Avalon (x8, x16, x32) ។
- អានស្ថានភាព DUT FPGA សញ្ញាកំណត់រចនាសម្ព័ន្ធ។ CONF_DONE គួរតែជា 1, AVST_READY គួរតែជា 0។
ការកំណត់ពេលវេលា៖ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញផ្នែកខាងក្រៅ ឧបករណ៍បញ្ជា Intel FPGA IP
រៀបចំកម្មវិធី DUT FPGA ជាមួយ First Persona តាមរយៈម៉ាស៊ីនខាងក្រៅ
- អនុវត្តការបង្កកលើតំបន់ PR គោលដៅនៅក្នុង DUT FPGA ។
- ដោយប្រើ Intel Quartus Prime System Console អះអាង pr_request ដើម្បីចាប់ផ្តើមការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែក។ AVST_READY គួរតែជា 1 ។
- សរសេរ PR persona bitstream ដំបូងចូលទៅក្នុងអង្គចងចាំខាងក្រៅ DDR4 នៃជំនួយ FPGA (ម៉ាស៊ីនខាងក្រៅ) ។
- ដោយប្រើចំណុចប្រទាក់ស្ទ្រីម Avalon (x8, x16, x32) កំណត់រចនាសម្ព័ន្ធ DUT FPGA ឡើងវិញជាមួយនឹង bitstream persona ដំបូង។
- ដើម្បីតាមដានស្ថានភាព PR សូមចុច Tools ➤ System Console ដើម្បីចាប់ផ្តើម System Console។ នៅក្នុង System Console តាមដានស្ថានភាព PR៖
- pr_error គឺ 2- ការកំណត់រចនាសម្ព័ន្ធឡើងវិញកំពុងដំណើរការ។
- pr_error គឺ 3- ការកំណត់រចនាសម្ព័ន្ធឡើងវិញបានបញ្ចប់។
- អនុវត្តការមិនបង្កកនៅលើតំបន់ PR នៅក្នុង DUT FPGA ។
ចំណាំ៖ ប្រសិនបើកំហុសកើតឡើងក្នុងអំឡុងពេលប្រតិបត្តិការ PR ដូចជាការបរាជ័យក្នុងការត្រួតពិនិត្យកំណែ ឬការត្រួតពិនិត្យការអនុញ្ញាត ប្រតិបត្តិការ PR នឹងបញ្ចប់។
ព័ត៌មានពាក់ព័ន្ធ
- ការណែនាំអំពីការកំណត់រចនាសម្ព័ន្ធ Intel Agilex
- មគ្គុទ្ទេសក៍អ្នកប្រើប្រាស់ Intel Quartus Prime Pro Edition៖ ឧបករណ៍បំបាត់កំហុស
ប្រវត្តិកែប្រែឯកសារសម្រាប់ AN 991៖ ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈការកំណត់រចនាសម្ព័ន្ធម្ជុល (ម៉ាស៊ីនខាងក្រៅ) ការរចនាយោងសម្រាប់ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel Agilex F-Series FPGA
កំណែឯកសារ | កំណែ Intel Quartus Prime | ការផ្លាស់ប្តូរ |
2022.11.14 | 22.3 | • ចេញផ្សាយដំបូង។ |
AN 991: ការកំណត់រចនាសម្ព័ន្ធឡើងវិញដោយផ្នែកតាមរយៈ Configuration Pins (External Host) ការរចនាយោង៖ សម្រាប់ Intel Agilex F-Series FPGA Development Board
ចម្លើយចំពោះសំណួរដែលពេញនិយមបំផុត៖
- Q តើ PR តាមរយៈម្ជុលកំណត់រចនាសម្ព័ន្ធគឺជាអ្វី?
- A ការកំណត់រចនាសម្ព័ន្ធម៉ាស៊ីនខាងក្រៅនៅទំព័រទី 3
- Q តើខ្ញុំត្រូវការអ្វីខ្លះសម្រាប់ការរចនាឯកសារយោងនេះ?
- A សេចក្តីយោងតម្រូវការរចនានៅទំព័រ 6
- Q តើខ្ញុំអាចទទួលបានការរចនាយោងនៅឯណា?
- A សេចក្តីយោងតម្រូវការរចនានៅទំព័រ 6
- Q តើខ្ញុំអនុវត្ត PR តាមរយៈការកំណត់ខាងក្រៅដោយរបៀបណា?
- A សេចក្តីយោងដំណើរការរចនានៅទំព័រ 6
- Q តើ PR persona គឺជាអ្វី?
- A ការកំណត់បុគ្គលនៅទំព័រ 11
- Q តើខ្ញុំរៀបចំកម្មវិធីក្ដារដោយរបៀបណា?
- A កម្មវិធីក្រុមប្រឹក្សានៅទំព័រ 17
- Q តើ PR ស្គាល់បញ្ហា និងដែនកំណត់អ្វីខ្លះ?
- A វេទិកាគាំទ្រ Intel FPGA: PR
- Q តើអ្នកមានវគ្គបណ្តុះបណ្តាល PR ទេ?
- A កាតាឡុកបណ្តុះបណ្តាលបច្ចេកទេស Intel FPGA
កំណែអនឡាញ ផ្ញើមតិកែលម្អ
- លេខសម្គាល់៖ 750856
- កំណែ៖ 2022.11.14
ឯកសារ/ធនធាន
![]() |
ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Intel 750856 Agilex FPGA [pdf] ការណែនាំអ្នកប្រើប្រាស់ 750856, 750857, 750856 ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Agilex FPGA, ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ Agilex FPGA, ក្រុមប្រឹក្សាអភិវឌ្ឍន៍ FPGA, ក្រុមប្រឹក្សាអភិវឌ្ឍន៍, ក្រុមប្រឹក្សាភិបាល |