ינטעל 750856 Agilex FPGA אנטוויקלונג באָרד
פּראָדוקט אינפֿאָרמאַציע
דער רעפֿערענץ פּלאַן איז פֿאַר די Intel Agilex F-Series FPGA אנטוויקלונג באָרד. עס ניצט די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP און האט אַ פּשוט פּר געגנט. די ינטעל אַגילעקס מיטל פונדרויסנדיק האָסט ייַזנוואַרג סעטאַפּ באשטייט פון אַ פונדרויסנדיק מיטל (העלפּער FPGA), אַ DUT FPGA און דיין פונדרויסנדיק באַלעבאָס פּלאַן. דער באַלעבאָס פּלאַן אין די פונדרויסנדיק מיטל איז פאַראַנטוואָרטלעך פֿאַר האָסטינג די פּר פּראָצעס. די PR פּינס זענען גענוצט צו פאַרבינדן ביידע דעוויסעס און קענען זיין קיין בנימצא באַניצער I / Os.
פּראָדוקט באַניץ אינסטרוקציעס
פונדרויסנדיק האָסט קאַנפיגיעריישאַן
צו דורכפירן פונדרויסנדיק באַלעבאָס קאַנפיגיעריישאַן, נאָכגיין די סטעפּס:
- שאַפֿן אַ באַלעבאָס פּלאַן אין אַ פונדרויסנדיק מיטל צו באַלעבאָס דעם פּר פּראָצעס.
- קאָננעקט די פּר פּינס פון די פונדרויסנדיק מיטל צו די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאָנפיגוראַטיאָן קאָנטראָללער Intel FPGA IP אין די DUT FPGA.
- סטרים קאַנפיגיעריישאַן דאַטן פון די באַלעבאָס פּלאַן צו די Intel Agilex Avalon סטרימינג צובינד פּינס וואָס שטימען צו די PR כאַנדשאַקינג סיגנאַלז פון די IP.
פּאַרטיייש רעקאָנפיגוראַטיאָן דורך קאָנפיגוראַטיאָן פּינס אָפּעראַציע
די פאלגענדע סיקוואַנס באשרייבט די אָפּעראַציע פון פּאַרטיייש ריקאַנפיגיעריישאַן דורך קאַנפיגיעריישאַן פּינס:
- באַשטעטיקן די פּר_רעקוועסט שטיפט קאָננעקטעד צו די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP.
- די IP טענהט אַ פאַרנומען סיגנאַל צו אָנווייַזן אַז די פּר פּראָצעס איז אין פּראָגרעס (אַפּשאַנאַל).
- אויב די קאַנפיגיעריישאַן סיסטעם איז גרייט פֿאַר אַ פּר אָפּעראַציע, די avst_ready שטיפט איז באַשטעטיקט, וואָס ינדיקייץ אַז עס איז גרייט צו אָננעמען דאַטן.
- סטרים די פּר קאַנפיגיעריישאַן דאַטן איבער די avst_data פּינס און די avst_valid שטיפט, נאָך די Avalon סטרימינג ספּעסיפיקאַטיאָן פֿאַר דאַטן אַריבערפירן מיט באַקפּרעססורע.
- סטרימינג סטאַפּס ווען די אַווסט_רעאַדי שטיפט איז די-אַסערטיד.
- באַשטעטיקן דעם avst_ready שטיפט צו אָנווייַזן אַז קיין מער דאַטן זענען פארלאנגט פֿאַר די פּר אָפּעראַציע.
- די ינטעל FPGA IP קאַנטראָולער פֿאַר פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן אַנטקעגנשטעלנ די פאַרנומען סיגנאַל צו אָנווייַזן דעם סוף פון דעם פּראָצעס (אַפּשאַנאַל).
פּאַרטיייש רעקאָנפיגוראַטיאָן דורך קאָנפיגוראַטיאָן פּינס (פונדרויסנדיק האָסט) רעפערענץ פּלאַן
די אַפּלאַקיישאַן נאָטיץ דעמאַנסטרייץ פּאַרטיייש ריקאַנפיגיעריישאַן דורך קאַנפיגיעריישאַן פּינס (פונדרויסנדיק באַלעבאָס) אויף די Intel® Agilex® F-Series FPGA אַנטוויקלונג ברעט.
רעפערענץ פּלאַן איבערview
די פּאַרטיייש ריקאַנפיגיעריישאַן (PR) שטריך אַלאַוז איר צו ריקאַנפיגיער אַ טייל פון די FPGA דינאַמיקאַללי, בשעת די רוען FPGA פּלאַן האלט צו פונקציאָנירן. איר קענען מאַכן קייפל פּערסאָנאַז פֿאַר אַ באַזונדער געגנט אין דיין פּלאַן וואָס טאָן ניט פּראַל אויף אָפּעראַציע אין געביטן אַרויס דעם געגנט. די מעטאַדאַלאַדזשי איז עפעקטיוו אין סיסטעמען ווו קייפל פאַנגקשאַנז צייט-ייַנטיילן די זעלבע FPGA מיטל רעסורסן. די קראַנט ווערסיע פון די Intel Quartus® Prime Pro Edition ווייכווארג ינטראַדוסיז אַ נייַע און סימפּלאַפייד זאַמלונג לויפן פֿאַר פּאַרטיייש ריקאַנפיגיעריישאַן. דעם ינטעל אַגילעקס רעפֿערענץ פּלאַן ניצט די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP און האט אַ פּשוט פּר געגנט.
ינטעל אַגילעקס דיווייס פונדרויסנדיק האָסט ייַזנוואַרג סעטאַפּ
פונדרויסנדיק האָסט קאַנפיגיעריישאַן
אין פונדרויסנדיק באַלעבאָס קאַנפיגיעריישאַן, איר מוזן ערשטער שאַפֿן אַ באַלעבאָס פּלאַן אין אַ פונדרויסנדיק מיטל צו באַלעבאָס דעם פּר פּראָצעס, ווי Intel Agilex Device External Host Hardware Setup ווייזט. דער באַלעבאָס פּלאַן סטרימז קאַנפיגיעריישאַן דאַטן צו די Intel Agilex Avalon סטרימינג צובינד פּינס וואָס שטימען צו די PR כאַנדשאַקינג סיגנאַלז וואָס קומען פון די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP. די פּר פּינס וואָס איר נוצן צו פאַרבינדן ביידע דעוויסעס קענען זיין קיין בנימצא באַניצער I / Os.
די פאלגענדע סיקוואַנס באשרייבט די פּאַרטיייש ריקאַנפיגיעריישאַן דורך קאַנפיגיעריישאַן פּינס אָפּעראַציע:
- ערשטער באַשטעטיקן די pr_request שטיפט וואָס איז קאָננעקטעד צו די פּאַרטיאַל רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP.
- די IP טענהט אַ פאַרנומען סיגנאַל צו אָנווייַזן אַז די פּר פּראָצעס איז אין פּראָגרעס (אַפּשאַנאַל).
- אויב די קאַנפיגיעריישאַן סיסטעם איז גרייט צו אַנדערגאָו אַ פּר אָפּעראַציע, די avst_ready שטיפט איז באַשטעטיקט וואָס ינדיקייץ אַז עס איז גרייט צו אָננעמען דאַטן.
- אָנהייבן צו סטרימינג די פּר קאַנפיגיעריישאַן דאַטן איבער די avst_data פּינס און די avst_valid שטיפט, בשעת איר אָבסערווירן די Avalon סטרימינג ספּעסיפיקאַטיאָן פֿאַר דאַטן אַריבערפירן מיט באַקפּרעססורע.
- סטרימינג סטאַפּס ווען די אַווסט_רעאַדי שטיפט איז די-אַסערטיד.
- נאָך סטרימינג אַלע קאַנפיגיעריישאַן דאַטן, די אַווסט_רעאַדי שטיפט איז די-אַסערטיד צו אָנווייַזן אַז ניט מער דאַטן זענען פארלאנגט פֿאַר פּר אָפּעראַציע.
- די ינטעל FPGA IP דיזערץ פֿאַר פּאַראַל רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער די פאַרנומען סיגנאַל צו אָנווייַזן דעם סוף פון דעם פּראָצעס (אַפּשאַנאַל).
- איר קענט קאָנטראָלירן די פּינס pr_done און pr_error צו באַשטעטיקן צי די פּר אָפּעראַציע איז דורכגעקאָכט הצלחה. אויב אַ טעות אַקערז, אַזאַ ווי דורכפאַל אין ווערסיע קאָנטראָלירונג און דערלויבעניש קאָנטראָלירונג, די פּר אָפּעראַציע טערמאַנייץ.
פֿאַרבונדענע אינפֿאָרמאַציע
- Intel Agilex F-Series FPGA אנטוויקלונג קיט Web בלאַט
- Intel Agilex F-Series FPGA אנטוויקלונג קיט באַניצער גייד
- Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP
דער פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער איז פארלאנגט צו נוצן קאַנפיגיעריישאַן פּינס צו סטרימינג פּר דאַטן פֿאַר פּר אָפּעראַציע. איר מוזן פאַרבינדן אַלע די שפּיץ-מדרגה פּאָרץ פון די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP צו די pr_request שטיפט צו לאָזן די כאַנדשאַקינג פון דער באַלעבאָס מיט די זיכער מיטל פאַרוואַלטער (SDM) פֿון די האַרץ. די SDM דיטערמאַנז וואָס טייפּס פון קאַנפיגיעריישאַן פּינס צו נוצן, לויט דיין MSEL באַשטעטיקן.
פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP
פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער פּאַראַמעטער סעטטינגס
פּאַראַמעטער | ווערט | באַשרייַבונג |
געבן פאַרנומען צובינד | געבן or
דיסייבאַל |
אַלאַוז איר צו געבן אָדער דיסייבאַל די פאַרנומען צובינד, וואָס באַשטעטיקט אַ סיגנאַל צו אָנווייַזן אַז פּר פּראַסעסינג איז אין פּראָגרעס בעשאַס פונדרויסנדיק קאַנפיגיעריישאַן.
פעליקייַט באַשטעטיקן איז דיסייבאַל. |
פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער פּאָרץ
פּאָרט נאָמען | ברייט | ריכטונג | פֿונקציע |
pr_request | 1 | אַרייַנשרייַב | ינדיקייץ אַז די פּר פּראָצעס איז גרייט צו אָנהייבן. דער סיגנאַל איז אַ קאַנדוויט ניט סינטשראָנאָוס צו קיין זייגער סיגנאַל. |
פּר_ערראָר | 2 | רעזולטאַט | ינדיקייץ אַ פּאַרטיייש ריקאַנפיגיעריישאַן טעות.:
• 2'ב01—אַלגעמיינע פּר טעות • 2'ב11—ינקאַמפּאַטאַבאַל ביטסטרים טעות די סיגנאַלז זענען קאַנדויץ ניט סינטשראָנאָוס צו קיין זייגער מקור. |
פּר_דאַן | 1 | רעזולטאַט | ינדיקייץ אַז די פּר פּראָצעס איז גאַנץ. דער סיגנאַל איז אַ קאַנדוויט ניט סינטשראָנאָוס צו קיין זייגער סיגנאַל. |
start_addr | 1 | אַרייַנשרייַב | ספּעציפיצירט די אָנהייב אַדרעס פון פּר דאַטן אין אַקטיוו סיריאַל פלאַש. איר געבן דעם סיגנאַל דורך סעלעקטירן אָדער אַוואַלאָן®-סט or אַקטיוו סיריאַל פֿאַר די געבן Avalon-ST פּינס אָדער אַקטיוו סיריאַל פּינס פּאַראַמעטער. דער סיגנאַל איז אַ קאַנדוויט ניט סינטשראָנאָוס צו קיין זייגער סיגנאַל. |
באַשטעטיק | 1 | אַרייַנשרייַב | אַקטיוו הויך, סינטשראָנאָוס באַשטעטיק סיגנאַל. |
out_clk | 1 | רעזולטאַט | זייגער מקור וואָס דזשענערייץ פֿון אַן ינערלעך אַסאַלייטער. |
פאַרנומען | 1 | רעזולטאַט | די IP טענהט דעם סיגנאַל צו אָנווייַזן פּר דאַטן אַריבערפירן אין פּראָגרעס. איר געבן דעם סיגנאַל דורך סעלעקטירן געבן פֿאַר די געבן פאַרנומען צובינד פּאַראַמעטער. |
רעפערענץ פּלאַן רעקווירעמענץ
די נוצן פון דעם רעפֿערענץ פּלאַן ריקווייערז די פאלגענדע:
- ינסטאַלירונג פון די Intel Quartus Prime Pro Edition ווערסיע 22.3 מיט שטיצן פֿאַר די Intel Agilex מיטל משפּחה.
- קאַנעקשאַן צו די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט אויף דער באַנק.
- אראפקאפיע פון די פּלאַן עקסampדי בנימצא אין די פאלגענדע אָרט: https://github.com/intel/fpga-partial-reconfig.
צו אָפּלאָדירן די פּלאַן עקסampלאַ:
- דריקט קלאָון אָדער אראפקאפיע.
- דריקט אראפקאפיע פאַרשלעסלען. אַנזיפּ די fpga-partial-reconfig-master.zip file.
- נאַוויגירן צו די סובפאָלדער פון טוטאָריאַלז / agilex_external_pr_configuration צו אַקסעס די רעפֿערענץ פּלאַן.
רעפערענץ פּלאַן וואַלקטראָוגה
די פאלגענדע סטעפּס באַשרייַבן די ימפּלאַמענטיישאַן פון פּאַרטיייש ריקאַנפיגיעריישאַן דורך קאַנפיגיעריישאַן פּינס (פונדרויסנדיק באַלעבאָס) אויף די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט:
- שריט 1: געטינג סטאַרטעד
- שריט 2: שאַפֿן אַ פּלאַן צעטיילונג
- שריט 3: אַלאַקייטינג פּלייסמאַנט און רוטינג רעגיאָנס
- שריט 4: אַדינג די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער IP
- שריט 5: דיפיינינג פּערסאָנאַז
- שריט 6: קריייטינג רעוויזשאַנז
- שריט 7: קאַמפּיילינג די באַזע רעוויזיע
- שריט 8: פּריפּערינג פּר ימפּלעמענטאַטיאָן רעוויזשאַנז
- שריט 9: פּראָגראַממינג די באָרד
שריט 1: באַקומען סטאַרטעד
צו צייכענען די רעפֿערענץ פּלאַן fileס צו דיין אַרבעט סוויווע און צונויפשטעלנ די בלינקינג_לעד פלאַך פּלאַן:
- שאַפֿן אַ וועגווייַזער אין דיין אַרבעט סוויווע, agilex_pcie_devkit_blinking_led_pr.
- נאָכמאַכן די דאַונלאָודיד טוטאָריאַלז / agilex_pcie_devkit_blinking_led / פלאַך סאַב-טעקע צו דער וועגווייַזער, agilex_pcie_devkit_blinking_led_pr.
- אין די Intel Quartus Prime Pro Edition ווייכווארג, גיט File ➤ עפֿן פּראָיעקט און סעלעקטירן blinking_led.qpf.
- צו פּראָטים די כייעראַרקי פון די פלאַך פּלאַן, גיט פּראַסעסינג ➤ אָנהייב ➤ אָנהייב אַנאַליסיס & סינטעז. אַלטערנאַטיוועלי, ביי די באַפֿעלן שורה, לויפן די פאלגענדע באַפֿעל: quartus_syn blinking_led -c blinking_led
שאַפֿן אַ פּלאַן צעטיילונג
איר מוזן מאַכן פּלאַן פּאַרטישאַנז פֿאַר יעדער פּר געגנט וואָס איר ווילן צו טייל ריקאַנפיגיער. די פאלגענדע סטעפּס שאַפֿן אַ פּלאַן צעטיילונג פֿאַר די u_blinking_led בייַשפּיל.
קריייטינג דיזיין פּאַרטישאַנז
- רעכט גיט די u_blinking_led בייַשפּיל אין די Project Navigator און גיט דיזיין פּאַרטיטיאָן ➤ רעקאָנפיגוראַבלע. א פּלאַן צעטיילונג ייקאַן אויס ווייַטער צו יעדער בייַשפּיל וואָס איז באַשטימט ווי אַ צעטיילונג.
- דריקט אַסיינמאַנץ ➤ פּלאַן פּאַרטישאַנז פֿענצטער. די פֿענצטער דיספּלייז אַלע פּלאַן פּאַרטישאַנז אין די פּרויעקט.
- רעדאַגירן די צעטיילונג נאָמען אין די פּלאַן פּאַרטישאַנז פֿענצטער דורך טאָפּל-קליקינג דעם נאָמען. פֿאַר דעם רעפֿערענץ פּלאַן, רענאַמע די צעטיילונג נאָמען צו pr_partition
- באַמערקונג: ווען איר שאַפֿן אַ צעטיילונג, די Intel Quartus Prime ווייכווארג דזשענערייץ אויטאָמאַטיש אַ צעטיילונג נאָמען, באזירט אויף דעם בייַשפּיל נאָמען און כייעראַרקי דרך. דעם פעליקייַט צעטיילונג נאָמען קענען בייַטן מיט יעדער בייַשפּיל.
- צו אַרויספירן די פיינאַלייזד סטאַטיק געגנט פון די באַזע רעוויזיע צונויפשטעלנ זיך, טאָפּל-גיט די פּאָזיציע פֿאַר root_partition אין די פּאָסט לעצט עקספּאָרט. File זייַל, און טיפּ blinking_led_static. gdb.
עקספּאָרטינג פּאָסטן לעצט סנאַפּשאָט אין די פּלאַן פּאַרטישאַנז פֿענצטערבאַשטעטיקן אַז די blinking_led.qsf כּולל די פאלגענדע אַסיינמאַנץ, קאָראַספּאַנדינג צו דיין רעקאָנפיגוראַבלע פּלאַן צעטיילונג:
פֿאַרבונדענע אינפֿאָרמאַציע
"שאַפֿן דיזיין פּאַרטישאַנז" אין Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
אַלאַקייטינג פּלייסמאַנט און רוטינג געגנט פֿאַר אַ פּר צעטיילונג
פֿאַר יעדער באַזע רעוויזיע איר מאַכן, די פּר פּלאַן לויפן שטעלן די קאָראַספּאַנדינג פּערסאָנאַ האַרץ אין דיין פּר צעטיילונג געגנט. צו געפֿינען און באַשטימען די PR געגנט אין די מיטל פּלאַן פֿאַר דיין באַזע רעוויזיע:
- רעכט גיט די u_blinking_led בייַשפּיל אין די Project Navigator און גיט Logic Lock Region ➤ Create New Logic Lock Region. דער געגנט איז ארויס אין די Logic Lock Regions פֿענצטער.
- דיין פּלייסמאַנט געגנט מוזן אַרייַננעמען די בלינקינג_לעד לאָגיק. אויסקלייַבן די פּלייסמאַנט געגנט דורך לאָוקייטינג די נאָדע אין טשיפּ פּלאַננער. רעכט גיט די u_blinking_led געגנט נאָמען אין די Logic Lock Regions פֿענצטער און גיט
געפֿינען נאָדע ➤ געפֿינען אין טשיפּ פּלאַננער. די u_blinking_led געגנט איז קאָליר-קאָדעד
שפּאָן פּלאַננער נאָדע אָרט פֿאַר בלינקינג_לעד
- אין די Logic Lock Regions פֿענצטער, ספּעציפיצירן די פּלייסמאַנט געגנט קאָואָרדאַנאַץ אין די אָריגין זייַל. דער אָנהייב קאָראַספּאַנדז צו דער נידעריקער-לינקס ווינקל פון דער געגנט. פֿאַר עקסample, צו שטעלן אַ פּלייסמאַנט געגנט מיט (X1 Y1) קאָואָרדאַנאַץ ווי (163 4), ספּעציפיצירן די אָריגין ווי X163_Y4. די Intel Quartus Prime ווייכווארג קאַלקיאַלייץ אויטאָמאַטיש די (X2 Y2) קאָואָרדאַנאַץ (שפּיץ-רעכט) פֿאַר די פּלייסמאַנט געגנט, באזירט אויף די הייך און ברייט איר ספּעציפיצירן.
- באַמערקונג: דער טוטאָריאַל ניצט די (X1 Y1) קאָואָרדאַנאַץ - (163 4), און אַ הייך און ברייט פון 20 פֿאַר די פּלייסמאַנט געגנט. דעפינירן קיין ווערט פֿאַר די פּלייסמאַנט געגנט. פאַרזיכערן אַז דער געגנט קאָווערס די בלינקינג_לעד לאָגיק.
- געבן די רעזערווירט און קאָר-בלויז אָפּציעס.
- טאָפּל גיט די רוטינג קאנט אָפּציע. די Logic Lock Routing Region סעטטינגס דיאַלאָג קעסטל אויס.
- אויסקלייַבן פאַרפעסטיקט מיט יקספּאַנשאַן פֿאַר די רוטינג טיפּ. סאַלעקטינג דעם אָפּציע אויטאָמאַטיש אַסיינד אַ יקספּאַנשאַן לענג פון 2.
- באַמערקונג: די רוטינג געגנט מוזן זיין גרעסער ווי די פּלייסמאַנט געגנט, צו צושטעלן עקסטרע בייגיקייט פֿאַר די פיטער ווען דער מאָטאָר רוץ פאַרשידענע פּערסאָנאַז.
לאָגיק לאַק רעגיאָנס פֿענצטערבאַשטעטיקן אַז די blinking_led.qsf כּולל די פאלגענדע אַסיינמאַנץ, קאָראַספּאַנדינג צו דיין פלאָרפּלאַנירונג:
פֿאַרבונדענע אינפֿאָרמאַציע
"פלאָרפּלאַן די פּאַרטיייש רעקאָנפיגוראַטיאָן פּלאַן" אין Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
אַדינג די פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP
די ינטעל פפּגאַ יפּ ינטערפייסיז מיט די ינטעל אַגילעקס פּר קאָנטראָל בלאָק צו פירן די ביטסטרים מקור. איר מוזן לייגן דעם IP צו דיין פּלאַן צו ינסטרומענט פונדרויסנדיק קאַנפיגיעריישאַן. גיי די סטעפּס צו לייגן די פּאַרטיאַל רעקאָנפיגוראַטיאָן עקסטערנאַל קאַנפיגיעריישאַן קאָנטראָללער
Intel FPGA IP צו דיין פּרויעקט:
- טיפּ פּאַרטיייש רעקאָנפיגוראַטיאָן אין די IP קאַטאַלאָג זוכן פעלד (מכשירים ➤ IP קאַטאַלאָג).
- טאָפּל גיט פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאַנפיגיעריישאַן קאָנטראָללער Intel FPGA IP.
- אין די שאַפֿן IP Variant דיאַלאָג קעסטל, טיפּ external_host_pr_ip ווי די File נאָמען, און דעמאָלט גיט שאַפֿן. דער פּאַראַמעטער רעדאַקטאָר איז ארויס.
- פֿאַר די געבן פאַרנומען צובינד פּאַראַמעטער, אויסקלייַבן דיסייבאַל (די פעליקייַט באַשטעטיקן). ווען איר דאַרפֿן צו נוצן דעם סיגנאַל, איר קענען באַשטימען די באַשטעטיקן צו געבן.
געבן פאַרנומען צובינד פּאַראַמעטער אין פּאַראַמעטער עדיטאָר
- דריקט File ➤ היט און אַרויסגאַנג דעם פּאַראַמעטער רעדאַקטאָר אָן דזשענערייטינג די סיסטעם. דער פּאַראַמעטער רעדאַקטאָר דזשענערייץ די external_host_pr_ip.ip IP ווערייישאַן file און מוסיף די file צו די blinking_led פּרויעקט. אַן 991: פּאַרטיייש רעקאָנפיגוראַטיאָן דורך קאָנפיגוראַטיאָן פּינס (פונדרויסנדיק האָסט) רעפערענץ פּלאַן 750856 | 2022.11.14 אַן 991:
- באַמערקונג:
- a. אויב איר קאַפּיינג די external_host_pr_ip.ip file פֿון די פּר וועגווייַזער, מאַניואַלי רעדאַגירן די blinking_led.qsf file צו אַרייַננעמען די פאלגענדע שורה: set_global_assignment -name IP_FILE pr_ip.ip
- b. שטעלן די IP_FILE אַסיינמאַנט נאָך די SDC_FILE אַסיינמאַנץ (בלינקינג_לעד. דק) אין דיין blinking_led.qsf file. דעם אָרדערינג ינשורז צונעמען קאַנסטריינינג פון די פּאַרטיייש רעקאָנפיגוראַטיאָן קאָנטראָללער IP האַרץ.
- באַמערקונג: צו דעטעקט די זייגערס, די .sdc file פֿאַר די PR IP מוזן נאָכגיין קיין .sdc וואָס קריייץ די קלאַקס וואָס די IP האַרץ ניצט. איר פאַסילאַטייט דעם סדר דורך ינשורינג אַז די file פֿאַר די פּר יפּ האַרץ אויס נאָך קיין .יפּ files אָדער .sdc files אַז איר נוצן צו דעפינירן די קלאַקס אין די .qsf file פֿאַר דיין Intel Quartus Prime פּרויעקט רעוויזיע. פֿאַר מער אינפֿאָרמאַציע, אָפּשיקן צו די באַניצער גייד פֿאַר פּאַראַל רעקאָנפיגוראַטיאָן IP סאַלושאַנז.
אַפּדייטינג די שפּיץ-מדרגה פּלאַן
צו דערהייַנטיקן די top.sv file מיט די PR_IP בייַשפּיל:
- צו לייגן די עקסטערנאַל_האָסט_פּר_יפּ בייַשפּיל צו די שפּיץ-מדרגה פּלאַן, ונקאָממענט די פאלגענדע קאָד בלאַקס אין די top.sv file:
דיפיינינג פּערסאָנאַז
דער רעפֿערענץ פּלאַן דיפיינז דריי באַזונדער פּערסאָנאַז פֿאַר די איין פּר צעטיילונג. צו דעפינירן און אַרייַננעמען די פּערסאָנאַז אין דיין פּרויעקט:
- שאַפֿן דריי סיסטעם ווערילאָג files, blinking_led.sv, blinking_led_slow.sv, און blinking_led_empty.sv אין דיין אַרבעט וועגווייַזער פֿאַר די דריי פּערסאָנאַז.
רעפערענץ פּלאַן פּערסאָנאַז
באַמערקונג:
- blinking_led.sv איז שוין בנימצא ווי אַ טייל פון די fileס איר נאָכמאַכן פֿון די פלאַך / סאַב-דירעקטאָרי. איר קענען פשוט רייוז דעם file.
- אויב איר מאַכן די SystemVerilog fileפון די Intel Quartus Prime טעקסט עדיטאָר, דיסייבאַל די לייג file צו קראַנט פּרויעקט אָפּציע, ווען שפּאָרן די files.
קריייטינג רעוויזשאַנז
די פּר פּלאַן לויפן ניצט די פּרויעקט ריוויזשאַנז שטריך אין די Intel Quartus Prime ווייכווארג. דיין ערשט פּלאַן איז די באַזע רעוויזיע, ווו איר דעפינירן די סטאַטיק געגנט באַונדריז און רעקאָנפיגוראַבלע מקומות אויף די FPGA. פֿון דער באַזע רעוויזיע, איר מאַכן קייפל ריוויזשאַנז. די ריוויזשאַנז אַנטהאַלטן די פאַרשידענע ימפּלאַמאַנץ פֿאַר די PR מקומות. אָבער, אַלע PR ימפּלאַמענטיישאַן ריוויזשאַנז נוצן די זעלבע שפּיץ-מדרגה פּלייסמאַנט און רוטינג רעזולטאַטן פון די באַזע רעוויזיע. צו צונויפנעמען אַ PR פּלאַן, איר מוזן מאַכן אַ רעוויזיע פון PR ימפּלאַמענטיישאַן פֿאַר יעדער פּערסאָנאַ. אין אַדישאַן, איר מוזן באַשטימען רעוויזיע טייפּס פֿאַר יעדער פון די ריוויזשאַנז. די בנימצא רעוויזיע טייפּס זענען:
- פּאַרטיייש רעקאָנפיגוראַטיאָן - באַזע
- פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן
די פאלגענדע טיש ליסטעד די רעוויזיע נאָמען און די רעוויזיע טיפּ פֿאַר יעדער פון די ריוויזשאַנז:
רעוויזיע נעמען און טייפּס
רעוויזיע נאָמען | רעוויזיע טיפּ |
blinking_led.qsf | פּאַרטיייש רעקאָנפיגוראַטיאָן - באַזע |
blinking_led_default.qsf | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
blinking_led_slow.qsf | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
blinking_led_empty.qsf | פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן |
באַשטעטיקן די באַזע רעוויזיע טיפּ
- דריקט Project ➤ Revisions.
- אין רעוויזיע נאָמען, אויסקלייַבן די בלינקינג_לעד רעוויזיע, און דעמאָלט גיט שטעלן קראַנט.
- דריקט צולייגן. די blinking_led רעוויזיע דיספּלייז ווי די קראַנט רעוויזיע.
- צו שטעלן די רעוויזיע טיפּ פֿאַר blinking_led, גיט אַסיינמאַנץ ➤ סעטטינגס ➤ אַלגעמיינע.
- פֿאַר רעוויזיע טיפּ, אויסקלייַבן פּאַרטיייש רעקאָנפיגוראַטיאָן - באַזע, און דעמאָלט גיט OK.
- באַשטעטיקן אַז די blinking_led.qsf איצט כּולל די פאלגענדע אַסיינמאַנט: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
קריייטינג ימפּלעמענטאַטיאָן רעוויזשאַנז
- צו עפענען די רעוויזשאַנז דיאַלאָג קעסטל, גיט Project ➤ Revisions.
- צו שאַפֿן אַ נייַע רעוויזיע, טאָפּל-גיט < >.
- אין רעוויזיע נאָמען, ספּעציפיצירן blinking_led_default און סעלעקטירן blinking_led פֿאַר באַזירט אויף רעוויזיע.
- פֿאַר די רעוויזיע טיפּ, סעלעקטירן פּאַרטיייש רעקאָנפיגוראַטיאָן - פּערסאָנאַ ימפּלעמענטאַטיאָן.
קריייטינג רעוויזשאַנז
- סימילאַרלי, שטעלן די רעוויזיע טיפּ פֿאַר blinking_led_slow און blinking_led_empty ריוויזשאַנז.
- באַשטעטיקן אַז יעדער .קספ file איצט כּולל די פאלגענדע אַסיינמאַנט: set_global_assignment -נאָמען REVISION_TYPE PR_IMPL set_instance_assignment -נאָמען ENTITY_REBINDING \ place_holder -to u_blinking_led ווו, אָרט_האָלדער איז די פעליקייַט ענטיטי נאָמען פֿאַר די ניי באשאפן פּר ימפּלאַמענטיישאַן רעוויזיע.
פּראָיעקט רעוויזשאַנז
קאַמפּיילינג די באַזע רעוויזיע
- צו זאַמלען די באַזע רעוויזיע, גיט פּראַסעסינג ➤ אָנהייב קאָמפּילאַטיאָן. אַלטערנאַטיוועלי, די פאלגענדע באַפֿעל קאַמפּיילז די באַזע רעוויזיע: quartus_sh –flow compile blinking_led -c blinking_led
- קאָנטראָלירן די ביטסטרים files וואָס דזשענערייט אין די רעזולטאַט_files וועגווייַזער.
דזשענערייטאַד Files
נאָמען | טיפּ | באַשרייַבונג |
blinking_led.sof | באַזע פּראָגראַממינג file | געניצט פֿאַר פול-שפּאָן באַזע קאַנפיגיעריישאַן |
blinking_led.pr_partition.rbf | PR ביטסטרים file פֿאַר די באַזע פּערסאָנאַ | געניצט פֿאַר פּאַרטיייש ריקאַנפיגיעריישאַן פון באַזע פּערסאָנאַ. |
blinking_led_static.qdb | .qdb דאַטאַבייס file | פינאַליזעד דאַטאַבייס file געניצט צו אַרייַנפיר די סטאַטיק געגנט. |
פֿאַרבונדענע אינפֿאָרמאַציע
- "פלאָרפּלאַן די פּאַרטיייש רעקאָנפיגוראַטיאָן פּלאַן" אין Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
- "אַפּפּלייינג פלאָרפּלאַן קאַנסטריינץ ינקרעמענטאַלי" אין Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
פּריפּערינג פּר ימפּלעמענטאַטיאָן רעוויזשאַנז
איר מוזן צוגרייטן די PR ימפּלאַמענטיישאַן ריוויזשאַנז איידער איר קענען צונויפנעמען און דזשענערייט די PR ביטסטרים פֿאַר מיטל פּראָגראַממינג. דעם סעטאַפּ כולל אַדינג די סטאַטיק געגנט .qdb file ווי דער מקור file פֿאַר יעדער ימפּלאַמענטיישאַן רעוויזיע. אין דערצו, איר מוזן ספּעציפיצירן די קאָראַספּאַנדינג ענטיטי פון די PR געגנט.
- צו שטעלן דעם קראַנט רעוויזיע, גיט פּראָיעקט ➤ רעוויזשאַנז, אויסקלייַבן blinking_led_default ווי די רעוויזיע נאָמען, און דעמאָלט גיט שטעלן קראַנט.
- צו באַשטעטיקן די ריכטיק מקור פֿאַר יעדער ימפּלאַמענטיישאַן רעוויזיע, גיט פּראָיעקט ➤ לייג / אַראָפּנעמען Fileס אין פּראָיעקט. די blinking_led.sv file ארויס אין די file רשימה.
FilesPage
- איבערחזרן סטעפּס 1 צו 2 צו באַשטעטיקן די אנדערע ימפּלאַמענטיישאַן רעוויזיע מקור files:
ימפּלעמענטאַטיאָן רעוויזיע נאָמען | מקור File |
blinking_led_default | blinking_led.sv |
blinking_led_empty | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- צו באַשטעטיקן די .qdb file פֿאַרבונדן מיט דער וואָרצל צעטיילונג, גיט אַסיינמאַנץ ➤ פּלאַן פּאַרטישאַנז פֿענצטער. באַשטעטיקן אַז די צעטיילונג דאַטאַבאַסע File ספּעציפיצירט די blinking_led_static.qdb file, אָדער טאָפּל-גיט די צעטיילונג דאַטאַבאַסע File צעל צו ספּעציפיצירן דעם file. אַלטערנאַטיוועלי, די פאלגענדע באַפֿעל אַסיינז דעם file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- אין די ענטיטי רי-ביינדינג צעל, ספּעציפיצירן די ענטיטי נאָמען פון יעדער פּר צעטיילונג אַז איר טוישן אין די ימפּלאַמענטיישאַן רעוויזיע. פֿאַר די ימפּלאַמענטיישאַן רעוויזיע פון blinking_led_default, די ענטיטי נאָמען איז blinking_led. אין דעם טוטאָריאַל, איר אָווועררייט די u_blinking_led בייַשפּיל פֿון די באַזע רעוויזיע צונויפשטעלנ זיך מיט די נייַע blinking_led ענטיטי.
באַמערקונג: א ריביינדינג אַסיינמאַנט פון אַ פּלאַץהאָלדער ענטיטי איז אויטאָמאַטיש צוגעגעבן צו די ימפּלאַמענטיישאַן רעוויזיע. אָבער, איר מוזן טוישן די פעליקייַט ענטיטי נאָמען אין די אַסיינמאַנט צו אַ צונעמען ענטיטי נאָמען פֿאַר דיין פּלאַן.
ימפּלעמענטאַטיאָן רעוויזיע נאָמען | ענטיטי שייַעך-ביינדינג |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_empty | blinking_led_empty |
ענטיטי ריבינדינג
- צו זאַמלען די פּלאַן, גיט פּראַסעסינג ➤ אָנהייב קאָמפּילאַטיאָן. אַלטערנאַטיוועלי, די פאלגענדע באַפֿעל קאַמפּיילז דעם פּרויעקט: quartus_sh –flow compile blinking_led –c blinking_led_default
- איבערחזרן די אויבן סטעפּס צו צוגרייטן blinking_led_slow און blinking_led_empty ריוויזשאַנז: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
באַמערקונג: איר קענען ספּעציפיצירן קיין Fitter ספּעציפיש סעטטינגס וואָס איר ווילן צו צולייגן בעשאַס די פּר ימפּלאַמענטיישאַן זאַמלונג. פיטער ספּעציפיש סעטטינגס פּראַל בלויז די פּאַסיק פון די פּערסאָנאַ, אָן אַפעקטינג די ימפּאָרטיד סטאַטיק געגנט.
פּראָגראַממינג די באָרד
דער טוטאָריאַל ניצט אַן Intel Agilex F-Series FPGA אַנטוויקלונג ברעט אויף דער באַנק, אַרויס פון די PCIe * שפּעלטל אין דיין באַלעבאָס מאַשין. איידער איר פּראָגראַם די ברעט, מאַכן זיכער אַז איר האָבן דורכגעקאָכט די פאלגענדע סטעפּס:
- פאַרבינדן די מאַכט צושטעלן צו די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט.
- פאַרבינדן די Intel FPGA אראפקאפיע קאַבלע צווישן דיין פּיסי וסב פּאָרט און די Intel FPGA אראפקאפיע קאַבלע פּאָרט אויף די אַנטוויקלונג ברעט.
צו לויפן דעם פּלאַן אויף די Intel Agilex F-Series FPGA אַנטוויקלונג ברעט:
- עפֿן די Intel Quartus Prime ווייכווארג און גיט מכשירים ➤ פּראָגראַמיסט.
- אין די פּראָגראַמיסט, גיט Hardware Setup און סעלעקטירן USB-Blaster.
- דריקט אַוטאָ דעטעקט און סעלעקטירן דעם מיטל, AGFB014R24AR0.
- דריקט OK. די Intel Quartus Prime ווייכווארג דיטעקץ און דערהייַנטיקט די פּראָגראַמיסט מיט די דריי FPGA דעוויסעס אויף דעם ברעט.
- אויסקלייַבן די AGFB014R24AR0 מיטל, גיט טוישן File און לאָדן די blinking_led_default.sof file.
- געבן פּראָגראַם / קאַנפיגיער פֿאַר blinking_led_default.sof file.
- דריקט אָנהייב און וואַרטן ביז די פּראָגרעס באַר צו דערגרייכן 100%.
- אָבסערווירן די לעדס אויף די ברעט בלינקינג אין דער זעלביקער אָפטקייַט ווי דער אָריגינעל פלאַך פּלאַן.
- צו פּראָגראַם בלויז די פּר געגנט, רעכט גיט די blinking_led_default.sof file אין די פּראָגראַמיסט און גיט לייג פּר פּראָגראַממינג File.
- אויסקלייַבן די blinking_led_slow.pr_partition.rbf file.
- דיסייבאַל פּראָגראַם / קאַנפיגיער פֿאַר blinking_led_default.sof file.
- געבן פּראָגראַם / קאַנפיגיער פֿאַר blinking_led_slow.pr_partition.rbf file און גיט אָנהייב. אויף די ברעט, אָבסערווירן די LED [0] און געפירט [1] פאָרזעצן צו פּינטלען. ווען די פּראָגרעס באַר ריטשאַז 100%, געפירט [2] און געפירט [3] בלינק סלאָוער.
- צו ריפּראָגראַם די פּר געגנט, רעכט גיט די .רבף file אין די פּראָגראַמיסט און גיט טוישן פּר פּראָגראַממינג File.
- אויסקלייַבן די .rbf fileס פֿאַר די אנדערע צוויי פּערסאָנאַז צו אָבסערווירן די נאַטור אויף דעם ברעט. לאָודינג די blinking_led_default.rbf file ז די לעדס צו בלינקען אין אַ ספּעציפיש אָפטקייַט, און לאָודינג די blinking_led_empty.rbf file ז די לעדס צו בלייַבן אויף.
פּראָגראַממינג די Intel Agilex F-Series FPGA אנטוויקלונג באָרד
ייַזנוואַרג טעסטינג פלאָו
די פאלגענדע סיקוואַנסיז באַשרייַבן די רעפֿערענץ פּלאַן ייַזנוואַרג טעסטינג לויפן.
ינטעל אַגילעקס דיווייס פונדרויסנדיק האָסט ייַזנוואַרג סעטאַפּ
פּראָגראַם די העלפּער FPGA (פונדרויסנדיק האָסט)
די פאלגענדע סיקוואַנס באשרייבט פּראָגראַממינג די העלפּער פפּגאַ וואָס אַפּערייץ ווי די פונדרויסנדיק באַלעבאָס פון PR פּראָצעס:
- ספּעציפיצירן די Avalon סטרימינג צובינד באַשטעטיקן וואָס קאָראַספּאַנדז מיט די מאָדע איר סעלעקטירן (x8, x16 אָדער x32).
- יניטיאַליזירן די פּלאַטפאָרמע דורך פּראָגראַממינג די העלפּער FPGA ניצן די Intel Quartus Prime פּראָגראַמיסט און קאָננעקטעד קאַנפיגיעריישאַן קאַבלע.
- ניצן די העלפער FPGA, לייענען די CONF_DONE און AVST_READY סיגנאַלז. CONF_DONE זאָל זיין 0, AVST_READY זאָל זיין 1. לאָגיק הויך אויף דעם שטיפט ינדיקייץ די SDM איז גרייט צו אָננעמען דאַטן פון אַ פונדרויסנדיק באַלעבאָס. דער רעזולטאַט איז אַ טייל פון די SDM I/O.
באַמערקונג: די CONF_DONE שטיפט סיגנאַלז אַ פונדרויסנדיק באַלעבאָס אַז ביטסטרעאַם אַריבערפירן איז געראָטן. ניצן די סיגנאַלז בלויז צו מאָניטאָר די פול שפּאָן קאַנפיגיעריישאַן פּראָצעס. אָפּשיקן צו די Intel Agilex קאַנפיגיעריישאַן באַניצער גייד פֿאַר מער אינפֿאָרמאַציע וועגן דעם שטיפט.
פּראָגראַם די DUT FPGA מיט גאַנץ שפּאָן SOF דורך פונדרויסנדיק האָסט די פאלגענדע סיקוואַנס באשרייבט פּראָגראַממינג די DUT FPGA מיט די פול שפּאָן SRAM אָבדזשעקט File (.סאָף) ניצן די באַלעבאָס Avalon סטרימינג צובינד:
- שרייב די פול שפּאָן ביטסטרים אין די DDR4 פונדרויסנדיק זכּרון פון די העלפּער FPGA (פונדרויסנדיק באַלעבאָס).
- קאַנפיגיער די DUT FPGA מיט די פול שפּאָן .סאָף ניצן די Avalon סטרימינג צובינד (x8, x16, x32).
- לייענען די סטאַטוס DUT FPGA קאַנפיגיעריישאַן סיגנאַלז. CONF_DONE זאָל זיין 1, AVST_READY זאָל זיין 0.
טיימינג ספּעסאַפאַקיישאַנז: פּאַרטיייש רעקאָנפיגוראַטיאָן פונדרויסנדיק קאָנטראָללער Intel FPGA IP
פּראָגראַם די DUT FPGA מיט דער ערשטער פּערסאָנאַ דורך פונדרויסנדיק האָסט
- צולייגן די פרירן אויף די ציל פּר געגנט אין די DUT FPGA.
- ניצן די Intel Quartus Prime System Console, באַשטעטיקן pr_request צו אָנהייבן די פּאַרטיייש ריקאַנפיגיעריישאַן. AVST_READY זאָל זיין 1.
- שרייב דער ערשטער פּר פּערסאָנאַ ביטסטרים אין די DDR4 פונדרויסנדיק זכּרון פון די העלפער FPGA (פונדרויסנדיק באַלעבאָס).
- ניצן Avalon סטרימינג צובינד (x8, x16, x32), ריקאַנפיגיער די DUT FPGA מיט דער ערשטער פּערסאָנאַ ביטסטרים.
- צו מאָניטאָר די פּר סטאַטוס, גיט מכשירים ➤ סיסטעם קאַנסאָול צו קאַטער סיסטעם קאַנסאָול. אין סיסטעם קאַנסאָול, מאָניטאָר די פּר סטאַטוס:
- pr_error איז 2 - ריקאַנפיגיעריישאַן אין פּראָצעס.
- pr_error איז 3 - ריקאַנפיגיעריישאַן איז גאַנץ.
- צולייגן ונפרעעזע אויף די PR געגנט אין די DUT FPGA.
באַמערקונג: אויב אַ טעות אַקערז בעשאַס PR אָפּעראַציע, אַזאַ ווי דורכפאַל אין ווערסיע קאָנטראָלירונג אָדער דערלויבעניש קאָנטראָלירונג, די PR אָפּעראַציע טערמאַנייץ.
פֿאַרבונדענע אינפֿאָרמאַציע
- ינטעל אַגילעקס קאַנפיגיעריישאַן באַניצער גייד
- Intel Quartus Prime Pro Edition באַניצער גייד: דיבוג מכשירים
דאָקומענט רעוויזיע געשיכטע פֿאַר אַן 991: פּאַרטיייש רעקאָנפיגוראַטיאָן דורך קאָנפיגוראַטיאָן פּינס (פונדרויסנדיק האָסט) רעפערענץ פּלאַן פֿאַר Intel Agilex F-Series FPGA אנטוויקלונג באָרד
דאָקומענט ווערסיע | Intel Quartus Prime ווערסיע | ענדערונגען |
2022.11.14 | 22.3 | • ערשט מעלדונג. |
אַן 991: פּאַרטיייש רעקאָנפיגוראַטיאָן דורך קאָנפיגוראַטיאָן פּינס (פונדרויסנדיק האָסט) רעפערענץ פּלאַן: פֿאַר Intel Agilex F-Series FPGA אנטוויקלונג באָרד
ענטפֿערס צו די מערסט פאָלקס שאלות:
- Q וואָס איז פּר דורך קאַנפיגיעריישאַן פּינס?
- A פונדרויסנדיק האָסט קאַנפיגיעריישאַן אויף בלאַט 3
- Q וואָס טאָן איך דאַרפֿן פֿאַר דעם רעפֿערענץ פּלאַן?
- A רעפערענץ פּלאַן רעקווירעמענץ אויף בלאַט 6
- Q ווו קען איך באַקומען די רעפֿערענץ פּלאַן?
- A רעפערענץ פּלאַן רעקווירעמענץ אויף בלאַט 6
- Q ווי טאָן איך דורכפירן פּר דורך פונדרויסנדיק קאַנפיגיעריישאַן?
- A רעפערענץ פּלאַן וואַלקטראָוגה אויף בלאַט 6
- Q וואָס איז אַ PR פּערסאָנאַ?
- A דעפינירן פּערסאָנאַז אויף בלאַט 11
- Q ווי אַזוי טאָן איך פּראָגראַם דעם ברעט?
- A פּראָגראַם די באָרד אויף בלאַט 17
- Q וואָס זענען די PR באַוווסט ישוז און לימיטיישאַנז?
- A ינטעל פפּגאַ שטיצן גרופּעס: פּר
- Q צי איר האָבן טריינינג אויף PR?
- A ינטעל FPGA טעכניש טראַינינג קאַטאַלאָג
אָנליין ווערסיע שיקן באַמערקונגען
- ID: 750856
- ווערסיע: 2022.11.14
דאָקומענטן / רעסאָורסעס
![]() |
ינטעל 750856 Agilex FPGA אנטוויקלונג באָרד [pdfבאַניצער גייד 750856, 750857, 750856 Agilex FPGA אנטוויקלונג באָרד, Agilex FPGA אנטוויקלונג באָרד, FPGA אנטוויקלונג באָרד, אנטוויקלונג באָרד, באָרד |