Intel 750856 Agilex FPGA Development Board
Ulwazi Lomkhiqizo
Lo mklamo wereferensi owe-Intel Agilex F-Series FPGA Development Board. Isebenzisa Isilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP futhi inesifunda esilula se-PR. I-Intel Agilex Device External Host Hardware Setup iqukethe idivayisi yangaphandle (Helper FPGA), i-DUT FPGA, kanye nomklamo wakho womsingathi wangaphandle. Idizayini yomsingathi kudivayisi yangaphandle inesibopho sokusingatha inqubo ye-PR. Izikhonkwane ze-PR zisetshenziselwa ukuxhuma zombili izisetshenziswa futhi zingaba yinoma yimuphi umsebenzisi otholakalayo we-I/Os.
Imiyalo yokusetshenziswa komkhiqizo
Ukucushwa Kokusingatha Kwangaphandle
Ukuze wenze ukucushwa komsingathi wangaphandle, landela lezi zinyathelo:
- Dala idizayini yosokhaya kudivayisi yangaphandle ukuze isingathe inqubo ye-PR.
- Xhuma izikhonkwane ze-PR kusuka kudivayisi yangaphandle ukuya Kusilawuli Sokucushwa Kwangaphandle Sokuhlela Ingxenye ye-Intel FPGA IP ku-DUT FPGA.
- Sakaza idatha yokucushwa isuka kumklamo wosokhaya iye kumaphini okusakaza e-Intel Agilex Avalon ahambisana namasiginali we-PR wokuxhawulana asuka ku-IP.
Ukumisa Kabusha Ingxenye Ngokusebenza Kwezikhonkwane Zokumisa
Uchungechunge olulandelayo luchaza ukusebenza kokulungiswa kabusha kwengxenye ngezikhonkwane zokumisa:
- Funa iphinikhodi ye-pr_request exhunywe Kusilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP.
- I-IP igomela isignali ematasa ukukhombisa ukuthi inqubo ye-PR iyaqhubeka (kuyakhetheka).
- Uma isistimu yokumisa ilungele ukusebenza kwe-PR, iphinikhodi ye-avst_ready iyagonyelwa, okubonisa ukuthi isilungele ukwamukela idatha.
- Sakaza idatha yokucushwa kwe-PR phezu kwamaphinikhodi we-avst_data kanye nephinikhodi engu-avst_valid, ulandela ukucaciswa kokusakaza kwe-Avalon kokudluliswa kwedatha ngokucindezela okungemuva.
- Ukusakaza-bukhoma kuyama uma iphinikhodi ye-avst_ready ingagonyiwe.
- Susa iphinikhodi ye-avst_ready ukuze ubonise ukuthi ayisekho idatha edingekayo ekusebenzeni kwe-PR.
- Isilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP sisusa isignali ematasa ukukhombisa ukuphela kwenqubo (kuyakhetheka).
Ukumisa Kabusha Ingxenye Ngezikhonkwane Zokumisa (Umsingathi Wangaphandle) Idizayini Yereferensi
Leli nothi lohlelo lokusebenza libonisa ukulungiswa kabusha okuyingxenye ngezikhonkwane zokumisa (umsingathi wangaphandle) ebhodini lokuthuthukisa le-Intel® Agilex® F-Series FPGA.
Idizayini yesithenjwa iphelileview
Isici sokulungiswa kabusha kwengxenye (PR) sikuvumela ukuthi ulungise kabusha ingxenye ye-FPGA ngamandla, kuyilapho umklamo osele we-FPGA uqhubeka nokusebenza. Ungakha abantu abaningi besifunda esithile ekwakhiweni kwakho abangathinti ukusebenza ezindaweni ezingaphandle kwalesi sifunda. Le ndlela yokusebenza iyasebenza kumasistimu lapho imisebenzi eminingi yabelana ngesikhathi ngezinsiza ezifanayo zedivayisi ye-FPGA. Inguqulo yamanje yesofthiwe ye-Intel Quartus® Prime Pro Edition yethula ukugeleza kokuhlanganiswa okusha nokwenza lula ukuze kulungiselelwe kabusha ingxenye. Le dizayini yesithenjwa ye-Intel Agilex isebenzisa Isilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP futhi inesifunda esilula se-PR.
Isethaphu ye-Hardware ye-Intel Agilex Yedivayisi Yangaphandle
Ukucushwa Kokusingatha Kwangaphandle
Ekucushweni komsingathi wangaphandle, kufanele uqale udale idizayini yosokhaya kudivayisi yangaphandle ukuze usongethe inqubo ye-PR, njengoba kubonisa Ukusethwa kwe-Intel Agilex Yedivayisi Yokusingatha Izingxenyekazi Ezingaphandle. Idizayini yomsingathi isakaza idatha yokucushwa kumaphini we-Intel Agilex Avalon okusakaza bukhoma ahambisana namasiginali okuxhawulana kwe-PR avela Kusilawuli Sokucushwa Kwangaphandle Kokucushwa Kwengxenye ye-Intel FPGA IP. Amaphinikhodi e-PR owasebenzisayo ukuxhuma womabili amadivayisi angaba yinoma yimuphi umsebenzisi otholakalayo we-I/Os.
Uchungechunge olulandelayo luchaza ukumiswa kabusha kwengxenye ngokusebenza kwezikhonkwane zokumisa:
- Okokuqala gomela iphinikhodi ye-pr_request exhunywe Kusilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP.
- I-IP igomela isignali ematasa ukukhombisa ukuthi inqubo ye-PR iyaqhubeka (kuyakhetheka).
- Uma isistimu yokumisa isilungele ukwenziwa umsebenzi we-PR, iphinikhodi ye-avst_ready iyagonyelwa ebonisa ukuthi isilungele ukwamukela idatha.
- Qala ukusakaza-bukhoma idatha yokucushwa kwe-PR phezu kwamaphinikhodi we-avst_data kanye nephinikhodi ye-avst_valid, kuyilapho ubheka ukucaciswa kokusakaza kwe-Avalon ukuze kudluliselwe idatha ngokucindezela okusemuva.
- Ukusakaza-bukhoma kuyama noma nini lapho iphinikhodi ye-avst_ready ingagonyelwa.
- Ngemva kokusakaza yonke idatha yokucushwa, iphinikhodi ye-avst_ready ayigonyelwa ukukhombisa ukuthi ayisekho idatha edingekayo ekusebenzeni kwe-PR.
- Isilawuli Sokulungiselelwa Kabusha Kwangaphandle kwe-Intel FPGA IP sidayisela isiginali ematasa ukukhombisa ukuphela kwenqubo (kuyakhetheka).
- Ungahlola amaphinikhodi okuthi pr_done kanye ne-pr_error ukuze uqinisekise ukuthi umsebenzi we-PR uqede ngempumelelo yini. Uma kwenzeka iphutha, njengokwehluleka ekuhlolweni kwenguqulo nokuhlolwa kokugunyazwa, ukusebenza kwe-PR kuyanqanyulwa.
Ulwazi Oluhlobene
- Intel Agilex F-Series FPGA Development Kit Web Ikhasi
- Intel Agilex F-Series FPGA Development Kit User Guide
- Umhlahlandlela Womsebenzisi we-Intel Quartus Prime Pro Edition: Ukumiswa Kabusha Okuyingxenye
Ukumisa Kabusha Ingxenye Isilawuli Sokucushwa Kwangaphandle Intel FPGA IP
Isilawuli Sokulungiselela Sangaphandle Sokuhlela Kabusha siyadingeka ukuze usebenzise izikhonkwane zokumisa ukuze usakaze idatha ye-PR ukuze usebenze. Kumelwe uxhume zonke izimbobo zezinga eliphezulu Zesilawuli Sokulungiselelwa Kabusha Sokucushwa Kwangaphandle kwe-Intel FPGA IP kuphinikhodi ye-pr_request ukuze uvumele ukuxhawula komsingathi nomphathi wedivayisi evikelekile (SDM) kusukela phakathi. I-SDM inquma ukuthi yiziphi izinhlobo zezikhonkwane zokumisa okufanele zisetshenziswe, ngokuya ngokulungiselelwa kwakho kwe-MSEL.
Ukumisa Kabusha Ingxenye Isilawuli Sokucushwa Kwangaphandle Intel FPGA IP
Ukucushwa Kabusha Kwengxenye Izilungiselelo Zepharamitha Yesilawuli Sokucushwa Kwangaphandle
Ipharamitha | Inani | Incazelo |
Nika amandla isixhumi esibonakalayo esimatasa | Nika amandla or
Khubaza |
Ikuvumela ukuthi Unike amandla noma Ukhubaze isixhumi esibonakalayo esimatasa, esigomela isignali ukukhombisa ukuthi ukucutshungulwa kwe-PR kuyaqhubeka phakathi nokucushwa kwangaphandle.
Isilungiselelo esizenzakalelayo sithi Khubaza. |
Ingxenye Yokucushwa Kabusha Izimbobo Zesilawuli Sangaphandle
Igama Lembobo | Ububanzi | Isiqondiso | Umsebenzi |
pr_request | 1 | Okokufaka | Ibonisa ukuthi inqubo ye-PR isilungele ukuqala. Isignali iyipayipi elingavumelanisi nanoma iyiphi isignali yewashi. |
pr_iphutha | 2 | Okukhiphayo | Ibonisa iphutha elincane lokusetha kabusha.:
• 2'b01—iphutha le-PR elivamile • 2'b11—iphutha le-bitstream elingahambelani Lawa masignali angamapayipi angavumelanisi kunoma yimuphi umthombo wewashi. |
kwenziwe | 1 | Okukhiphayo | Ibonisa ukuthi inqubo ye-PR isiphelile. Isignali iyipayipi elingavumelanisi nanoma iyiphi isignali yewashi. |
qala_addr | 1 | Okokufaka | Icacisa ikheli lokuqala ledatha ye-PR ku-Active Serial Flash. Unika amandla lesi siginali ngokukhetha noma yikuphi I-Avalon®-ST or I-serial esebenzayo Okwe Nika amandla amaphini we-Avalon-ST noma amaphinikhodi asebenzayo ipharamitha. Isignali iyipayipi elingavumelanisi nanoma iyiphi isignali yewashi. |
setha kabusha | 1 | Okokufaka | Isiginali yokusetha kabusha ephezulu, ehambisanayo. |
phuma_clk | 1 | Okukhiphayo | Umthombo wewashi okhiqiza nge-oscillator yangaphakathi. |
umatasatasa | 1 | Okukhiphayo | I-IP igomela lesi siginali ukukhombisa ukudluliswa kwedatha ye-PR kuyaqhubeka. Unika amandla lesi siginali ngokukhetha Nika amandla Okwe Nika amandla isixhumi esibonakalayo esimatasa ipharamitha. |
Izidingo Zomklamo Oyisethenjwa
Ukusetshenziswa kwalo mklamo wereferensi kudinga lokhu okulandelayo:
- Ukufakwa kwenguqulo ye-Intel Quartus Prime Pro Edition 22.3 ngokwesekwa komndeni wedivayisi ye-Intel Agilex.
- Ukuxhumana nebhodi lokuthuthukisa i-Intel Agilex F-Series FPGA ebhentshini.
- Ukulandwa komklamo exampiyatholakala endaweni elandelayo: https://github.com/intel/fpga-partial-reconfig.
Ukulanda umklamo example:
- Chofoza okuthi Clone noma landa.
- Chofoza Landa i-ZIP. Vula i-fpga-partial-reconfig-master.zip file.
- Zulazulela kufolda engaphansi yokufundisa/agilex_external_pr_configuration ukuze ufinyelele idizayini yesithenjwa.
I-Reference Design Walkthrough
Izinyathelo ezilandelayo zichaza ukuqaliswa kokucushwa kabusha okuyingxenye ngezikhonkwane zokumisa (umsingathi wangaphandle) ebhodini lokuthuthukisa le-Intel Agilex F-Series FPGA:
- Isinyathelo 1: Ukuqalisa
- Isinyathelo 2: Ukudala I-Design Partition
- Isinyathelo 3: Ukwaba Izifunda Zokubekwa Nomzila
- Isinyathelo 4: Ukwengeza Ingxenye Yesilawuli Sesilawuli Sokucushwa Kwangaphandle
- Isinyathelo 5: Ukuchaza Abantu
- Isinyathelo 6: Ukudala Izibuyekezo
- Isinyathelo 7: Ukuhlanganisa Ukubuyekezwa Kwesisekelo
- Isinyathelo 8: Ukulungiselela Ukubuyekezwa Kokusetshenziswa Kwe-PR
- Isinyathelo 9: Ukuhlela Ibhodi
Isinyathelo 1: Ukuqalisa
Ukukopisha idizayini yesithenjwa files endaweni yakho yokusebenza futhi uhlanganise idizayini yeflethi e-blinking_led:
- Dala uhla lwemibhalo endaweni yakho yokusebenza, agilex_pcie_devkit_blinking_led_pr.
- Kopisha ifolda yokufundisa elandiwe/agilex_pcie_devkit_blinking_led/flat kumkhombandlela, agilex_pcie_devkit_blinking_led_pr.
- Kuhlelo lwe-Intel Quartus Prime Pro Edition, chofoza File ➤ Vula iphrojekthi bese ukhetha blinking_led.qpf.
- Ukuze ucacise ngezigaba zedizayini eyisicaba, chofoza Ukucubungula ➤ Qala ➤ Qala Ukuhlaziya Nokuhlanganiswa. Ngaphandle kwalokho, kulayini womyalo, sebenzisa umyalo olandelayo: quartus_syn blinking_led -c blinking_led
Ukudala I-Design Partition
Kumelwe udale izingxenye zedizayini zesifunda ngasinye se-PR ofuna ukusilungisa kabusha kancane. Izinyathelo ezilandelayo zidala ukwahlukanisa kwedizayini yesibonelo u_blinking_led.
Ukudala ama-Design Partitions
- Chofoza kwesokudla isibonelo sika-u_blinking_led ku-Project Navigator bese uchofoza I-Design Partition ➤ Engalungiseka Kabusha. Isithonjana sokuhlukanisa umklamo sivela eduze kwesenzakalo ngasinye esisethwe njengesahlukaniso.
- Chofoza Izabelo ➤ Iwindi Lezingxenye Zokuklama. Iwindi libonisa zonke izingxenye zedizayini kuphrojekthi.
- Hlela igama lesiqephu efasiteleni Lezingxenyekazi Zomklamo ngokuchofoza kabili igama. Kulo mklamo wesithenjwa, qamba kabusha igama lokuhlukanisa libe yi-pr_partition
- Qaphela: Uma udala ukwahlukanisa, isofthiwe ye-Intel Quartus Prime ikhiqiza ngokuzenzakalelayo igama lokuhlukanisa, ngokusekelwe egameni lesibonelo nendlela yokuhlelwa kwezikhundla. Leli gama lokuhlukanisa elizenzakalelayo lingahluka ngokwesibonelo ngasinye.
- Ukuze ukhiphe isifunda esimile esiqediwe kusukela ekuhlanganisweni kwesisekelo sokubuyekeza, chofoza kabili okungenayo ukuze uthole i-root_partition ekuthunyelweni kokugcina File ikholomu, bese uthayipha blinking_led_static. gdb.
Ithekelisa Isifinyezo Sokugcina Sokugcina Efasiteleni Lezingxenye ZomklamoQinisekisa ukuthi i-blinking_led.qsf iqukethe imisebenzi ezokwenziwa elandelayo, ehambisana nesahlukaniso sakho somklamo olungiseka kabusha:
Ulwazi Oluhlobene
"Dala ama-Design Partitions" ku-Intel Quartus Prime Pro Edition Umhlahlandlela Womsebenzisi: Ukumiswa Kabusha Okuyingxenye
Ukwaba Isifunda Sokubekwa Nomzila Wengxenye Ye-PR
Kuso sonke isibuyekezo esiyisisekelo osidalayo, ukugeleza kwedizayini ye-PR kubeka umnyombo ohambisanayo womuntu endaweni yakho ye-PR. Ukuze uthole futhi unikeze isifunda se-PR ohlelweni lwaphansi lwedivayisi ukuze ubuyekeze isisekelo sakho:
- Chofoza kwesokudla isibonelo sika-u_blinking_led Ku-Project Navigator bese uchofoza Isifunda Sokukhiya Okunengqondo ➤ Dala Isifunda Esisha Sokhiye Wengqondo. Isifunda sivela ewindini lezifunda ze-Logic Lock.
- Isifunda sakho sokubeka kufanele sivale i-blinking_led logic. Khetha indawo yokubeka ngokubeka inodi ku-Chip Planner. Chofoza kwesokudla igama lesifunda elingu-u_blinking_led Efasiteleni Lezifunda Ze-Logic Lock bese uchofoza
Thola I-Node ➤ Thola ku-Chip Planner. Isifunda esiphethwe u_blinking_sinekhodi yombala
Indawo Yenodi Ye-Chip Planner yokucwayiza_kwe-led
- Ewindini le-Logic Lock Regions, cacisa izixhumanisi zesifunda sokubeka kukholamu Yomsuka. Umsuka uhambisana nekhona elingezansi kwesokunxele lesifunda. Okwesiboneloample, ukusetha indawo yokubeka enezixhumanisi (X1 Y1) njengokuthi (163 4), cacisa i-Origin njengokuthi X163_Y4. Isofthiwe ye-Intel Quartus Prime ibala ngokuzenzakalelayo izixhumanisi (X2 Y2) (phezulu kwesokudla) zendawo yokubeka, ngokusekelwe ubude nobubanzi obucacisayo.
- Qaphela: Lesi sifundo sisebenzisa izixhumanisi (X1 Y1) - (163 4), kanye nobude nobubanzi obungu-20 endaweni yokubeka. Chaza noma yiliphi inani lendawo yokubeka. Qinisekisa ukuthi isifunda sihlanganisa i-blinking_led logic.
- Nika amandla izinketho ezigodliwe kanye nezibalulekile kuphela.
- Chofoza kabili inketho Yesifunda Somzila. Ibhokisi lengxoxo Lezilungiselelo Zesifunda Sokukhiya Okunengqondo liyavela.
- Khetha okuthi Okulungisiwe ngokunwetshwa kohlobo Lomzila. Ukukhetha le nketho kunikeza ngokuzenzakalelayo ubude bokunweba obungu-2.
- Qaphela: Isifunda somzila kufanele sibe sikhulu kunendawo yokubeka, ukunikeza ukuguquguquka okwengeziwe kwe-Fitter lapho injini ihambisa abantu abahlukene.
Iwindi lezifunda ze-Logic LockQinisekisa ukuthi i-blinking_led.qsf iqukethe imisebenzi ezokwenziwa elandelayo, ehambisana nokuhlela kwakho phansi:
Ulwazi Oluhlobene
"Floorplan Idizayini Yokumisa Kabusha" ku-Intel Quartus Prime Pro Edition Umhlahlandlela Womsebenzisi: Ukumiswa Kabusha Okuyingxenye
Yengeza Isilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP
Isilawuli Sokucushwa Kwangaphandle Kwengxenye Yengxenye ye-Intel FPGA IP sihlangana ne-Intel Agilex PR block block ukuphatha umthombo we-bitstream. Kufanele wengeze le-IP kumklamo wakho ukuze usebenzise ukucushwa kwangaphandle. Landela lezi zinyathelo ukuze wengeze Isilawuli Sokucushwa Kwangaphandle Okuyingxenye
I-Intel FPGA IP kuphrojekthi yakho:
- Thayipha Ukumisa Kabusha Okuyingxenye kunkambu yokusesha Yekhathalogi ye-IP (Amathuluzi ➤ Ikhathalogi ye-IP).
- Chofoza kabili Isilawuli Sokucushwa Kwangaphandle Kwengxenye ye-Intel FPGA IP.
- Ebhokisini elithi Dala Okuhlukile kwe-IP, thayipha external_host_pr_ip njenge File igama, bese uchofoza okuthi Dala. Umhleli wepharamitha uyavela.
- Ngepharamitha ye-Vumela isixhumi esibonakalayo esimatasa, khetha okuthi Khubaza (ukulungiselelwa okuzenzakalelayo). Uma udinga ukusebenzisa lesi siginali, ungashintsha isilungiselelo ukuze sithi Nika amandla.
Nika amandla Ipharamitha Yesixhumi Esibonakalayo Esimatasa Kusihleli Sepharamitha
- Chofoza File ➤ Londoloza futhi uphume kumhleli wepharamitha ngaphandle kokukhiqiza uhlelo. Isihleli sepharamitha sikhiqiza ukuhluka kwe-IP yangaphandle_host_pr_ip.ip file futhi wengeza i file kuphrojekthi eholwa_ecwayizayo. AN 991: Ukumisa Kabusha Ingxenye Ngezikhonkwane Zokucupha (Umsingathi Wangaphandle) Idizayini Yereferensi 750856 | 2022.11.14 AN 991:
- Qaphela:
- a. Uma ukopisha i-external_host_pr_ip.ip file kusuka kumkhombandlela we-pr, hlela ngesandla blinking_led.qsf file ukufaka umugqa olandelayo: set_global_assignment -name IP_FILE pr_ip.ip
- b. Beka i-IP_FILE umsebenzi ngemva kwe-SDC_FILE izabelo (blinking_led. dc) kublinking_led.qsf yakho file. Lokhu ku-oda kuqinisekisa ukuvinjelwa okufanele kwe-IP eyinhloko yesilawuli sokumisa Kabusha.
- Qaphela: Ukuze uthole amawashi, i-.sdc file ye-PR IP kufanele ilandele noma iyiphi i-.sdc edala amawashi asetshenziswa umgogodla we-IP. Ulungiselela leli oda ngokuqinisekisa ukuthi i-.ip file ye-PR IP core ivela ngemva kwanoma iyiphi i-.ip files noma .sdc fileowasebenzisayo ukuchaza lawa mawashi kokuthi .qsf file ukuze ubuyekeze iphrojekthi yakho ye-Intel Quartus Prime. Ukuze uthole ulwazi olwengeziwe, bheka Umhlahlandlela Womsebenzisi Wezixazululo Ze-IP Engxenye.
Ibuyekeza Idizayini Yezinga eliphezulu
Ukuze ubuyekeze i-top.sv file ngesibonelo se-PR_IP:
- Ukuze ungeze isibonelo_sangaphandle_pr_ip ekwakhiweni kwezinga eliphezulu, yeka ukubeka amazwana amabhulokhi ekhodi alandelayo ku-top.sv file:
Ukuchaza Abantu
Lo mklamo oyisithenjwa uchaza abantu abathathu abahlukene bengxenye eyodwa ye-PR. Ukuze uchaze futhi ufake abantu kuphrojekthi yakho:
- Dala i-SystemVerilog emithathu files, blinking_led.sv, blinking_led_slow.sv, ne-blinking_led_empty.sv kumkhombandlela wakho osebenzayo wabantu abathathu.
I-Reference Design Personas
Qaphela:
- blinking_led.sv isivele itholakala njengengxenye ye files ukopisha ku-flat/ sub-directory. Ungakwazi ukuphinda usebenzise lokhu file.
- Uma udala i-SystemVerilog files kusuka ku-Intel Quartus Prime Text Editor, khubaza i-Engeza file kunketho yephrojekthi yamanje, lapho ulondoloza i- files.
Ukudala Izibuyekezo
Ukugeleza komklamo we-PR kusebenzisa isici sokubuyekeza iphrojekthi kusofthiwe ye-Intel Quartus Prime. Idizayini yakho yokuqala iwukubuyekezwa okuyisisekelo, lapho uchaza khona imingcele yesifunda esimile nezifunda ezingalungiseka kabusha ku-FPGA. Kusukela kusibuyekezo esiyisisekelo, udala izibuyekezo eziningi. Lezi zibuyekezo ziqukethe ukuqaliswa okuhlukile kwezifunda ze-PR. Nokho, zonke izibuyekezo zokusetshenziswa kwe-PR zisebenzisa ukubekwa kwezinga eliphezulu nemiphumela yomzila kusukela ekubuyekezweni okuyisisekelo. Ukuze uhlanganise idizayini ye-PR, kufanele udale isibuyekezo sokusebenzisa i-PR yomuntu ngamunye. Ngaphezu kwalokho, kufanele unikeze izinhlobo zokubuyekeza ngakunye kwezibuyekezo. Izinhlobo zokubuyekeza ezitholakalayo yilezi:
- Ukumisa Kabusha Ingxenye - Isisekelo
- Ukumisa Kabusha Ingxenye - Ukuqaliswa Komuntu
Ithebula elilandelayo libala igama lokubuyekeza kanye nohlobo lokubuyekeza ngakunye kwezibuyekezo:
Buyekeza Amagama Nezinhlobo
Igama Lokubuyekeza | Uhlobo Lokubuyekeza |
blinking_led.qsf | Ukumisa Kabusha Ingxenye - Isisekelo |
blinking_led_default.qsf | Ukumisa Kabusha Ingxenye - Ukuqaliswa Komuntu |
blinking_led_slow.qsf | Ukumisa Kabusha Ingxenye - Ukuqaliswa Komuntu |
blinking_led_empty.qsf | Ukumisa Kabusha Ingxenye - Ukuqaliswa Komuntu |
Ukusetha Uhlobo Lokubuyekezwa Okuyisisekelo
- Chofoza Iphrojekthi ➤ Izibuyekezo.
- Egameni Lokubuyekeza, khetha isibuyekezo_se-blinking_led, bese uchofoza okuthi Setha Okwamanje.
- Chofoza okuthi Faka. Isibuyekezo esiholwa ngokucwayiza sibonisa njengenguqulo yamanje.
- Ukuze usethe Uhlobo Lokubuyekeza ukuze blinking_led, chofoza Izabelo ➤ Izilungiselelo ➤ Okujwayelekile.
- Ngohlobo Lokubuyekeza, khetha Ukumisa Kabusha Ngokwengxenye - Isisekelo, bese uchofoza KULUNGILE.
- Qinisekisa ukuthi i-blinking_led.qsf manje iqukethe umsebenzi ozokwenziwa olandelayo: ##blinking_led.qsf set_global_assignment -igama REVISION_TYPE PR_BASE
Ukudala Izibuyekezo Zokuqalisa
- Ukuze uvule ibhokisi lengxoxo elithi Izibuyekezo, chofoza Iphrojekthi ➤ Izibuyekezo.
- Ukuze udale isibuyekezo esisha, chofoza kabili < >.
- Egameni Lokubuyekeza, cacisa blinking_led_default bese ukhetha blinking_led ukuze Ngokusekelwe ekubuyekezweni.
- Ngohlobo Lokubuyekeza, khetha Ukumisa Kabusha Ngokwengxenye - Ukwenziwa Komuntu.
Ukudala Izibuyekezo
- Ngokufanayo, setha uhlobo Lokubuyekeza lwe-blinking_led_slow kanye ne-blinking_led_empty.
- Qinisekisa ukuthi ngayinye .qsf file manje iqukethe umsebenzi olandelayo: set_global_assignment -igama REVISION_TYPE PR_IMPL set_instance_assignment -igama ENTITY_REBINDING \ place_holder -to_blinking_led lapho, place_holder kuyigama lebhizinisi elizenzakalelayo lesibuyekezo sokusebenzisa i-PR esanda kwakhiwa.
Ukubuyekezwa Kwephrojekthi
Ukuhlanganisa Ukubuyekezwa Kwesisekelo
- Ukuze uhlanganise isibuyekezo esiyisisekelo, chofoza Ukucubungula ➤ Qala Ukuhlanganisa. Ngaphandle kwalokho, umyalo olandelayo uhlanganisa ukubuyekezwa kwesisekelo: quartus_sh -flow compile blinking_led -c blinking_led
- Hlola i-bitstream files ezikhiqiza kokuphumayo_files lwemibhalo.
Kwenziwe Files
Igama | Uhlobo | Incazelo |
blinking_led.sof | Uhlelo oluyisisekelo file | Isetshenziselwa ukulungiselelwa kwesisekelo se-chip egcwele |
blinking_led.pr_partition.rbf | PR bitstream file okwesisekelo sobuntu | Isetshenziselwa ukulungisa kabusha ingxenye ye-base persona. |
blinking_led_static.qdb | .qdb database file | Isizindalwazi esiqediwe file esetshenziselwa ukungenisa isifunda esimile. |
Ulwazi Oluhlobene
- "Floorplan Idizayini Yokumisa Kabusha" ku-Intel Quartus Prime Pro Edition Umhlahlandlela Womsebenzisi: Ukumiswa Kabusha Okuyingxenye
- "Ukusebenzisa Imikhawulo ye-Floorplan Ngokukhuphukayo" ku-Intel Quartus Prime Pro Edition Umhlahlandlela Womsebenzisi: Ukumiswa Kabusha Okuyingxenye
Ukulungiselela Ukubuyekezwa Kokusetshenziswa Kwe-PR
Kufanele ulungiselele ukubuyekezwa kokusebenza kwe-PR ngaphambi kokuthi uhlanganise futhi ukhiqize i-bitstream ye-PR yokuhlela idivayisi. Lokhu kusetha kufaka ukungeza isifunda esimile .qdb file njengomthombo file ekubuyekezweni kokusebenza ngakunye. Ngaphezu kwalokho, kufanele ucacise ibhizinisi elihambisanayo lesifunda se-PR.
- Ukuze usethe inguqulo yamanje, chofoza i-Project ➤ Revisions, khetha blinking_led_default njengegama Lokubuyekeza, bese uchofoza okuthi Setha Okwamanje.
- Ukuze uqinisekise umthombo olungile wesibuyekezo ngasinye sokusetshenziswa, chofoza Iphrojekthi ➤Engeza/Susa Files kuphrojekthi. I blinking_led.sv file ivela ku file uhlu.
Files Ikhasi
- Phinda izinyathelo 1 ukuya ku-2 ukuze uqinisekise omunye umthombo wokubuyekeza wokusebenzisa files:
Igama Lokubuyekeza Lokusebenza | Umthombo File |
blinking_led_default | blinking_led.sv |
blinking_led_akunalutho | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- Ukuze uqinisekise i-.qdb file ehambisana nokuhlukaniswa kwezimpande, chofoza Izabelo ➤ Iwindi Lezingxenye Zokuklama. Qinisekisa ukuthi Isizindalwazi Sokuhlukanisa File icacisa blinking_led_static.qdb file, noma chofoza kabili i-Partition Database File iseli ukucacisa lokhu file. Kungenjalo, umyalo olandelayo unikeza lokhu file: set_instance_assignment -igama QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Kuseli Ukuhlanganisa kabusha Ibhizinisi, cacisa igama lebhizinisi lengxenye ngayinye ye-PR oyishintshayo ekubuyekezeni ukusetshenziswa. Esibuyekezoni sokusebenzisa i-blinking_led_default, igama lebhizinisi lithi blinking_led. Kulesi sifundo, ubhala phezu kwesibonelo se-u_blinking_led kusukela ekuhlanganisweni kwesisekelo sokubuyekeza ngebhizinisi elisha eliholwa ngokucwayiza.
Qaphela: Isabelo esibophezela kabusha sebhizinisi lesimeli sengezwa ekubuyekezweni kokusetshenziswa ngokuzenzakalelayo. Nokho, kufanele uguqule igama lebhizinisi elizenzakalelayo esabelweni libe igama lebhizinisi elifanele lomklamo wakho.
Igama Lokubuyekeza Lokusebenza | Ukubophezela kabusha kwebhizinisi |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_akunalutho | blinking_led_akunalutho |
Ukubophezela kabusha kwebhizinisi
- Ukuze uhlanganise umklamo, chofoza Ukucubungula ➤ Qala Ukuhlanganisa. Kungenjalo, umyalo olandelayo uhlanganisa le phrojekthi: quartus_sh -flow compile blinking_led -c blinking_led_default
- Phinda lezi zinyathelo ezingenhla ukuze ulungiselele ukucwayiza_okuhamba kancane nokucwayiza_okungenalutho: quartus_sh -flow compile blinking_led -c blinking_led_slow quartus_sh -flow compile blinking_led -c blinking_led_empt
Qaphela: Ungacacisa noma yiziphi izilungiselelo eziqondile ze-Fitter ofuna ukuzisebenzisa ngesikhathi sokuhlanganiswa kokusetshenziswa kwe-PR. Izilungiselelo eziqondile eziqondile zithinta kuphela ukulingana komuntu, ngaphandle kokuthinta indawo emile engenisiwe.
Ukuhlela Ibhodi
Lesi sifundo sisebenzisa ibhodi lokuthuthukisa le-Intel Agilex F-Series FPGA ebhentshini, ngaphandle kwendawo ye-PCIe* emshinini wakho wokusingathwa. Ngaphambi kokuhlela ibhodi, qiniseka ukuthi ugcwalise lezi zinyathelo ezilandelayo:
- Xhuma ukunikezwa kwamandla ebhodini lokuthuthukisa i-Intel Agilex F-Series FPGA.
- Xhuma Ikhebula Lokulanda le-Intel FPGA phakathi kwembobo ye-USB ye-PC kanye nembobo yekhebula yokulanda ye-Intel FPGA ebhodini lokuthuthukisa.
Ukuze usebenzise umklamo ebhodini lokuthuthukiswa kwe-Intel Agilex F-Series FPGA:
- Vula isofthiwe ye-Intel Quartus Prime bese uchofoza Amathuluzi ➤ Umklami.
- Ku-Programmer, chofoza Ukusethwa Kwezingxenyekazi zekhompuyutha bese ukhetha i-USB-Blaster.
- Chofoza okuthi Thola Ngokuzenzakalelayo bese ukhetha idivayisi, AGFB014R24AR0.
- Chofoza okuthi KULUNGILE. Isofthiwe ye-Intel Quartus Prime ithola futhi ibuyekeze uMhleli ngamadivayisi amathathu e-FPGA ebhodini.
- Khetha idivayisi ye-AGFB014R24AR0, chofoza okuthi Shintsha File bese ulayisha blinking_led_default.sof file.
- Nika amandla i-Program/Configure for blinking_led_default.sof file.
- Chofoza okuthi Qala bese ulinda ibha yokuqhubeka ifinyelele ku-100%.
- Bheka ama-LED ebhodini ecwayiza ngefrikhwensi efanayo nedizayini yesicaba yasekuqaleni.
- Ukuze uhlele kuphela isifunda se-PR, chofoza kwesokudla inkinobho ethi blinking_led_default.sof file kuMhleli bese uchofoza Engeza i-PR Programming File.
- Khetha i-blinking_led_slow.pr_partition.rbf file.
- Khubaza Uhlelo/Lungiselela i-blinking_led_default.sof file.
- Nika amandla Uhlelo/Lungiselela i-blinking_led_slow.pr_partition.rbf file bese uchofoza Qala. Ebhodini, bheka i-LED[0] ne-LED[1] ziqhubeka nokucwayiza. Uma ibha yokuqhubeka ifinyelela ku-100%, i-LED[2] ne-LED[3] zicwayiza kancane.
- Ukuze uhlele kabusha isifunda se-PR, chofoza kwesokudla ku-.rbf file ku-Programmer bese uchofoza Guqula i-PR Programing File.
- Khetha i-.rbf files kwabanye abantu ababili ukuthi babheke ukuziphatha ebhodini. Ilayisha i-blinking_led_default.rbf file ibangela ama-LED ukuthi acwayize imvamisa ethile, futhi ilayishe blinking_led_empty.rbf file ibangela ukuthi ama-LED ahlale EVULIWE.
Ukuhlela i-Intel Agilex F-Series FPGA Development Board
Hardware Test Flow
Ukulandelana okulandelayo kuchaza ukugeleza kokuhlolwa kwehadiwe yedizayini yesithenjwa.
Isethaphu ye-Hardware ye-Intel Agilex Yedivayisi Yangaphandle
Hlela i-FPGA Yomsizi (Umsingathi Wangaphandle)
Ukulandelana okulandelayo kuchaza ukuhlela umsizi we-FPGA esebenza njengosokhaya wenqubo ye-PR:
- Cacisa ukulungiselelwa kokusakaza-bukhoma kwe-Avalon okuhambisana nemodi oyikhethayo (x8, x16, noma x32).
- Qalisa inkundla ngokuhlela umsizi we-FPGA usebenzisa i-Intel Quartus Prime Programmer kanye nekhebuli yokumisa exhunyiwe.
- Usebenzisa umsizi we-FPGA, funda amasiginali we-CONF_DONE kanye ne-AVST_READY. I-CONF_DONE kufanele ibe ngu-0, AVST_READY kufanele ibe ngu-1. Okunengqondo okuphezulu kule phinikhodi kubonisa ukuthi i-SDM isilungele ukwamukela idatha evela kumsingathi wangaphandle. Lokhu okukhiphayo kuyingxenye ye-SDM I/O.
Qaphela: Iphinikhodi ye-CONF_DONE ikhombisa umsingathi wangaphandle ukuthi ukudluliswa kwe-bitstream kuyimpumelelo. Sebenzisa lawa masignali kuphela ukuze ugade inqubo egcwele yokucushwa kwe-chip. Bheka ku-Intel Agilex Configuration User Guide ukuze uthole ulwazi olwengeziwe ngale phini.
Hlela i-DUT FPGA nge-Full Chip SOF usebenzisa Umsingathi Wangaphandle Uchungechunge olulandelayo luchaza ukuhlela i-DUT FPGA nge-chip egcwele ye-SRAM Object File (.sof) usebenzisa isixhumi esibonakalayo somsingathi se-Avalon:
- Bhala i-bitstream ye-chip egcwele kumemori yangaphandle ye-DDR4 yomsizi we-FPGA (umsingathi wangaphandle).
- Lungiselela i-DUT FPGA nge-chip egcwele .sof usebenzisa isixhumi esibonakalayo sokusakaza-bukhoma se-Avalon (x8, x16, x32).
- Funda isimo sezimpawu zokumisa ze-DUT FPGA. I-CONF_DONE kufanele ibe ngu-1, AVST_READY kufanele ibe ngu-0.
Ukucaciswa Kwesikhathi: Ukulungiswa Kabusha Kwengxenye Isilawuli Sangaphandle Intel FPGA IP
Hlela i-DUT FPGA Nomuntu Wokuqala usebenzisa Umsingathi Wangaphandle
- Faka okokuqandisa endaweni ye-PR eqondiwe ku-DUT FPGA.
- Usebenzisa i-Intel Quartus Prime System Console, gomela u-pr_request ukuqalisa ukulungisa kabusha ingxenye. I-AVST_READY kufanele ibe ngu-1.
- Bhala i-bitstream yokuqala ye-PR persona kumemori yangaphandle ye-DDR4 yomsizi we-FPGA (umsingathi wangaphandle).
- Usebenzisa i-interface yokusakaza ye-Avalon (x8, x16, x32), lungisa kabusha i-DUT FPGA nge-bitstream yomuntu wokuqala.
- Ukuze ugade isimo se-PR, chofoza Amathuluzi ➤ Ikhonsoli Yesistimu ukuze uqalise Ikhonsoli Yesistimu. Ku-System Console, qapha isimo se-PR:
- I-pr_error ingu-2—ukulungiswa kabusha kuyaqhubeka.
- I-pr_error ingu-3—ukulungisa kabusha kuqedile.
- Faka isicelo sokungafrizi esifundeni se-PR e-DUT FPGA.
Qaphela: Uma kwenzeka iphutha ngesikhathi sokusebenza kwe-PR, njengokwehluleka ekuhlolweni kwenguqulo noma ukuhlolwa kokugunyazwa, ukusebenza kwe-PR kuyanqanyulwa.
Ulwazi Oluhlobene
- I-Intel Agilex Configuration User Guide
- Umhlahlandlela Womsebenzisi we-Intel Quartus Prime Pro Edition: Amathuluzi Wokulungisa iphutha
Umlando Wokubuyekezwa Kombhalo we-AN 991: Ukulungiswa Kabusha Okuyingxenye Ngezikhonkwane Zokucupha (Umsingathi Wangaphandle) Idizayini Yereferensi ye-Intel Agilex F-Series FPGA Development Board
Inguqulo Yedokhumenti | Inguqulo ye-Intel Quartus Prime | Izinguquko |
2022.11.14 | 22.3 | • Ukukhishwa kokuqala. |
I-AN 991: Ukulungiswa Kabusha Okuyingxenye Ngezikhonkwane Zokucupha (Umsingathi Wangaphandle) Idizayini Yereferensi: ye-Intel Agilex F-Series FPGA Development Board
Izimpendulo ze-FAQ ephezulu:
- Q Iyini i-PR ngezikhonkwane zokumisa?
- A Ukucushwa Kokusingatha Kwangaphandle ekhasini lesi-3
- Q Yini engiyidingayo kulo mklamo wesithenjwa?
- A Izidingo Zokwakhiwa Kwenkomba ekhasini lesi-6
- Q Ngingawutholaphi umklamo wesithenjwa?
- A Izidingo Zokwakhiwa Kwenkomba ekhasini lesi-6
- Q Ngiyenza kanjani i-PR ngokucushwa kwangaphandle?
- A I-Reference Design Walkthrough ekhasini lesi-6
- Q Iyini i-PR persona?
- A Ukuchaza Abantu ekhasini 11
- Q Ngilihlela kanjani ibhodi?
- A Hlela Ibhodi ekhasini 17
- Q Yiziphi izingqinamba nemikhawulo ye-PR eyaziwayo?
- A Intel FPGA Support Forums: PR
- Q Ingabe unalo ukuqeqeshwa nge-PR?
- A Intel FPGA Technical Training Catalog
Inguqulo Ye-inthanethi Thumela Impendulo
- I-ID: 750856
- Inguqulo: 2022.11.14
Amadokhumenti / Izinsiza
![]() |
Intel 750856 Agilex FPGA Development Board [pdf] Umhlahlandlela Womsebenzisi 750856, 750857, 750856 Agilex FPGA Development Board, Agilex FPGA Development Board, FPGA Development Board, Development Board, Board |