intel-ਲੋਗੋ

intel 750856 Agilex FPGA ਵਿਕਾਸ ਬੋਰਡ

intel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-PRODUCT

ਉਤਪਾਦ ਜਾਣਕਾਰੀ

ਇਹ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਲਈ ਹੈ। ਇਹ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ ਅਤੇ ਇੱਕ ਸਧਾਰਨ PR ਖੇਤਰ ਹੈ। Intel Agilex ਡਿਵਾਈਸ ਬਾਹਰੀ ਹੋਸਟ ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ ਵਿੱਚ ਇੱਕ ਬਾਹਰੀ ਡਿਵਾਈਸ (Helper FPGA), ਇੱਕ DUT FPGA, ਅਤੇ ਤੁਹਾਡਾ ਬਾਹਰੀ ਹੋਸਟ ਡਿਜ਼ਾਈਨ ਸ਼ਾਮਲ ਹੁੰਦਾ ਹੈ। ਬਾਹਰੀ ਡਿਵਾਈਸ ਵਿੱਚ ਹੋਸਟ ਡਿਜ਼ਾਈਨ ਪੀਆਰ ਪ੍ਰਕਿਰਿਆ ਦੀ ਮੇਜ਼ਬਾਨੀ ਲਈ ਜ਼ਿੰਮੇਵਾਰ ਹੈ। PR ਪਿੰਨ ਦੋਵਾਂ ਡਿਵਾਈਸਾਂ ਨੂੰ ਜੋੜਨ ਲਈ ਵਰਤੇ ਜਾਂਦੇ ਹਨ ਅਤੇ ਕੋਈ ਵੀ ਉਪਲਬਧ ਉਪਭੋਗਤਾ I/Os ਹੋ ਸਕਦੇ ਹਨ।

ਉਤਪਾਦ ਵਰਤੋਂ ਨਿਰਦੇਸ਼

ਬਾਹਰੀ ਹੋਸਟ ਸੰਰਚਨਾ

ਬਾਹਰੀ ਹੋਸਟ ਸੰਰਚਨਾ ਕਰਨ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. PR ਪ੍ਰਕਿਰਿਆ ਦੀ ਮੇਜ਼ਬਾਨੀ ਕਰਨ ਲਈ ਇੱਕ ਬਾਹਰੀ ਡਿਵਾਈਸ ਵਿੱਚ ਇੱਕ ਹੋਸਟ ਡਿਜ਼ਾਈਨ ਬਣਾਓ।
  2. PR ਪਿੰਨ ਨੂੰ ਬਾਹਰੀ ਡਿਵਾਈਸ ਤੋਂ DUT FPGA ਵਿੱਚ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਨਾਲ ਕਨੈਕਟ ਕਰੋ।
  3. ਹੋਸਟ ਡਿਜ਼ਾਈਨ ਤੋਂ Intel Agilex Avalon ਸਟ੍ਰੀਮਿੰਗ ਇੰਟਰਫੇਸ ਪਿੰਨ ਤੱਕ ਸਟ੍ਰੀਮ ਕੌਂਫਿਗਰੇਸ਼ਨ ਡੇਟਾ ਜੋ IP ਤੋਂ PR ਹੈਂਡਸ਼ੇਕਿੰਗ ਸਿਗਨਲਾਂ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ।

ਸੰਰਚਨਾ ਪਿੰਨ ਓਪਰੇਸ਼ਨ ਦੁਆਰਾ ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ

ਹੇਠ ਦਿੱਤੀ ਕ੍ਰਮ ਸੰਰਚਨਾ ਪਿੰਨ ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਦੇ ਸੰਚਾਲਨ ਦਾ ਵਰਣਨ ਕਰਦੀ ਹੈ:

  1. ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਨਾਲ ਜੁੜੇ pr_request ਪਿੰਨ ਦਾ ਦਾਅਵਾ ਕਰੋ।
  2. IP ਇਹ ਦਰਸਾਉਣ ਲਈ ਇੱਕ ਵਿਅਸਤ ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਦਾ ਹੈ ਕਿ PR ਪ੍ਰਕਿਰਿਆ ਪ੍ਰਗਤੀ ਵਿੱਚ ਹੈ (ਵਿਕਲਪਿਕ)।
  3. ਜੇਕਰ ਕੌਂਫਿਗਰੇਸ਼ਨ ਸਿਸਟਮ PR ਓਪਰੇਸ਼ਨ ਲਈ ਤਿਆਰ ਹੈ, ਤਾਂ avst_ready ਪਿੰਨ ਦਾ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਇਹ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਇਹ ਡੇਟਾ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਤਿਆਰ ਹੈ।
  4. ਬੈਕਪ੍ਰੈਸ਼ਰ ਨਾਲ ਡਾਟਾ ਟ੍ਰਾਂਸਫਰ ਕਰਨ ਲਈ Avalon ਸਟ੍ਰੀਮਿੰਗ ਨਿਰਧਾਰਨ ਦੀ ਪਾਲਣਾ ਕਰਦੇ ਹੋਏ, avst_data ਪਿੰਨ ਅਤੇ avst_valid ਪਿੰਨ ਉੱਤੇ PR ਸੰਰਚਨਾ ਡੇਟਾ ਨੂੰ ਸਟ੍ਰੀਮ ਕਰੋ।
  5. ਜਦੋਂ avst_ready ਪਿੰਨ ਨੂੰ ਡੀ-ਅਸਰਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਤਾਂ ਸਟ੍ਰੀਮਿੰਗ ਬੰਦ ਹੋ ਜਾਂਦੀ ਹੈ।
  6. ਇਹ ਦਰਸਾਉਣ ਲਈ avst_ready ਪਿੰਨ ਨੂੰ ਡੀ-ਐਸਰਟ ਕਰੋ ਕਿ PR ਓਪਰੇਸ਼ਨ ਲਈ ਹੋਰ ਡੇਟਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
  7. ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਪ੍ਰਕਿਰਿਆ ਦੇ ਅੰਤ (ਵਿਕਲਪਿਕ) ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਵਿਅਸਤ ਸਿਗਨਲ ਨੂੰ ਡੀ-ਐਸਰਟ ਕਰਦਾ ਹੈ।

ਸੰਰਚਨਾ ਪਿੰਨ (ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ) ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ

ਇਹ ਐਪਲੀਕੇਸ਼ਨ ਨੋਟ Intel® Agilex® F-Series FPGA ਡਿਵੈਲਪਮੈਂਟ ਬੋਰਡ 'ਤੇ ਕੌਂਫਿਗਰੇਸ਼ਨ ਪਿੰਨ (ਬਾਹਰੀ ਹੋਸਟ) ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਦਾ ਪ੍ਰਦਰਸ਼ਨ ਕਰਦਾ ਹੈ।

ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਓਵਰview

ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ (PR) ਵਿਸ਼ੇਸ਼ਤਾ ਤੁਹਾਨੂੰ FPGA ਦੇ ਇੱਕ ਹਿੱਸੇ ਨੂੰ ਗਤੀਸ਼ੀਲ ਰੂਪ ਵਿੱਚ ਮੁੜ ਸੰਰਚਿਤ ਕਰਨ ਦੀ ਇਜਾਜ਼ਤ ਦਿੰਦੀ ਹੈ, ਜਦੋਂ ਕਿ ਬਾਕੀ FPGA ਡਿਜ਼ਾਈਨ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ। ਤੁਸੀਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਕਿਸੇ ਖਾਸ ਖੇਤਰ ਲਈ ਇੱਕ ਤੋਂ ਵੱਧ ਵਿਅਕਤੀ ਬਣਾ ਸਕਦੇ ਹੋ ਜੋ ਇਸ ਖੇਤਰ ਤੋਂ ਬਾਹਰਲੇ ਖੇਤਰਾਂ ਵਿੱਚ ਸੰਚਾਲਨ ਨੂੰ ਪ੍ਰਭਾਵਤ ਨਹੀਂ ਕਰਦੇ ਹਨ। ਇਹ ਵਿਧੀ ਉਹਨਾਂ ਪ੍ਰਣਾਲੀਆਂ ਵਿੱਚ ਪ੍ਰਭਾਵਸ਼ਾਲੀ ਹੈ ਜਿੱਥੇ ਮਲਟੀਪਲ ਫੰਕਸ਼ਨ ਇੱਕੋ FPGA ਡਿਵਾਈਸ ਸਰੋਤਾਂ ਨੂੰ ਸਮਾਂ-ਸਾਂਝਾ ਕਰਦੇ ਹਨ। Intel Quartus® Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਦਾ ਮੌਜੂਦਾ ਸੰਸਕਰਣ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਲਈ ਇੱਕ ਨਵਾਂ ਅਤੇ ਸਰਲ ਸੰਕਲਨ ਪ੍ਰਵਾਹ ਪੇਸ਼ ਕਰਦਾ ਹੈ। ਇਹ Intel Agilex ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਕੌਂਫਿਗਰੇਸ਼ਨ ਕੰਟਰੋਲਰ Intel FPGA IP ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ ਅਤੇ ਇੱਕ ਸਧਾਰਨ PR ਖੇਤਰ ਹੈ।

Intel Agilex ਡਿਵਾਈਸ ਬਾਹਰੀ ਹੋਸਟ ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (1)

ਬਾਹਰੀ ਹੋਸਟ ਸੰਰਚਨਾ

ਬਾਹਰੀ ਹੋਸਟ ਕੌਂਫਿਗਰੇਸ਼ਨ ਵਿੱਚ, ਤੁਹਾਨੂੰ ਪਹਿਲਾਂ PR ਪ੍ਰਕਿਰਿਆ ਦੀ ਮੇਜ਼ਬਾਨੀ ਕਰਨ ਲਈ ਇੱਕ ਬਾਹਰੀ ਡਿਵਾਈਸ ਵਿੱਚ ਇੱਕ ਹੋਸਟ ਡਿਜ਼ਾਈਨ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ, ਜਿਵੇਂ ਕਿ Intel Agilex ਡਿਵਾਈਸ ਬਾਹਰੀ ਹੋਸਟ ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ ਦਿਖਾਉਂਦਾ ਹੈ। ਹੋਸਟ ਡਿਜ਼ਾਈਨ ਇੰਟੇਲ ਐਜੀਲੈਕਸ ਐਵਲੋਨ ਸਟ੍ਰੀਮਿੰਗ ਇੰਟਰਫੇਸ ਪਿੰਨਾਂ 'ਤੇ ਕੌਂਫਿਗਰੇਸ਼ਨ ਡੇਟਾ ਨੂੰ ਸਟ੍ਰੀਮ ਕਰਦਾ ਹੈ ਜੋ PR ਹੈਂਡਸ਼ੇਕਿੰਗ ਸਿਗਨਲਾਂ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ ਜੋ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਕੌਂਫਿਗਰੇਸ਼ਨ ਕੰਟਰੋਲਰ Intel FPGA IP ਤੋਂ ਆਉਂਦੇ ਹਨ। PR ਪਿੰਨ ਜੋ ਤੁਸੀਂ ਦੋਵਾਂ ਡਿਵਾਈਸਾਂ ਨੂੰ ਜੋੜਨ ਲਈ ਵਰਤਦੇ ਹੋ, ਕੋਈ ਵੀ ਉਪਲਬਧ ਉਪਭੋਗਤਾ I/Os ਹੋ ਸਕਦਾ ਹੈ।

ਨਿਮਨਲਿਖਤ ਕ੍ਰਮ ਸੰਰਚਨਾ ਪਿੰਨ ਓਪਰੇਸ਼ਨ ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ:

  1. ਪਹਿਲਾਂ pr_request ਪਿੰਨ ਦਾ ਦਾਅਵਾ ਕਰੋ ਜੋ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਨਾਲ ਜੁੜਿਆ ਹੋਇਆ ਹੈ।
  2. IP ਇਹ ਦਰਸਾਉਣ ਲਈ ਇੱਕ ਵਿਅਸਤ ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਦਾ ਹੈ ਕਿ PR ਪ੍ਰਕਿਰਿਆ ਪ੍ਰਗਤੀ ਵਿੱਚ ਹੈ (ਵਿਕਲਪਿਕ)।
  3. ਜੇਕਰ ਕੌਂਫਿਗਰੇਸ਼ਨ ਸਿਸਟਮ ਇੱਕ PR ਓਪਰੇਸ਼ਨ ਤੋਂ ਗੁਜ਼ਰਨ ਲਈ ਤਿਆਰ ਹੈ, ਤਾਂ avst_ready ਪਿੰਨ ਦਾ ਦਾਅਵਾ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਕਿ ਇਹ ਡਾਟਾ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਤਿਆਰ ਹੈ।
  4. ਬੈਕਪ੍ਰੈਸ਼ਰ ਦੇ ਨਾਲ ਡੇਟਾ ਟ੍ਰਾਂਸਫਰ ਲਈ ਐਵਲੋਨ ਸਟ੍ਰੀਮਿੰਗ ਨਿਰਧਾਰਨ ਨੂੰ ਦੇਖਦੇ ਹੋਏ, avst_data ਪਿੰਨ ਅਤੇ avst_valid ਪਿੰਨ ਉੱਤੇ PR ਸੰਰਚਨਾ ਡੇਟਾ ਨੂੰ ਸਟ੍ਰੀਮ ਕਰਨਾ ਸ਼ੁਰੂ ਕਰੋ।
  5. ਜਦੋਂ ਵੀ avst_ready ਪਿੰਨ ਨੂੰ ਡੀ-ਅਸਰਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਤਾਂ ਸਟ੍ਰੀਮਿੰਗ ਬੰਦ ਹੋ ਜਾਂਦੀ ਹੈ।
  6. ਸਾਰੇ ਕੌਂਫਿਗਰੇਸ਼ਨ ਡੇਟਾ ਨੂੰ ਸਟ੍ਰੀਮ ਕਰਨ ਤੋਂ ਬਾਅਦ, avst_ready ਪਿੰਨ ਨੂੰ ਇਹ ਦਰਸਾਉਣ ਲਈ ਡੀ-ਐਸਰਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਕਿ PR ਓਪਰੇਸ਼ਨ ਲਈ ਹੋਰ ਡੇਟਾ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
  7. ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਮਿਠਾਈ ਪ੍ਰਕਿਰਿਆ ਦੇ ਅੰਤ ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਵਿਅਸਤ ਸਿਗਨਲ (ਵਿਕਲਪਿਕ)।
  8. ਤੁਸੀਂ ਇਹ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ pr_done ਅਤੇ pr_error ਪਿੰਨਾਂ ਦੀ ਜਾਂਚ ਕਰ ਸਕਦੇ ਹੋ ਕਿ ਕੀ PR ਓਪਰੇਸ਼ਨ ਸਫਲਤਾਪੂਰਵਕ ਪੂਰਾ ਹੋਇਆ ਹੈ। ਜੇਕਰ ਕੋਈ ਗਲਤੀ ਹੁੰਦੀ ਹੈ, ਜਿਵੇਂ ਕਿ ਸੰਸਕਰਣ ਜਾਂਚ ਅਤੇ ਅਧਿਕਾਰ ਜਾਂਚ ਵਿੱਚ ਅਸਫਲਤਾ, PR ਓਪਰੇਸ਼ਨ ਬੰਦ ਹੋ ਜਾਂਦਾ ਹੈ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • Intel Agilex F-ਸੀਰੀਜ਼ FPGA ਵਿਕਾਸ ਕਿੱਟ Web ਪੰਨਾ
  • Intel Agilex F-Series FPGA ਵਿਕਾਸ ਕਿੱਟ ਉਪਭੋਗਤਾ ਗਾਈਡ
  • ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਉਪਭੋਗਤਾ ਗਾਈਡ: ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ

ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP
ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ ਨੂੰ PR ਓਪਰੇਸ਼ਨ ਲਈ PR ਡੇਟਾ ਨੂੰ ਸਟ੍ਰੀਮ ਕਰਨ ਲਈ ਕੌਂਫਿਗਰੇਸ਼ਨ ਪਿੰਨ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ਤੁਹਾਨੂੰ ਕੋਰ ਤੋਂ ਸੁਰੱਖਿਅਤ ਡਿਵਾਈਸ ਮੈਨੇਜਰ (SDM) ਨਾਲ ਹੋਸਟ ਨੂੰ ਹੈਂਡਸ਼ੇਕਿੰਗ ਦੀ ਆਗਿਆ ਦੇਣ ਲਈ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਦੇ ਸਾਰੇ ਉੱਚ-ਪੱਧਰੀ ਪੋਰਟਾਂ ਨੂੰ pr_request ਪਿੰਨ ਨਾਲ ਕਨੈਕਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਤੁਹਾਡੀ MSEL ਸੈਟਿੰਗ ਦੇ ਅਨੁਸਾਰ, SDM ਇਹ ਨਿਰਧਾਰਿਤ ਕਰਦਾ ਹੈ ਕਿ ਕਿਸ ਕਿਸਮ ਦੀਆਂ ਕੌਂਫਿਗਰੇਸ਼ਨ ਪਿਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਹੈ।

ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IPintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (2)

ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ ਪੈਰਾਮੀਟਰ ਸੈਟਿੰਗਾਂ

ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਵਰਣਨ
ਵਿਅਸਤ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਯੋਗ ਕਰੋ or

ਅਸਮਰੱਥ

ਤੁਹਾਨੂੰ ਵਿਅਸਤ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਜਾਂ ਅਸਮਰੱਥ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ, ਜੋ ਇਹ ਦਰਸਾਉਣ ਲਈ ਇੱਕ ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਦਾ ਹੈ ਕਿ ਬਾਹਰੀ ਸੰਰਚਨਾ ਦੌਰਾਨ PR ਪ੍ਰੋਸੈਸਿੰਗ ਜਾਰੀ ਹੈ।

ਡਿਫੌਲਟ ਸੈਟਿੰਗ ਹੈ ਅਸਮਰੱਥ.

ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ ਪੋਰਟ

ਪੋਰਟ ਨਾਮ ਚੌੜਾਈ ਦਿਸ਼ਾ ਫੰਕਸ਼ਨ
pr_request 1 ਇੰਪੁੱਟ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਪੀਆਰ ਪ੍ਰਕਿਰਿਆ ਸ਼ੁਰੂ ਹੋਣ ਲਈ ਤਿਆਰ ਹੈ। ਸਿਗਨਲ ਇੱਕ ਨਲੀ ਹੈ ਜੋ ਕਿਸੇ ਵੀ ਘੜੀ ਸਿਗਨਲ ਨਾਲ ਸਮਕਾਲੀ ਨਹੀਂ ਹੈ।
pr_error 2 ਆਉਟਪੁੱਟ ਇੱਕ ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਗਲਤੀ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ।

• 2'b01—ਆਮ PR ਗਲਤੀ

• 2'b11—ਅਸੰਗਤ ਬਿੱਟਸਟ੍ਰੀਮ ਗਲਤੀ

ਇਹ ਸਿਗਨਲ ਕਿਸੇ ਵੀ ਘੜੀ ਸਰੋਤ ਨਾਲ ਸਮਕਾਲੀ ਨਹੀਂ ਹੁੰਦੇ ਹਨ।

pr_done 1 ਆਉਟਪੁੱਟ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਪੀਆਰ ਪ੍ਰਕਿਰਿਆ ਪੂਰੀ ਹੋ ਗਈ ਹੈ। ਸਿਗਨਲ ਇੱਕ ਨਲੀ ਹੈ ਜੋ ਕਿਸੇ ਵੀ ਘੜੀ ਸਿਗਨਲ ਨਾਲ ਸਮਕਾਲੀ ਨਹੀਂ ਹੈ।
start_addr 1 ਇੰਪੁੱਟ ਐਕਟਿਵ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਵਿੱਚ PR ਡੇਟਾ ਦਾ ਸ਼ੁਰੂਆਤੀ ਪਤਾ ਨਿਸ਼ਚਿਤ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਕਿਸੇ ਇੱਕ ਨੂੰ ਚੁਣ ਕੇ ਇਸ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਐਵਲੋਨ®-ਸ੍ਟ੍ਰੀਟ or ਸਰਗਰਮ ਸੀਰੀਅਲ ਲਈ Avalon-ST ਪਿੰਨ ਜਾਂ ਐਕਟਿਵ ਸੀਰੀਅਲ ਪਿੰਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਪੈਰਾਮੀਟਰ। ਸਿਗਨਲ ਇੱਕ ਨਲੀ ਹੈ ਜੋ ਕਿਸੇ ਵੀ ਘੜੀ ਸਿਗਨਲ ਨਾਲ ਸਮਕਾਲੀ ਨਹੀਂ ਹੈ।
ਰੀਸੈਟ 1 ਇੰਪੁੱਟ ਕਿਰਿਆਸ਼ੀਲ ਉੱਚ, ਸਮਕਾਲੀ ਰੀਸੈਟ ਸਿਗਨਲ।
out_clk 1 ਆਉਟਪੁੱਟ ਘੜੀ ਦਾ ਸਰੋਤ ਜੋ ਅੰਦਰੂਨੀ ਔਸਿਲੇਟਰ ਤੋਂ ਉਤਪੰਨ ਹੁੰਦਾ ਹੈ।
ਵਿਅਸਤ 1 ਆਉਟਪੁੱਟ IP ਪ੍ਰਗਤੀ ਵਿੱਚ PR ਡੇਟਾ ਟ੍ਰਾਂਸਫਰ ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਇਸ ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਚੁਣ ਕੇ ਇਸ ਸਿਗਨਲ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਯੋਗ ਕਰੋ ਲਈ ਵਿਅਸਤ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਪੈਰਾਮੀਟਰ।

ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਲੋੜਾਂ

ਇਸ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਦੀ ਵਰਤੋਂ ਲਈ ਹੇਠ ਲਿਖਿਆਂ ਦੀ ਲੋੜ ਹੈ:

  • Intel Agilex ਡਿਵਾਈਸ ਪਰਿਵਾਰ ਲਈ ਸਮਰਥਨ ਦੇ ਨਾਲ Intel Quartus Prime Pro ਸੰਸਕਰਣ 22.3 ਦੀ ਸਥਾਪਨਾ।
  • ਬੈਂਚ 'ਤੇ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਨਾਲ ਕਨੈਕਸ਼ਨ।
  • ਡਿਜ਼ਾਈਨ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰੋ ਸਾਬਕਾampਹੇਠ ਦਿੱਤੇ ਸਥਾਨ 'ਤੇ ਉਪਲਬਧ ਹੈ: https://github.com/intel/fpga-partial-reconfig.

ਡਿਜ਼ਾਈਨ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰਨ ਲਈ ਸਾਬਕਾampLe:

  1. ਕਲੋਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਜਾਂ ਡਾਊਨਲੋਡ ਕਰੋ।
  2. ਜ਼ਿਪ ਡਾਊਨਲੋਡ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। fpga-partial-reconfig-master.zip ਨੂੰ ਅਨਜ਼ਿਪ ਕਰੋ file.
  3. ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਲਈ ਟਿਊਟੋਰਿਅਲਸ/ਐਜੀਲੈਕਸ_ਐਕਸਟਰਨਲ_ਪੀਆਰ_ਕਨਫਿਗਰੇਸ਼ਨ ਸਬਫੋਲਡਰ 'ਤੇ ਜਾਓ।

ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਵਾਕਥਰੂ

ਨਿਮਨਲਿਖਤ ਕਦਮ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ 'ਤੇ ਸੰਰਚਨਾ ਪਿੰਨ (ਬਾਹਰੀ ਹੋਸਟ) ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਨੂੰ ਲਾਗੂ ਕਰਨ ਦਾ ਵਰਣਨ ਕਰਦੇ ਹਨ:

  • ਕਦਮ 1: ਸ਼ੁਰੂ ਕਰਨਾ
  • ਕਦਮ 2: ਇੱਕ ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਉਣਾ
  • ਕਦਮ 3: ਪਲੇਸਮੈਂਟ ਅਤੇ ਰੂਟਿੰਗ ਖੇਤਰ ਨਿਰਧਾਰਤ ਕਰਨਾ
  • ਕਦਮ 4: ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ IP ਨੂੰ ਜੋੜਨਾ
  • ਕਦਮ 5: ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨਾ
  • ਕਦਮ 6: ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾ
  • ਕਦਮ 7: ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਕਰਨਾ
  • ਕਦਮ 8: ਪੀਆਰ ਲਾਗੂ ਕਰਨ ਦੀਆਂ ਸੋਧਾਂ ਦੀ ਤਿਆਰੀ
  • ਕਦਮ 9: ਬੋਰਡ ਦਾ ਪ੍ਰੋਗਰਾਮਿੰਗ

ਕਦਮ 1: ਸ਼ੁਰੂ ਕਰਨਾ
ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨ ਲਈ files ਨੂੰ ਤੁਹਾਡੇ ਕੰਮ ਕਰਨ ਵਾਲੇ ਵਾਤਾਵਰਣ ਨਾਲ ਜੋੜੋ ਅਤੇ blinking_led ਫਲੈਟ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰੋ:

  1. ਆਪਣੇ ਕੰਮ ਕਰਨ ਵਾਲੇ ਵਾਤਾਵਰਣ ਵਿੱਚ ਇੱਕ ਡਾਇਰੈਕਟਰੀ ਬਣਾਓ, agilex_pcie_devkit_blinking_led_pr.
  2. ਡਾਉਨਲੋਡ ਕੀਤੇ ਟਿਊਟੋਰਿਅਲਸ/agilex_pcie_devkit_blinking_led/flat ਸਬ-ਫੋਲਡਰ ਨੂੰ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਕਾਪੀ ਕਰੋ, agilex_pcie_devkit_blinking_led_pr.
  3. Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਪ੍ਰੋਜੈਕਟ ਖੋਲ੍ਹੋ ਅਤੇ blinking_led.qpf ਚੁਣੋ।
  4. ਫਲੈਟ ਡਿਜ਼ਾਈਨ ਦੀ ਲੜੀ ਨੂੰ ਵਿਸਤ੍ਰਿਤ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਸਟਾਰਟ ➤ ਵਿਸ਼ਲੇਸ਼ਣ ਅਤੇ ਸੰਸਲੇਸ਼ਣ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿਕਲਪਿਕ ਤੌਰ 'ਤੇ, ਕਮਾਂਡ-ਲਾਈਨ 'ਤੇ, ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਚਲਾਓ: quartus_syn blinking_led -c blinking_led

ਇੱਕ ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਉਣਾ

ਤੁਹਾਨੂੰ ਹਰੇਕ PR ਖੇਤਰ ਲਈ ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਉਣੇ ਚਾਹੀਦੇ ਹਨ ਜਿਸਨੂੰ ਤੁਸੀਂ ਅੰਸ਼ਕ ਤੌਰ 'ਤੇ ਮੁੜ ਸੰਰਚਿਤ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ। ਹੇਠਾਂ ਦਿੱਤੇ ਪਗ਼ u_blinking_led ਉਦਾਹਰਨ ਲਈ ਇੱਕ ਡਿਜ਼ਾਇਨ ਭਾਗ ਬਣਾਉਂਦੇ ਹਨ।

ਡਿਜ਼ਾਈਨ ਭਾਗ ਬਣਾਉਣਾintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (3)

  1. ਪ੍ਰੋਜੈਕਟ ਨੇਵੀਗੇਟਰ ਵਿੱਚ u_blinking_led ਉਦਾਹਰਨ ਉੱਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਡਿਜ਼ਾਇਨ ਭਾਗ ➤ ਰੀਕਨਫਿਗਰੇਬਲ ਉੱਤੇ ਕਲਿਕ ਕਰੋ। ਇੱਕ ਡਿਜ਼ਾਇਨ ਭਾਗ ਆਈਕਨ ਹਰੇਕ ਉਦਾਹਰਣ ਦੇ ਅੱਗੇ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ ਜੋ ਕਿ ਇੱਕ ਭਾਗ ਵਜੋਂ ਸੈੱਟ ਕੀਤਾ ਗਿਆ ਹੈ।
  2. ਅਸਾਈਨਮੈਂਟਸ ➤ ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿੰਡੋ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਸਾਰੇ ਡਿਜ਼ਾਈਨ ਭਾਗਾਂ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦੀ ਹੈ।
  3. ਡਿਜ਼ਾਇਨ ਭਾਗ ਵਿੰਡੋ ਵਿੱਚ ਭਾਗ ਦੇ ਨਾਮ ਨੂੰ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰਕੇ ਸੰਪਾਦਿਤ ਕਰੋ। ਇਸ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਲਈ, ਭਾਗ ਦਾ ਨਾਂ pr_partition ਵਿੱਚ ਬਦਲੋ
    • ਨੋਟ: ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਭਾਗ ਬਣਾਉਂਦੇ ਹੋ, ਤਾਂ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਆਟੋਮੈਟਿਕਲੀ ਇੱਕ ਭਾਗ ਨਾਮ ਤਿਆਰ ਕਰਦਾ ਹੈ, ਉਦਾਹਰਣ ਦੇ ਨਾਮ ਅਤੇ ਦਰਜਾਬੰਦੀ ਮਾਰਗ ਦੇ ਅਧਾਰ ਤੇ। ਇਹ ਡਿਫਾਲਟ ਭਾਗ ਨਾਂ ਹਰੇਕ ਮੌਕੇ ਨਾਲ ਬਦਲ ਸਕਦਾ ਹੈ।
  4. ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਤੋਂ ਅੰਤਿਮ ਸਥਿਰ ਖੇਤਰ ਨੂੰ ਨਿਰਯਾਤ ਕਰਨ ਲਈ, ਪੋਸਟ ਫਾਈਨਲ ਐਕਸਪੋਰਟ ਵਿੱਚ ਰੂਟ_ਪਾਰਟੀਸ਼ਨ ਲਈ ਐਂਟਰੀ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ। File ਕਾਲਮ, ਅਤੇ ਟਾਈਪ ਕਰੋ blinking_led_static। gdb.

ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ ਵਿੱਚ ਪੋਸਟ ਫਾਈਨਲ ਸਨੈਪਸ਼ਾਟ ਨਿਰਯਾਤ ਕਰਨਾintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (4)ਤਸਦੀਕ ਕਰੋ ਕਿ blinking_led.qsf ਵਿੱਚ ਤੁਹਾਡੇ ਪੁਨਰ-ਸੰਰਚਨਾਯੋਗ ਡਿਜ਼ਾਇਨ ਭਾਗ ਦੇ ਅਨੁਸਾਰੀ ਹੇਠ ਦਿੱਤੇ ਅਸਾਈਨਮੈਂਟ ਹਨ:intel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (5)

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਉਪਭੋਗਤਾ ਗਾਈਡ ਵਿੱਚ "ਡਿਜ਼ਾਇਨ ਭਾਗ ਬਣਾਓ": ਅੰਸ਼ਕ ਪੁਨਰ ਸੰਰਚਨਾ

ਇੱਕ PR ਭਾਗ ਲਈ ਪਲੇਸਮੈਂਟ ਅਤੇ ਰੂਟਿੰਗ ਖੇਤਰ ਨਿਰਧਾਰਤ ਕਰਨਾ
ਤੁਹਾਡੇ ਦੁਆਰਾ ਬਣਾਏ ਗਏ ਹਰੇਕ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਲਈ, PR ਡਿਜ਼ਾਇਨ ਪ੍ਰਵਾਹ ਤੁਹਾਡੇ PR ਭਾਗ ਖੇਤਰ ਵਿੱਚ ਸੰਬੰਧਿਤ ਵਿਅਕਤੀਗਤ ਕੋਰ ਨੂੰ ਰੱਖਦਾ ਹੈ। ਤੁਹਾਡੇ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਲਈ ਡਿਵਾਈਸ ਫਲੋਰ ਪਲਾਨ ਵਿੱਚ PR ਖੇਤਰ ਨੂੰ ਲੱਭਣ ਅਤੇ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ:

  1. ਪ੍ਰੋਜੈਕਟ ਨੇਵੀਗੇਟਰ ਵਿੱਚ u_blinking_led ਉਦਾਹਰਨ ਉੱਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ ਅਤੇ Logic Lock Region ➤ Create New Logic Lock Region ਉੱਤੇ ਕਲਿਕ ਕਰੋ। ਖੇਤਰ Logic Lock Regions ਵਿੰਡੋ 'ਤੇ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ।
  2. ਤੁਹਾਡੇ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਨੂੰ blinking_led logic ਨੂੰ ਨੱਥੀ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਚਿੱਪ ਪਲੈਨਰ ​​ਵਿੱਚ ਨੋਡ ਦਾ ਪਤਾ ਲਗਾ ਕੇ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਦੀ ਚੋਣ ਕਰੋ। ਤਰਕ ਲਾਕ ਖੇਤਰ ਵਿੰਡੋ ਵਿੱਚ u_blinking_led ਖੇਤਰ ਦੇ ਨਾਮ ਉੱਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਕਲਿੱਕ ਕਰੋ

ਨੋਡ ਲੱਭੋ ➤ ਚਿੱਪ ਪਲਾਨਰ ਵਿੱਚ ਲੱਭੋ। u_blinking_led ਖੇਤਰ ਰੰਗ-ਕੋਡਿਡ ਹੈ

blinking_led ਲਈ ਚਿੱਪ ਪਲਾਨਰ ਨੋਡ ਟਿਕਾਣਾintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (6)

  1. ਤਰਕ ਲੌਕ ਖੇਤਰ ਵਿੰਡੋ ਵਿੱਚ, ਮੂਲ ਕਾਲਮ ਵਿੱਚ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਕੋਆਰਡੀਨੇਟਸ ਨਿਰਧਾਰਤ ਕਰੋ। ਮੂਲ ਖੇਤਰ ਦੇ ਹੇਠਲੇ-ਖੱਬੇ ਕੋਨੇ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ। ਸਾਬਕਾ ਲਈample, (X1 Y1) ਕੋਆਰਡੀਨੇਟਸ (163 4) ਦੇ ਨਾਲ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਨੂੰ ਸੈੱਟ ਕਰਨ ਲਈ, X163_Y4 ਦੇ ਤੌਰ 'ਤੇ ਮੂਲ ਨਿਸ਼ਚਿਤ ਕਰੋ। Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਤੁਹਾਡੇ ਦੁਆਰਾ ਨਿਰਧਾਰਿਤ ਉਚਾਈ ਅਤੇ ਚੌੜਾਈ ਦੇ ਆਧਾਰ 'ਤੇ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਲਈ (X2 Y2) ਕੋਆਰਡੀਨੇਟਸ (ਉੱਪਰ-ਸੱਜੇ) ਦੀ ਗਣਨਾ ਕਰਦਾ ਹੈ।
    • ਨੋਟ: ਇਹ ਟਿਊਟੋਰਿਅਲ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਲਈ (X1 Y1) ਕੋਆਰਡੀਨੇਟਸ - (163 4), ਅਤੇ 20 ਦੀ ਉਚਾਈ ਅਤੇ ਚੌੜਾਈ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਲਈ ਕੋਈ ਮੁੱਲ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ। ਇਹ ਸੁਨਿਸ਼ਚਿਤ ਕਰੋ ਕਿ ਖੇਤਰ ਬਲਿੰਕਿੰਗ_ਲੇਡ ਤਰਕ ਨੂੰ ਕਵਰ ਕਰਦਾ ਹੈ।
  2. ਰਿਜ਼ਰਵਡ ਅਤੇ ਕੋਰ-ਓਨਲੀ ਵਿਕਲਪਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।
  3. ਰੂਟਿੰਗ ਖੇਤਰ ਵਿਕਲਪ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ। ਲਾਜਿਕ ਲਾਕ ਰੂਟਿੰਗ ਰੀਜਨ ਸੈਟਿੰਗਜ਼ ਡਾਇਲਾਗ ਬਾਕਸ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ।
  4. ਰਾਊਟਿੰਗ ਕਿਸਮ ਲਈ ਵਿਸਤਾਰ ਨਾਲ ਸਥਿਰ ਚੁਣੋ। ਇਸ ਵਿਕਲਪ ਨੂੰ ਚੁਣਨਾ ਆਪਣੇ ਆਪ 2 ਦੀ ਵਿਸਤਾਰ ਲੰਬਾਈ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ।
    • ਨੋਟ: ਜਦੋਂ ਇੰਜਣ ਵੱਖ-ਵੱਖ ਵਿਅਕਤੀਆਂ ਨੂੰ ਰੂਟ ਕਰਦਾ ਹੈ ਤਾਂ ਫਿਟਰ ਲਈ ਵਾਧੂ ਲਚਕਤਾ ਪ੍ਰਦਾਨ ਕਰਨ ਲਈ ਰੂਟਿੰਗ ਖੇਤਰ ਪਲੇਸਮੈਂਟ ਖੇਤਰ ਤੋਂ ਵੱਡਾ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।

ਤਰਕ ਲਾਕ ਖੇਤਰ ਵਿੰਡੋintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (7)ਤਸਦੀਕ ਕਰੋ ਕਿ blinking_led.qsf ਵਿੱਚ ਤੁਹਾਡੀ ਫਲੋਰ ਪਲੈਨਿੰਗ ਦੇ ਅਨੁਸਾਰੀ ਨਿਮਨਲਿਖਤ ਅਸਾਈਨਮੈਂਟ ਹਨ:intel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (8)intel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (9)

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਉਪਭੋਗਤਾ ਗਾਈਡ ਵਿੱਚ "ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਡਿਜ਼ਾਈਨ ਫਲੋਰ ਪਲਾਨ": ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ

ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਨੂੰ ਜੋੜਨਾ
ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP ਇੰਟਰਫੇਸ ਬਿੱਟਸਟ੍ਰੀਮ ਸਰੋਤ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰਨ ਲਈ Intel Agilex PR ਕੰਟਰੋਲ ਬਲਾਕ ਦੇ ਨਾਲ। ਬਾਹਰੀ ਸੰਰਚਨਾ ਨੂੰ ਲਾਗੂ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਇਸ IP ਨੂੰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸ਼ਾਮਲ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ ਨੂੰ ਜੋੜਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ
ਤੁਹਾਡੇ ਪ੍ਰੋਜੈਕਟ ਲਈ Intel FPGA IP:

  1. IP ਕੈਟਾਲਾਗ ਖੋਜ ਖੇਤਰ (ਟੂਲ ➤ IP ਕੈਟਾਲਾਗ) ਵਿੱਚ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਟਾਈਪ ਕਰੋ।
  2. ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ ਬਾਹਰੀ ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ Intel FPGA IP 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ।
  3. IP ਵੇਰੀਐਂਟ ਬਣਾਓ ਡਾਇਲਾਗ ਬਾਕਸ ਵਿੱਚ, external_host_pr_ip ਟਾਈਪ ਕਰੋ File ਨਾਮ, ਅਤੇ ਫਿਰ ਬਣਾਓ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦਿਸਦਾ ਹੈ।
  4. ਵਿਅਸਤ ਇੰਟਰਫੇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਕਰਨ ਲਈ, ਅਯੋਗ (ਡਿਫੌਲਟ ਸੈਟਿੰਗ) ਨੂੰ ਚੁਣੋ। ਜਦੋਂ ਤੁਹਾਨੂੰ ਇਸ ਸਿਗਨਲ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ, ਤਾਂ ਤੁਸੀਂ ਸੈਟਿੰਗ ਨੂੰ ਯੋਗ 'ਤੇ ਬਦਲ ਸਕਦੇ ਹੋ।

ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ ਵਿਅਸਤ ਇੰਟਰਫੇਸ ਪੈਰਾਮੀਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (10)

  1. ਕਲਿੱਕ ਕਰੋ File ➤ ਸਿਸਟਮ ਨੂੰ ਤਿਆਰ ਕੀਤੇ ਬਿਨਾਂ ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਨੂੰ ਸੁਰੱਖਿਅਤ ਕਰੋ ਅਤੇ ਬਾਹਰ ਜਾਓ। ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ external_host_pr_ip.ip IP ਪਰਿਵਰਤਨ ਬਣਾਉਂਦਾ ਹੈ file ਅਤੇ ਜੋੜਦਾ ਹੈ file blinking_led ਪ੍ਰੋਜੈਕਟ ਲਈ। AN 991: ਸੰਰਚਨਾ ਪਿੰਨ (ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ) ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ 750856 ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ | 2022.11.14 AN 991:
    • ਨੋਟ:
    • a. ਜੇਕਰ ਤੁਸੀਂ external_host_pr_ip.ip ਦੀ ਨਕਲ ਕਰ ਰਹੇ ਹੋ file pr ਡਾਇਰੈਕਟਰੀ ਤੋਂ, blinking_led.qsf ਨੂੰ ਦਸਤੀ ਸੰਪਾਦਿਤ ਕਰੋ file ਹੇਠ ਦਿੱਤੀ ਲਾਈਨ ਨੂੰ ਸ਼ਾਮਲ ਕਰਨ ਲਈ: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ ਰੱਖੋFILE SDC_ ਦੇ ਬਾਅਦ ਅਸਾਈਨਮੈਂਟFILE ਤੁਹਾਡੇ blinking_led.qsf ਵਿੱਚ ਅਸਾਈਨਮੈਂਟ (blinking_led. dc) file. ਇਹ ਆਰਡਰਿੰਗ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਕੰਟਰੋਲਰ IP ਕੋਰ ਦੀ ਢੁਕਵੀਂ ਰੁਕਾਵਟ ਨੂੰ ਯਕੀਨੀ ਬਣਾਉਂਦਾ ਹੈ।
    • ਨੋਟ: ਘੜੀਆਂ ਦਾ ਪਤਾ ਲਗਾਉਣ ਲਈ, .ਐਸ.ਡੀ.ਸੀ file PR IP ਲਈ ਕਿਸੇ ਵੀ .sdc ਦੀ ਪਾਲਣਾ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ ਜੋ ਉਹ ਘੜੀਆਂ ਬਣਾਉਂਦਾ ਹੈ ਜੋ IP ਕੋਰ ਵਰਤਦਾ ਹੈ। ਤੁਸੀਂ ਇਹ ਯਕੀਨੀ ਬਣਾ ਕੇ ਇਸ ਆਰਡਰ ਦੀ ਸਹੂਲਤ ਦਿੰਦੇ ਹੋ ਕਿ .ip file ਕਿਸੇ ਵੀ .ip ਦੇ ਬਾਅਦ PR IP ਕੋਰ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ files ਜਾਂ .sdc files ਜੋ ਤੁਸੀਂ .qsf ਵਿੱਚ ਇਹਨਾਂ ਘੜੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ ਵਰਤਦੇ ਹੋ file ਤੁਹਾਡੇ Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਦੇ ਸੰਸ਼ੋਧਨ ਲਈ। ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ, ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ IP ਹੱਲ ਯੂਜ਼ਰ ਗਾਈਡ ਵੇਖੋ।

ਸਿਖਰ-ਪੱਧਰ ਦੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਅੱਪਡੇਟ ਕਰਨਾ

ਅੱਪਡੇਟ ਕਰਨ ਲਈ top.sv file PR_IP ਉਦਾਹਰਨ ਦੇ ਨਾਲ:

  1. external_host_pr_ip ਉਦਾਹਰਨ ਨੂੰ ਸਿਖਰ-ਪੱਧਰ ਦੇ ਡਿਜ਼ਾਇਨ ਵਿੱਚ ਜੋੜਨ ਲਈ, top.sv ਵਿੱਚ ਹੇਠਾਂ ਦਿੱਤੇ ਕੋਡ ਬਲਾਕਾਂ ਨੂੰ ਅਣਕਮੇਂਟ ਕਰੋ file:intel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (11)

ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨਾ
ਇਹ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਸਿੰਗਲ PR ਭਾਗ ਲਈ ਤਿੰਨ ਵੱਖ-ਵੱਖ ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਆਪਣੇ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਅਤੇ ਸ਼ਾਮਲ ਕਰਨ ਲਈ:

  1. ਤਿੰਨ SystemVerilog ਬਣਾਓ fileਤਿੰਨ ਵਿਅਕਤੀਆਂ ਲਈ ਤੁਹਾਡੀ ਕਾਰਜਕਾਰੀ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ s, blinking_led.sv, blinking_led_slow.sv, ਅਤੇ blinking_led_empty.sv।

ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਵਿਅਕਤੀintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (12) intel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (13)

ਨੋਟ:

  • blinking_led.sv ਦੇ ਹਿੱਸੇ ਵਜੋਂ ਪਹਿਲਾਂ ਹੀ ਉਪਲਬਧ ਹੈ fileਤੁਸੀਂ ਫਲੈਟ/ਉਪ-ਡਾਇਰੈਕਟਰੀ ਤੋਂ ਕਾਪੀ ਕਰਦੇ ਹੋ। ਤੁਸੀਂ ਬਸ ਇਸ ਦੀ ਮੁੜ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ file.
  • ਜੇਕਰ ਤੁਸੀਂ SystemVerilog ਬਣਾਉਂਦੇ ਹੋ files Intel Quartus Prime Text Editor ਤੋਂ, Add ਨੂੰ ਅਯੋਗ ਕਰੋ file ਮੌਜੂਦਾ ਪ੍ਰੋਜੈਕਟ ਵਿਕਲਪ ਲਈ, ਨੂੰ ਸੰਭਾਲਣ ਵੇਲੇ files.

ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾ

PR ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਪ੍ਰੋਜੈਕਟ ਸੰਸ਼ੋਧਨ ਵਿਸ਼ੇਸ਼ਤਾ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਤੁਹਾਡਾ ਸ਼ੁਰੂਆਤੀ ਡਿਜ਼ਾਈਨ ਬੇਸ ਰੀਵਿਜ਼ਨ ਹੈ, ਜਿੱਥੇ ਤੁਸੀਂ FPGA 'ਤੇ ਸਥਿਰ ਖੇਤਰ ਦੀਆਂ ਸੀਮਾਵਾਂ ਅਤੇ ਮੁੜ ਸੰਰਚਨਾਯੋਗ ਖੇਤਰਾਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦੇ ਹੋ। ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਤੋਂ, ਤੁਸੀਂ ਕਈ ਸੰਸ਼ੋਧਨ ਬਣਾਉਂਦੇ ਹੋ। ਇਹਨਾਂ ਸੰਸ਼ੋਧਨਾਂ ਵਿੱਚ PR ਖੇਤਰਾਂ ਲਈ ਵੱਖ-ਵੱਖ ਲਾਗੂਕਰਨ ਸ਼ਾਮਲ ਹਨ। ਹਾਲਾਂਕਿ, ਸਾਰੇ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਤੋਂ ਇੱਕੋ ਉੱਚ-ਪੱਧਰੀ ਪਲੇਸਮੈਂਟ ਅਤੇ ਰੂਟਿੰਗ ਨਤੀਜਿਆਂ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ। ਇੱਕ PR ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਤੁਹਾਨੂੰ ਹਰੇਕ ਵਿਅਕਤੀ ਲਈ ਇੱਕ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ। ਇਸ ਤੋਂ ਇਲਾਵਾ, ਤੁਹਾਨੂੰ ਹਰੇਕ ਸੰਸ਼ੋਧਨ ਲਈ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਨਿਰਧਾਰਤ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਉਪਲਬਧ ਸੰਸ਼ੋਧਨ ਕਿਸਮਾਂ ਹਨ:

  • ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਅਧਾਰ
  • ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ

ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ਹਰੇਕ ਸੰਸ਼ੋਧਨ ਲਈ ਸੰਸ਼ੋਧਨ ਨਾਮ ਅਤੇ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਦੀ ਸੂਚੀ ਦਿੰਦੀ ਹੈ:

ਸੰਸ਼ੋਧਨ ਨਾਮ ਅਤੇ ਕਿਸਮਾਂ

ਸੰਸ਼ੋਧਨ ਨਾਮ ਸੰਸ਼ੋਧਨ ਦੀ ਕਿਸਮ
blinking_led.qsf ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਅਧਾਰ
blinking_led_default.qsf ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ
blinking_led_slow.qsf ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ
blinking_led_empty.qsf ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਪਰਸੋਨਾ ਲਾਗੂ ਕਰਨਾ

ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਸੈੱਟ ਕਰਨਾ

  1. ਪ੍ਰੋਜੈਕਟ ➤ ਸੰਸ਼ੋਧਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  2. ਸੰਸ਼ੋਧਨ ਨਾਮ ਵਿੱਚ, blinking_led ਸੰਸ਼ੋਧਨ ਦੀ ਚੋਣ ਕਰੋ, ਅਤੇ ਫਿਰ ਮੌਜੂਦਾ ਸੈੱਟ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  3. ਲਾਗੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। blinking_led ਸੰਸ਼ੋਧਨ ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਦੇ ਰੂਪ ਵਿੱਚ ਪ੍ਰਦਰਸ਼ਿਤ ਹੁੰਦਾ ਹੈ।
  4. blinking_led ਲਈ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਸੈੱਟ ਕਰਨ ਲਈ, ਅਸਾਈਨਮੈਂਟ ➤ ਸੈਟਿੰਗਾਂ ➤ ਜਨਰਲ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  5. ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਲਈ, ਅਧੂਰਾ ਮੁੜ ਸੰਰਚਨਾ ਚੁਣੋ – ਅਧਾਰ, ਅਤੇ ਫਿਰ ਠੀਕ ਹੈ ਤੇ ਕਲਿਕ ਕਰੋ।
  6. ਪੁਸ਼ਟੀ ਕਰੋ ਕਿ blinking_led.qsf ਵਿੱਚ ਹੁਣ ਹੇਠ ਦਿੱਤੀ ਅਸਾਈਨਮੈਂਟ ਹੈ: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

ਲਾਗੂ ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾ

  1. ਸੰਸ਼ੋਧਨ ਡਾਇਲਾਗ ਬਾਕਸ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਸੰਸ਼ੋਧਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  2. ਇੱਕ ਨਵਾਂ ਸੰਸ਼ੋਧਨ ਬਣਾਉਣ ਲਈ, < 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ >।
  3. ਸੰਸ਼ੋਧਨ ਨਾਮ ਵਿੱਚ, blinking_led_default ਨਿਰਧਾਰਤ ਕਰੋ ਅਤੇ ਸੰਸ਼ੋਧਨ ਦੇ ਅਧਾਰ ਤੇ ਲਈ blinking_led ਦੀ ਚੋਣ ਕਰੋ।
  4. ਸੰਸ਼ੋਧਨ ਦੀ ਕਿਸਮ ਲਈ, ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ - ਵਿਅਕਤੀ ਲਾਗੂਕਰਨ ਦੀ ਚੋਣ ਕਰੋ।

ਸੰਸ਼ੋਧਨ ਬਣਾਉਣਾintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (14)

  1. ਇਸੇ ਤਰ੍ਹਾਂ, blinking_led_slow ਅਤੇ blinking_led_empty ਸੰਸ਼ੋਧਨ ਲਈ ਸੰਸ਼ੋਧਨ ਕਿਸਮ ਸੈੱਟ ਕਰੋ।
  2. ਪੁਸ਼ਟੀ ਕਰੋ ਕਿ ਹਰੇਕ .qsf file ਹੁਣ ਹੇਠ ਦਿੱਤੀ ਅਸਾਈਨਮੈਂਟ ਸ਼ਾਮਲ ਹੈ: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led ਜਿੱਥੇ, ਪਲੇਸ_ਹੋਲਡਰ ਨਵੇਂ ਬਣਾਏ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ ਡਿਫੌਲਟ ਇਕਾਈ ਦਾ ਨਾਮ ਹੈ।

ਪ੍ਰੋਜੈਕਟ ਸੰਸ਼ੋਧਨintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (16)

ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਕਰਨਾ

  1. ਅਧਾਰ ਸੰਸ਼ੋਧਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿਕਲਪਿਕ ਤੌਰ 'ਤੇ, ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਬੇਸ ਰੀਵਿਜ਼ਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ: quartus_sh –flow compile blinking_led -c blinking_led
  2. ਬਿੱਟਸਟ੍ਰੀਮ ਦੀ ਜਾਂਚ ਕਰੋ files ਜੋ ਆਉਟਪੁੱਟ ਵਿੱਚ ਪੈਦਾ ਕਰਦਾ ਹੈ_files ਡਾਇਰੈਕਟਰੀ.

ਤਿਆਰ ਕੀਤਾ Files

ਨਾਮ ਟਾਈਪ ਕਰੋ ਵਰਣਨ
blinking_led.sof ਬੇਸ ਪ੍ਰੋਗਰਾਮਿੰਗ file ਫੁੱਲ-ਚਿੱਪ ਬੇਸ ਕੌਂਫਿਗਰੇਸ਼ਨ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ
blinking_led.pr_partition.rbf PR ਬਿੱਟਸਟ੍ਰੀਮ file ਅਧਾਰ ਵਿਅਕਤੀ ਲਈ ਅਧਾਰ ਵਿਅਕਤੀ ਦੇ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ।
blinking_led_static.qdb .qdb ਡਾਟਾਬੇਸ file ਅੰਤਮ ਡਾਟਾਬੇਸ file ਸਥਿਰ ਖੇਤਰ ਨੂੰ ਆਯਾਤ ਕਰਨ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਉਪਭੋਗਤਾ ਗਾਈਡ ਵਿੱਚ "ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਡਿਜ਼ਾਈਨ ਫਲੋਰ ਪਲਾਨ": ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ
  • ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ ਵਿੱਚ "ਫਲੋਰਪਲਾਨ ਦੀਆਂ ਰੁਕਾਵਟਾਂ ਨੂੰ ਲਗਾਤਾਰ ਲਾਗੂ ਕਰਨਾ": ਅੰਸ਼ਕ ਮੁੜ ਸੰਰਚਨਾ

PR ਲਾਗੂ ਕਰਨ ਦੇ ਸੰਸ਼ੋਧਨ ਦੀ ਤਿਆਰੀ
ਡਿਵਾਈਸ ਪ੍ਰੋਗਰਾਮਿੰਗ ਲਈ PR ਬਿੱਟਸਟ੍ਰੀਮ ਨੂੰ ਕੰਪਾਇਲ ਅਤੇ ਤਿਆਰ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਤੁਹਾਨੂੰ PR ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਨੂੰ ਤਿਆਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਇਸ ਸੈੱਟਅੱਪ ਵਿੱਚ ਸਥਿਰ ਖੇਤਰ .qdb ਸ਼ਾਮਲ ਕਰਨਾ ਸ਼ਾਮਲ ਹੈ file ਸਰੋਤ ਦੇ ਤੌਰ ਤੇ file ਹਰੇਕ ਲਾਗੂ ਸੰਸ਼ੋਧਨ ਲਈ। ਇਸ ਤੋਂ ਇਲਾਵਾ, ਤੁਹਾਨੂੰ PR ਖੇਤਰ ਦੀ ਅਨੁਸਾਰੀ ਹਸਤੀ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।

  1. ਮੌਜੂਦਾ ਸੰਸ਼ੋਧਨ ਨੂੰ ਸੈੱਟ ਕਰਨ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਸੰਸ਼ੋਧਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਸੰਸ਼ੋਧਨ ਨਾਮ ਦੇ ਤੌਰ 'ਤੇ blinking_led_default ਦੀ ਚੋਣ ਕਰੋ, ਅਤੇ ਫਿਰ ਮੌਜੂਦਾ ਸੈੱਟ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  2. ਹਰੇਕ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ ਸਹੀ ਸਰੋਤ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ਜੋੜੋ/ਹਟਾਓ 'ਤੇ ਕਲਿੱਕ ਕਰੋ Fileਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਐੱਸ. The blinking_led.sv file ਵਿੱਚ ਪ੍ਰਗਟ ਹੁੰਦਾ ਹੈ file ਸੂਚੀ

Filespageintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (17)

  1. ਦੂਜੇ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਸਰੋਤ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ ਕਦਮ 1 ਤੋਂ 2 ਦੁਹਰਾਓ files:
ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਦਾ ਨਾਮ ਸਰੋਤ File
blinking_led_default blinking_led.sv
blinking_led_empty blinking_led_empty.sv
blinking_led_slow blinking_led_slow.sv
  1. .qdb ਦੀ ਪੁਸ਼ਟੀ ਕਰਨ ਲਈ file ਰੂਟ ਭਾਗ ਨਾਲ ਸੰਬੰਧਿਤ, ਅਸਾਈਨਮੈਂਟਸ ➤ ਡਿਜ਼ਾਈਨ ਭਾਗ ਵਿੰਡੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪਾਰਟੀਸ਼ਨ ਡਾਟਾਬੇਸ ਦੀ ਪੁਸ਼ਟੀ ਕਰੋ File blinking_led_static.qdb ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ file, ਜਾਂ ਪਾਰਟੀਸ਼ਨ ਡਾਟਾਬੇਸ 'ਤੇ ਦੋ ਵਾਰ ਕਲਿੱਕ ਕਰੋ File ਇਸ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਸੈੱਲ file. ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਇਸ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਦੀ ਹੈ file: set_instance_assignment -ਨਾਮ QDB_FILE_PARTITION\blinking_led_static.qdb -to |
  2. ਐਂਟਿਟੀ ਰੀ-ਬਾਈਡਿੰਗ ਸੈੱਲ ਵਿੱਚ, ਹਰੇਕ PR ਭਾਗ ਦੀ ਇਕਾਈ ਦਾ ਨਾਮ ਦਿਓ ਜੋ ਤੁਸੀਂ ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਬਦਲਦੇ ਹੋ। blinking_led_default ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਲਈ, ਇਕਾਈ ਦਾ ਨਾਮ blinking_led ਹੈ। ਇਸ ਟਿਊਟੋਰਿਅਲ ਵਿੱਚ, ਤੁਸੀਂ ਬੇਸ ਰੀਵਿਜ਼ਨ ਕੰਪਾਇਲ ਤੋਂ u_blinking_led ਉਦਾਹਰਨ ਨੂੰ ਨਵੀਂ blinking_led ਇਕਾਈ ਨਾਲ ਓਵਰਰਾਈਟ ਕਰਦੇ ਹੋ।

ਨੋਟ: ਇੱਕ ਪਲੇਸਹੋਲਡਰ ਇਕਾਈ ਰੀਬਾਈਡਿੰਗ ਅਸਾਈਨਮੈਂਟ ਨੂੰ ਆਪਣੇ ਆਪ ਲਾਗੂ ਕਰਨ ਦੇ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਜੋੜਿਆ ਜਾਂਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਤੁਹਾਨੂੰ ਅਸਾਈਨਮੈਂਟ ਵਿੱਚ ਪੂਰਵ-ਨਿਰਧਾਰਤ ਇਕਾਈ ਦੇ ਨਾਮ ਨੂੰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਲਈ ਇੱਕ ਉਚਿਤ ਇਕਾਈ ਨਾਮ ਵਿੱਚ ਬਦਲਣਾ ਚਾਹੀਦਾ ਹੈ।

ਲਾਗੂਕਰਨ ਸੰਸ਼ੋਧਨ ਦਾ ਨਾਮ ਇਕਾਈ ਰੀ-ਬਾਈਡਿੰਗ
blinking_led_default blinking_led
blinking_led_slow blinking_led_slow
blinking_led_empty blinking_led_empty

ਇਕਾਈ ਰੀਬਾਈਡਿੰਗintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (18)

  1. ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ, ਪ੍ਰੋਸੈਸਿੰਗ ➤ ਕੰਪਾਈਲ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਹੇਠ ਦਿੱਤੀ ਕਮਾਂਡ ਇਸ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. blinking_led_slow ਅਤੇ blinking_led_empty ਸੰਸ਼ੋਧਨ ਤਿਆਰ ਕਰਨ ਲਈ ਉਪਰੋਕਤ ਕਦਮਾਂ ਨੂੰ ਦੁਹਰਾਓ: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

ਨੋਟ: ਤੁਸੀਂ ਕਿਸੇ ਵੀ ਫਿਟਰ ਵਿਸ਼ੇਸ਼ ਸੈਟਿੰਗਾਂ ਨੂੰ ਨਿਸ਼ਚਿਤ ਕਰ ਸਕਦੇ ਹੋ ਜੋ ਤੁਸੀਂ PR ਲਾਗੂਕਰਨ ਸੰਕਲਨ ਦੌਰਾਨ ਲਾਗੂ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ। ਫਿਟਰ ਖਾਸ ਸੈਟਿੰਗਾਂ ਆਯਾਤ ਕੀਤੇ ਸਥਿਰ ਖੇਤਰ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕੀਤੇ ਬਿਨਾਂ, ਸਿਰਫ ਵਿਅਕਤੀ ਦੇ ਫਿੱਟ ਨੂੰ ਪ੍ਰਭਾਵਤ ਕਰਦੀਆਂ ਹਨ।

ਬੋਰਡ ਦਾ ਪ੍ਰੋਗਰਾਮਿੰਗ
ਇਹ ਟਿਊਟੋਰਿਅਲ ਤੁਹਾਡੀ ਮੇਜ਼ਬਾਨ ਮਸ਼ੀਨ ਵਿੱਚ PCIe* ਸਲਾਟ ਦੇ ਬਾਹਰ, ਬੈਂਚ ਉੱਤੇ ਇੱਕ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਬੋਰਡ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ, ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਤੁਸੀਂ ਹੇਠਾਂ ਦਿੱਤੇ ਕਦਮ ਪੂਰੇ ਕਰ ਲਏ ਹਨ:

  1. ਪਾਵਰ ਸਪਲਾਈ ਨੂੰ Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਨਾਲ ਕਨੈਕਟ ਕਰੋ।
  2. Intel FPGA ਡਾਉਨਲੋਡ ਕੇਬਲ ਨੂੰ ਆਪਣੇ PC USB ਪੋਰਟ ਅਤੇ Intel FPGA ਡਾਊਨਲੋਡ ਕੇਬਲ ਪੋਰਟ ਦੇ ਵਿਚਕਾਰ ਵਿਕਾਸ ਬੋਰਡ 'ਤੇ ਕਨੈਕਟ ਕਰੋ।

Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ 'ਤੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਚਲਾਉਣ ਲਈ:

  1. Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਖੋਲ੍ਹੋ ਅਤੇ Tools ➤ Programmer 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  2. ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ USB-ਬਲਾਸਟਰ ਦੀ ਚੋਣ ਕਰੋ।
  3. ਆਟੋ ਡਿਟੈਕਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਡਿਵਾਈਸ ਚੁਣੋ, AGFB014R24AR0।
  4. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਬੋਰਡ 'ਤੇ ਤਿੰਨ FPGA ਡਿਵਾਈਸਾਂ ਨਾਲ ਪ੍ਰੋਗਰਾਮਰ ਨੂੰ ਖੋਜਦਾ ਅਤੇ ਅਪਡੇਟ ਕਰਦਾ ਹੈ।
  5. AGFB014R24AR0 ਡਿਵਾਈਸ ਚੁਣੋ, ਬਦਲੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ File ਅਤੇ blinking_led_default.sof ਨੂੰ ਲੋਡ ਕਰੋ file.
  6. blinking_led_default.sof ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ file.
  7. ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਪ੍ਰਗਤੀ ਪੱਟੀ ਦੇ 100% ਤੱਕ ਪਹੁੰਚਣ ਦੀ ਉਡੀਕ ਕਰੋ।
  8. ਮੂਲ ਫਲੈਟ ਡਿਜ਼ਾਇਨ ਵਾਂਗ ਹੀ ਬਾਰੰਬਾਰਤਾ 'ਤੇ ਝਪਕਦੇ ਹੋਏ ਬੋਰਡ 'ਤੇ LEDs ਨੂੰ ਵੇਖੋ।
  9. ਸਿਰਫ਼ PR ਖੇਤਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ, blinking_led_default.sof 'ਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ file ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ ਅਤੇ ਐਡ ਪੀਆਰ ਪ੍ਰੋਗਰਾਮਿੰਗ 'ਤੇ ਕਲਿੱਕ ਕਰੋ File.
  10. blinking_led_slow.pr_partition.rbf ਚੁਣੋ file.
  11. blinking_led_default.sof ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਨੂੰ ਅਯੋਗ ਕਰੋ file.
  12. blinking_led_slow.pr_partition.rbf ਲਈ ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ file ਅਤੇ ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਬੋਰਡ 'ਤੇ, LED[0] ਅਤੇ LED[1] ਨੂੰ ਲਗਾਤਾਰ ਝਪਕਦੇ ਹੋਏ ਵੇਖੋ। ਜਦੋਂ ਪ੍ਰਗਤੀ ਪੱਟੀ 100% ਤੱਕ ਪਹੁੰਚ ਜਾਂਦੀ ਹੈ, ਤਾਂ LED[2] ਅਤੇ LED[3] ਹੌਲੀ ਹੌਲੀ ਝਪਕਦੇ ਹਨ।
  13. PR ਖੇਤਰ ਨੂੰ ਮੁੜ-ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ, .rbf 'ਤੇ ਸੱਜਾ-ਕਲਿੱਕ ਕਰੋ file ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ ਅਤੇ PR ਪ੍ਰੋਗਰਾਮਿੰਗ ਬਦਲੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ File.
  14. .rbf ਚੁਣੋ fileਹੋਰ ਦੋ ਵਿਅਕਤੀਆਂ ਲਈ ਬੋਰਡ 'ਤੇ ਵਿਵਹਾਰ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ. blinking_led_default.rbf ਨੂੰ ਲੋਡ ਕੀਤਾ ਜਾ ਰਿਹਾ ਹੈ file ਇੱਕ ਖਾਸ ਬਾਰੰਬਾਰਤਾ 'ਤੇ LEDs ਝਪਕਦਾ ਹੈ, ਅਤੇ blinking_led_empty.rbf ਨੂੰ ਲੋਡ ਕਰਦਾ ਹੈ file LEDs ਨੂੰ ਚਾਲੂ ਰੱਖਣ ਦਾ ਕਾਰਨ ਬਣਦਾ ਹੈ।

Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਦਾ ਪ੍ਰੋਗਰਾਮਿੰਗintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (19)ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਫਲੋ

ਹੇਠਾਂ ਦਿੱਤੇ ਕ੍ਰਮ ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਪ੍ਰਵਾਹ ਦਾ ਵਰਣਨ ਕਰਦੇ ਹਨ।
Intel Agilex ਡਿਵਾਈਸ ਬਾਹਰੀ ਹੋਸਟ ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (20)

ਸਹਾਇਕ FPGA (ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ) ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ
ਨਿਮਨਲਿਖਤ ਕ੍ਰਮ ਸਹਾਇਕ FPGA ਪ੍ਰੋਗਰਾਮਿੰਗ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ ਜੋ PR ਪ੍ਰਕਿਰਿਆ ਬਾਹਰੀ ਹੋਸਟ ਵਜੋਂ ਕੰਮ ਕਰਦਾ ਹੈ:

  1. Avalon ਸਟ੍ਰੀਮਿੰਗ ਇੰਟਰਫੇਸ ਸੈਟਿੰਗ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰੋ ਜੋ ਤੁਹਾਡੇ ਦੁਆਰਾ ਚੁਣੇ ਗਏ ਮੋਡ (x8, x16, ਜਾਂ x32) ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ।
  2. Intel Quartus Prime Programmer ਅਤੇ ਕਨੈਕਟ ਕੀਤੀ ਕੌਂਫਿਗਰੇਸ਼ਨ ਕੇਬਲ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਸਹਾਇਕ FPGA ਨੂੰ ਪ੍ਰੋਗ੍ਰਾਮ ਕਰਕੇ ਪਲੇਟਫਾਰਮ ਦੀ ਸ਼ੁਰੂਆਤ ਕਰੋ।
  3. ਸਹਾਇਕ FPGA ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ, CONF_DONE ਅਤੇ AVST_READY ਸਿਗਨਲ ਪੜ੍ਹੋ। CONF_DONE 0 ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ, AVST_READY 1 ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ। ਇਸ ਪਿੰਨ 'ਤੇ ਉੱਚ ਤਰਕ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ SDM ਬਾਹਰੀ ਹੋਸਟ ਤੋਂ ਡਾਟਾ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਤਿਆਰ ਹੈ। ਇਹ ਆਉਟਪੁੱਟ SDM I/O ਦਾ ਹਿੱਸਾ ਹੈ।

ਨੋਟ: CONF_DONE ਪਿੰਨ ਇੱਕ ਬਾਹਰੀ ਹੋਸਟ ਨੂੰ ਸੰਕੇਤ ਕਰਦਾ ਹੈ ਕਿ ਬਿੱਟਸਟ੍ਰੀਮ ਟ੍ਰਾਂਸਫਰ ਸਫਲ ਹੈ। ਇਹਨਾਂ ਸਿਗਨਲਾਂ ਦੀ ਵਰਤੋਂ ਸਿਰਫ਼ ਪੂਰੀ ਚਿੱਪ ਕੌਂਫਿਗਰੇਸ਼ਨ ਪ੍ਰਕਿਰਿਆ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ ਕਰੋ। ਇਸ ਪਿੰਨ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ Intel Agilex ਕੌਂਫਿਗਰੇਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ ਵੇਖੋ।

ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ ਦੁਆਰਾ ਪੂਰੀ ਚਿੱਪ SOF ਦੇ ਨਾਲ DUT FPGA ਨੂੰ ਪ੍ਰੋਗ੍ਰਾਮ ਕਰੋ ਨਿਮਨਲਿਖਤ ਕ੍ਰਮ ਪੂਰੀ ਚਿੱਪ SRAM ਆਬਜੈਕਟ ਦੇ ਨਾਲ DUT FPGA ਪ੍ਰੋਗਰਾਮਿੰਗ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ File (.sof) ਹੋਸਟ ਐਵਲੋਨ ਸਟ੍ਰੀਮਿੰਗ ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ:

  1. ਸਹਾਇਕ FPGA (ਬਾਹਰੀ ਹੋਸਟ) ਦੀ DDR4 ਬਾਹਰੀ ਮੈਮੋਰੀ ਵਿੱਚ ਪੂਰੀ ਚਿੱਪ ਬਿੱਟਸਟ੍ਰੀਮ ਲਿਖੋ।
  2. Avalon ਸਟ੍ਰੀਮਿੰਗ ਇੰਟਰਫੇਸ (x8, x16, x32) ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਪੂਰੀ ਚਿੱਪ .sof ਨਾਲ DUT FPGA ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ।
  3. ਸਥਿਤੀ DUT FPGA ਸੰਰਚਨਾ ਸੰਕੇਤ ਪੜ੍ਹੋ। CONF_DONE 1 ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ, AVST_READY 0 ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।

ਸਮਾਂ ਨਿਰਧਾਰਨ: ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਬਾਹਰੀ ਕੰਟਰੋਲਰ Intel FPGA IPintel-750856-Agilex-FPGA-ਵਿਕਾਸ-ਬੋਰਡ-FIG-1 (21)

ਬਾਹਰੀ ਹੋਸਟ ਦੁਆਰਾ ਪਹਿਲੇ ਵਿਅਕਤੀ ਨਾਲ DUT FPGA ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ

  1. DUT FPGA ਵਿੱਚ ਨਿਸ਼ਾਨਾ PR ਖੇਤਰ 'ਤੇ ਫ੍ਰੀਜ਼ ਨੂੰ ਲਾਗੂ ਕਰੋ।
  2. Intel Quartus Prime System Console ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ, ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ ਸ਼ੁਰੂ ਕਰਨ ਲਈ pr_request ਦਾ ਦਾਅਵਾ ਕਰੋ। AVST_READY 1 ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।
  3. ਸਹਾਇਕ FPGA (ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ) ਦੀ DDR4 ਬਾਹਰੀ ਮੈਮੋਰੀ ਵਿੱਚ ਪਹਿਲਾ PR ਪਰਸਨਲ ਬਿੱਟਸਟ੍ਰੀਮ ਲਿਖੋ।
  4. Avalon ਸਟ੍ਰੀਮਿੰਗ ਇੰਟਰਫੇਸ (x8, x16, x32) ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ, DUT FPGA ਨੂੰ ਪਹਿਲੇ ਵਿਅਕਤੀ ਬਿੱਟਸਟ੍ਰੀਮ ਨਾਲ ਮੁੜ ਸੰਰਚਿਤ ਕਰੋ।
  5. PR ਸਥਿਤੀ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ, ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਲਾਂਚ ਕਰਨ ਲਈ ਟੂਲਸ ➤ ਸਿਸਟਮ ਕੰਸੋਲ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ, ਪੀਆਰ ਸਥਿਤੀ ਦੀ ਨਿਗਰਾਨੀ ਕਰੋ:
    • pr_error 2 ਹੈ — ਮੁੜ ਸੰਰਚਨਾ ਪ੍ਰਕਿਰਿਆ ਵਿੱਚ ਹੈ।
    • pr_error 3 ਹੈ—ਮੁੜ ਸੰਰਚਨਾ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
  6. DUT FPGA ਵਿੱਚ PR ਖੇਤਰ 'ਤੇ ਅਨਫ੍ਰੀਜ਼ ਲਾਗੂ ਕਰੋ।

ਨੋਟ: ਜੇ PR ਓਪਰੇਸ਼ਨ ਦੌਰਾਨ ਕੋਈ ਗਲਤੀ ਹੁੰਦੀ ਹੈ, ਜਿਵੇਂ ਕਿ ਸੰਸਕਰਣ ਜਾਂਚ ਜਾਂ ਅਧਿਕਾਰ ਜਾਂਚ ਵਿੱਚ ਅਸਫਲਤਾ, PR ਓਪਰੇਸ਼ਨ ਬੰਦ ਹੋ ਜਾਂਦਾ ਹੈ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • Intel Agilex ਕੌਂਫਿਗਰੇਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ
  • Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਡੀਬੱਗ ਟੂਲਸ

AN 991 ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ: Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਲਈ ਸੰਰਚਨਾ ਪਿੰਨ (ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ) ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ

ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ Intel Quartus Prime ਸੰਸਕਰਣ ਤਬਦੀਲੀਆਂ
2022.11.14 22.3 • ਸ਼ੁਰੂਆਤੀ ਰਿਲੀਜ਼।

AN 991: ਸੰਰਚਨਾ ਪਿੰਨ (ਬਾਹਰੀ ਮੇਜ਼ਬਾਨ) ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਦੁਆਰਾ ਅੰਸ਼ਕ ਪੁਨਰ-ਸੰਰਚਨਾ: Intel Agilex F-Series FPGA ਵਿਕਾਸ ਬੋਰਡ ਲਈ

ਪ੍ਰਮੁੱਖ ਅਕਸਰ ਪੁੱਛੇ ਜਾਂਦੇ ਸਵਾਲਾਂ ਦੇ ਜਵਾਬ:

  • Q ਸੰਰਚਨਾ ਪਿੰਨ ਦੁਆਰਾ PR ਕੀ ਹੈ?
  • A ਪੰਨਾ 3 'ਤੇ ਬਾਹਰੀ ਹੋਸਟ ਕੌਂਫਿਗਰੇਸ਼ਨ
  • Q ਮੈਨੂੰ ਇਸ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਲਈ ਕੀ ਚਾਹੀਦਾ ਹੈ?
  • A ਸਫ਼ਾ 6 'ਤੇ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਲੋੜਾਂ
  • Q ਮੈਨੂੰ ਹਵਾਲਾ ਡਿਜ਼ਾਈਨ ਕਿੱਥੋਂ ਮਿਲ ਸਕਦਾ ਹੈ?
  • A ਸਫ਼ਾ 6 'ਤੇ ਸੰਦਰਭ ਡਿਜ਼ਾਈਨ ਲੋੜਾਂ
  • Q ਮੈਂ ਬਾਹਰੀ ਸੰਰਚਨਾ ਦੁਆਰਾ PR ਕਿਵੇਂ ਕਰਾਂ?
  • A ਪੰਨਾ 6 'ਤੇ ਡਿਜ਼ਾਈਨ ਵਾਕਥਰੂ ਦਾ ਹਵਾਲਾ ਦਿਓ
  • Q ਇੱਕ PR ਸ਼ਖਸੀਅਤ ਕੀ ਹੈ?
  • A ਪੰਨਾ 11 'ਤੇ ਵਿਅਕਤੀਆਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨਾ
  • Q ਮੈਂ ਬੋਰਡ ਨੂੰ ਕਿਵੇਂ ਪ੍ਰੋਗਰਾਮ ਕਰਾਂ?
  • A ਪੰਨਾ 17 'ਤੇ ਬੋਰਡ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ
  • Q PR ਜਾਣੇ ਜਾਂਦੇ ਮੁੱਦੇ ਅਤੇ ਸੀਮਾਵਾਂ ਕੀ ਹਨ?
  • A Intel FPGA ਸਹਾਇਤਾ ਫੋਰਮ: PR
  • Q ਕੀ ਤੁਹਾਡੇ ਕੋਲ PR ਬਾਰੇ ਸਿਖਲਾਈ ਹੈ?
  • A Intel FPGA ਤਕਨੀਕੀ ਸਿਖਲਾਈ ਕੈਟਾਲਾਗ

ਔਨਲਾਈਨ ਸੰਸਕਰਣ ਫੀਡਬੈਕ ਭੇਜੋ

  • ID: 750856
  • ਸੰਸਕਰਣ: 2022.11.14

ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ

intel 750856 Agilex FPGA ਵਿਕਾਸ ਬੋਰਡ [pdf] ਯੂਜ਼ਰ ਗਾਈਡ
750856, 750857, 750856 Agilex FPGA ਵਿਕਾਸ ਬੋਰਡ, Agilex FPGA ਵਿਕਾਸ ਬੋਰਡ, FPGA ਵਿਕਾਸ ਬੋਰਡ, ਵਿਕਾਸ ਬੋਰਡ, ਬੋਰਡ

ਹਵਾਲੇ

ਇੱਕ ਟਿੱਪਣੀ ਛੱਡੋ

ਤੁਹਾਡਾ ਈਮੇਲ ਪਤਾ ਪ੍ਰਕਾਸ਼ਿਤ ਨਹੀਂ ਕੀਤਾ ਜਾਵੇਗਾ। ਲੋੜੀਂਦੇ ਖੇਤਰਾਂ ਨੂੰ ਚਿੰਨ੍ਹਿਤ ਕੀਤਾ ਗਿਆ ਹੈ *