intel 750856 Agilex FPGA զարգացման խորհուրդ
Ապրանքի մասին տեղեկատվություն
Այս տեղեկատու դիզայնը նախատեսված է Intel Agilex F-Series FPGA զարգացման խորհրդի համար: Այն օգտագործում է մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ն և ունի պարզ PR տարածաշրջան: Intel Agilex Device External Host Hardware Setup-ը բաղկացած է արտաքին սարքից (Helper FPGA), DUT FPGA-ից և ձեր արտաքին հոսթի դիզայնից: Արտաքին սարքում հյուրընկալող դիզայնը պատասխանատու է PR գործընթացի հոսթինգի համար: PR կապումներն օգտագործվում են երկու սարքերը միացնելու համար և կարող են լինել ցանկացած հասանելի օգտվողի I/Os:
Ապրանքի օգտագործման հրահանգներ
Արտաքին հյուրընկալող կոնֆիգուրացիա
Արտաքին հոսթի կազմաձևումը կատարելու համար հետևեք հետևյալ քայլերին.
- Ստեղծեք հյուրընկալող դիզայն արտաքին սարքում՝ PR գործընթացն անցկացնելու համար:
- Արտաքին սարքից միացրեք PR կապերը մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի կարգավորիչին Intel FPGA IP-ին DUT FPGA-ում:
- Հոսքի կազմաձևման տվյալները հյուրընկալող դիզայնից դեպի Intel Agilex Avalon հոսքային ինտերֆեյսի կապիչներ, որոնք համապատասխանում են IP-ից ձեռքսեղմման PR ազդանշաններին:
Մասնակի վերակազմավորում Կազմաձևման կապում գործողության միջոցով
Հետևյալ հաջորդականությունը նկարագրում է մասնակի վերակազմավորման գործողությունը կազմաձևման կապումներով.
- Հաստատեք pr_request PIN-ը, որը միացված է մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ին:
- IP-ն հաստատում է զբաղվածության ազդանշան՝ ցույց տալու, որ PR գործընթացն ընթացքի մեջ է (ըստ ցանկության):
- Եթե կոնֆիգուրացիայի համակարգը պատրաստ է PR գործողության, ապա ամրագրվում է avst_ready փին, որը ցույց է տալիս, որ այն պատրաստ է ընդունել տվյալներ:
- Հեռարձակեք PR-ի կազմաձևման տվյալները avst_data կապում և avst_valid pin-ի վրա՝ հետևելով Avalon հոսքային բնութագրին տվյալների փոխանցման հետադարձ ճնշումով:
- Հոսքը դադարում է, երբ avst_ready փին անջատված է:
- Ապահաստատեք avst_ready փինը՝ ցույց տալու, որ PR գործողության համար այլ տվյալներ չեն պահանջվում:
- Մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ն անջատում է զբաղվածության ազդանշանը՝ ցույց տալու գործընթացի ավարտը (ըստ ցանկության):
Մասնակի վերակազմավորում Կազմաձևման կապումներով (Արտաքին հոսթ) Հղման ձևավորում
Այս հավելվածի նշումը ցուցադրում է մասնակի վերակազմավորում Intel® Agilex® F-Series FPGA-ի մշակման տախտակի վրա կոնֆիգուրացիայի փիների (արտաքին հոսանքի) միջոցով:
Տեղեկատվության ձևավորում ավարտված էview
Մասնակի վերակազմակերպման (PR) հատկությունը թույլ է տալիս դինամիկ կերպով վերակազմավորել FPGA-ի մի մասը, մինչդեռ մնացած FPGA դիզայնը շարունակում է գործել: Դուք կարող եք ստեղծել մի քանի անձնավորություններ որոշակի տարածաշրջանի համար ձեր դիզայնում, որոնք չեն ազդում այս տարածաշրջանից դուրս գտնվող տարածքների շահագործման վրա: Այս մեթոդաբանությունը արդյունավետ է համակարգերում, որտեղ մի քանի գործառույթներ ժամանակային համօգտագործում են FPGA սարքի նույն ռեսուրսները: Intel Quartus® Prime Pro Edition ծրագրաշարի ընթացիկ տարբերակը ներկայացնում է նոր և պարզեցված կոմպիլյացիոն հոսք մասնակի վերակազմավորման համար: Այս Intel Agilex տեղեկատու դիզայնը օգտագործում է մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ն և ունի պարզ PR տարածաշրջան:
Intel Agilex սարքի արտաքին հյուրընկալող սարքաշարի կարգավորում
Արտաքին հյուրընկալող կոնֆիգուրացիա
Արտաքին հոսթի կազմաձևում դուք նախ պետք է ստեղծեք հոսթի ձևավորում արտաքին սարքում՝ PR գործընթացն անցկացնելու համար, ինչպես ցույց է տալիս Intel Agilex Սարքի արտաքին հյուրընկալող սարքաշարի կարգավորումը: Հյուրընկալող դիզայնը հոսում է կոնֆիգուրացիայի տվյալները Intel Agilex Avalon հոսքային ինտերֆեյսի կապումներին, որոնք համապատասխանում են PR ձեռքսեղմման ազդանշաններին, որոնք գալիս են մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ից: PR կապերը, որոնք դուք օգտագործում եք երկու սարքերը միացնելու համար, կարող են լինել ցանկացած հասանելի օգտվողի I/Os:
Հետևյալ հաջորդականությունը նկարագրում է մասնակի վերակազմավորումը կոնֆիգուրացիայի քորոցների գործողության միջոցով.
- Նախ հաստատեք pr_request փին, որը միացված է մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ին:
- IP-ն հաստատում է զբաղվածության ազդանշան՝ ցույց տալու, որ PR գործընթացն ընթացքի մեջ է (ըստ ցանկության):
- Եթե կոնֆիգուրացիայի համակարգը պատրաստ է PR գործողություն անցնելու, ապա ամրացվում է avst_ready փին, որը ցույց է տալիս, որ այն պատրաստ է ընդունել տվյալներ:
- Սկսեք հեռարձակել PR-ի կազմաձևման տվյալները avst_data-ի և avst_valid փին-ի վրա՝ միաժամանակ դիտարկելով Avalon հոսքային բնութագրերը՝ տվյալների փոխանցման հետադարձ ճնշումով:
- Հեռարձակումը դադարում է, երբ avst_ready փին անջատվում է:
- Կազմաձևման բոլոր տվյալների հոսքից հետո avst_ready փին անջատվում է՝ ցույց տալու համար, որ այլ տվյալներ չեն պահանջվում PR աշխատանքի համար:
- Մասնակի վերակազմակերպման Արտաքին կազմաձևման վերահսկիչ Intel FPGA IP-ն աղանդեր է տալիս զբաղվածության ազդանշանը՝ ցույց տալու գործընթացի ավարտը (ըստ ցանկության):
- Դուք կարող եք ստուգել pr_done և pr_error փիները՝ հաստատելու, թե արդյոք PR գործողությունը հաջողությամբ ավարտվել է: Եթե սխալ է տեղի ունենում, ինչպիսին է տարբերակի ստուգման և թույլտվության ստուգման ձախողումը, PR գործողությունն ավարտվում է:
Առնչվող տեղեկատվություն
- Intel Agilex F-Series FPGA Development Kit Web Էջ
- Intel Agilex F-Series FPGA Development Kit Օգտագործողի ուղեցույց
- Intel Quartus Prime Pro Edition Օգտագործողի ուղեցույց. Մասնակի վերակազմավորում
Մասնակի վերակազմավորում Արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP
Մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչը պահանջվում է օգտագործել կոնֆիգուրացիայի քորոցներ՝ PR-ի աշխատանքի համար PR տվյալների հոսքի համար: Դուք պետք է միացնեք մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ի բոլոր վերին մակարդակի պորտերը pr_request փինին, որպեսզի թույլատրեք հյուրընկալողի ձեռքսեղմումը անվտանգ սարքի կառավարչի (SDM) միջուկից: SDM-ը որոշում է, թե որ տեսակի կոնֆիգուրացիայի փին պետք է օգտագործվի՝ համաձայն ձեր MSEL պարամետրի:
Մասնակի վերակազմավորում Արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP
Մասնակի վերակազմավորում Արտաքին կազմաձևման վերահսկիչի պարամետրի կարգավորումներ
Պարամետր | Արժեք | Նկարագրություն |
Միացնել զբաղված ինտերֆեյսը | Միացնել or
Անջատել |
Թույլ է տալիս միացնել կամ անջատել Զբաղված ինտերֆեյսը, որը ազդանշան է տալիս՝ ցույց տալու, որ արտաքին կոնֆիգուրացիայի ընթացքում PR մշակումն ընթացքի մեջ է:
Կանխադրված կարգավորումն է Անջատել. |
Մասնակի վերակազմավորում Արտաքին կոնֆիգուրացիայի վերահսկիչի նավահանգիստները
Նավահանգստի անվանումը | Լայնություն | Ուղղություն | Գործառույթ |
pr_խնդրանք | 1 | Մուտքագրում | Ցույց է տալիս, որ PR գործընթացը պատրաստ է սկսել: Ազդանշանը հաղորդիչ է, որը համաժամանակյա չէ ժամացույցի որևէ ազդանշանի հետ: |
pr_error | 2 | Արդյունք | Ցույց է տալիս վերակազմավորման մասնակի սխալ:
• 2'b01 - ընդհանուր PR սխալ • 2'b11 — անհամատեղելի բիթ հոսքի սխալ Այս ազդանշանները խողովակներ են, որոնք համաժամանակյա չեն ժամացույցի որևէ աղբյուրի հետ: |
pr_done | 1 | Արդյունք | Ցույց է տալիս, որ PR գործընթացն ավարտված է: Ազդանշանը հաղորդիչ է, որը համաժամանակյա չէ ժամացույցի որևէ ազդանշանի հետ: |
start_addr | 1 | Մուտքագրում | Նշում է PR տվյալների մեկնարկի հասցեն Active Serial Flash-ում: Դուք միացնում եք այս ազդանշանը՝ ընտրելով որևէ մեկը Ավալոն®-ՍՏ or Active Serial համար Միացնել Avalon-ST կապում կամ ակտիվ սերիական կապում պարամետր. Ազդանշանը հաղորդիչ է, որը համաժամանակյա չէ ժամացույցի որևէ ազդանշանի հետ: |
վերակայել | 1 | Մուտքագրում | Ակտիվ բարձր, համաժամանակյա վերակայման ազդանշան: |
out_clk | 1 | Արդյունք | Ժամացույցի աղբյուր, որն առաջանում է ներքին օսլիլատորից: |
զբաղված | 1 | Արդյունք | IP-ն հաստատում է այս ազդանշանը՝ ցույց տալու PR տվյալների փոխանցման ընթացքը: Դուք միացնում եք այս ազդանշանը՝ ընտրելով Միացնել համար Միացնել զբաղված միջերեսը պարամետր. |
Հղումների նախագծման պահանջներ
Այս հղման դիզայնի օգտագործումը պահանջում է հետևյալը.
- Intel Quartus Prime Pro Edition 22.3 տարբերակի տեղադրում Intel Agilex սարքերի ընտանիքի աջակցությամբ:
- Միացում Intel Agilex F-Series FPGA մշակման տախտակին նստարանին:
- Դիզայնի ներբեռնում նախկինampհասանելի է հետևյալ վայրում. https://github.com/intel/fpga-partial-reconfig.
Դիզայնը ներբեռնելու համար նախկինampլե:
- Սեղմեք Clone կամ ներբեռնեք:
- Սեղմեք Ներբեռնել ZIP. Անջատեք fpga-partial-reconfig-master.zip-ը file.
- Նավարկեք դեպի ձեռնարկներ/agilex_external_pr_configuration ենթաթղթապանակ՝ հղումների դիզայնին մուտք գործելու համար:
Հղումների նախագծման ուղեցույց
Հետևյալ քայլերը նկարագրում են մասնակի վերակազմավորման իրականացումը Intel Agilex F-Series FPGA-ի մշակման տախտակի վրա կոնֆիգուրացիայի քորոցների (արտաքին հոսթ) միջոցով.
- Քայլ 1: Սկսել
- Քայլ 2: Դիզայնի բաժանման ստեղծում
- Քայլ 3: Տեղաբաշխման և երթուղային շրջանների բաշխում
- Քայլ 4: Մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչի IP-ի ավելացում
- Քայլ 5Անձերի սահմանում
- Քայլ 6: Վերանայումների ստեղծում
- Քայլ 7Բազային վերանայման կազմում
- Քայլ 8PR-ի իրականացման վերանայումների նախապատրաստում
- Քայլ 9: Խորհրդի ծրագրավորում
Քայլ 1. Սկսել
Հղման դիզայնը պատճենելու համար files ձեր աշխատանքային միջավայրին և կազմեք blinking_led հարթ դիզայնը.
- Ստեղծեք գրացուցակ ձեր աշխատանքային միջավայրում՝ agilex_pcie_devkit_blinking_led_pr:
- Պատճենեք ներբեռնված ձեռնարկները/agilex_pcie_devkit_blinking_led/flat ենթաթղթապանակը գրացուցակում, agilex_pcie_devkit_blinking_led_pr:
- Intel Quartus Prime Pro Edition ծրագրաշարում սեղմեք File ➤ Բացեք Project-ը և ընտրեք blinking_led.qpf:
- Հարթ դիզայնի հիերարխիան մշակելու համար սեղմեք Processing ➤ Start ➤ Start Analysis & Synthesis: Որպես այլընտրանք, հրամանի տողում գործարկեք հետևյալ հրամանը՝ quartus_syn blinking_led -c blinking_led
Դիզայնի բաժանման ստեղծում
Դուք պետք է ստեղծեք դիզայնի միջնորմներ յուրաքանչյուր PR տարածաշրջանի համար, որը ցանկանում եք մասնակիորեն վերակազմավորել: Հետևյալ քայլերը ստեղծում են դիզայնի բաժանում u_blinking_led օրինակի համար:
Դիզայնի միջնորմների ստեղծում
- Աջ սեղմեք u_blinking_led օրինակի վրա Project Navigator-ում և սեղմեք Design Partition ➤ Reconfigurable: Դիզայնի բաժանման պատկերակը հայտնվում է յուրաքանչյուր օրինակի կողքին, որը սահմանված է որպես բաժանում:
- Սեղմեք Assignments ➤ Design Partitions Window: Պատուհանը ցուցադրում է նախագծի բոլոր նախագծային բաժանմունքները:
- Խմբագրեք բաժանման անունը Design Partitions պատուհանում՝ կրկնակի սեղմելով անվան վրա: Այս տեղեկանքի ձևավորման համար բաժանման անունը վերանվանեք pr_partition
- Նշում. Երբ դուք ստեղծում եք միջնորմ, Intel Quartus Prime ծրագրաշարը ավտոմատ կերպով ստեղծում է բաժանման անուն՝ հիմնվելով օրինակի անվան և հիերարխիայի ուղու վրա: Այս լռելյայն բաժանման անունը կարող է տարբեր լինել յուրաքանչյուր օրինակից:
- To export the finalized static region from the base revision compile, double-click the entry for root_partition in the Post Final Export File column, and type blinking_led_static. gdb.
Post Final Snapshot-ի արտահանում Design Partitions պատուհանումՍտուգեք, որ blinking_led.qsf-ը պարունակում է հետևյալ առաջադրանքները, որոնք համապատասխանում են ձեր վերակազմավորվող դիզայնի բաժանմանը.
Առնչվող տեղեկատվություն
«Ստեղծեք դիզայնի միջնորմներ» Intel Quartus Prime Pro Edition-ում Օգտագործողի ուղեցույց. Մասնակի վերակազմավորում
Տեղաբաշխման և երթուղային շրջանի հատկացում PR բաժանման համար
Ձեր ստեղծած յուրաքանչյուր բազային վերանայման համար PR դիզայնի հոսքը տեղադրում է համապատասխան անձի միջուկը ձեր PR բաժանման տարածաշրջանում: Ձեր բազային վերանայման համար սարքի հատակագծում PR տարածաշրջանը գտնելու և նշանակելու համար.
- Աջ սեղմեք u_blinking_led օրինակի վրա Project Navigator-ում և սեղմեք Logic Lock Region ➤ Create New Logic Lock Region: Տարածաշրջանը հայտնվում է Logic Lock Regions պատուհանում:
- Ձեր տեղադրման տարածաշրջանը պետք է ներառի blinking_led տրամաբանությունը: Ընտրեք տեղաբաշխման շրջանը՝ տեղադրելով հանգույցը Chip Planner-ում: Աջ սեղմեք u_blinking_led տարածաշրջանի անվան վրա Logic Lock Regions պատուհանում և սեղմեք
Տեղադրեք հանգույցը ➤ Տեղադրեք Chip Planner-ում: U_blinking_led շրջանը գունավոր կոդավորված է
Chip Planner Node Location-ը blinking_led-ի համար
- Logic Lock Regions պատուհանում նշեք տեղադրման շրջանի կոորդինատները Ծագման սյունակում: Ծագումը համապատասխանում է շրջանի ստորին ձախ անկյունին։ Նախample, տեղադրման շրջան (X1 Y1) կոորդինատներով սահմանելու համար որպես (163 4), նշեք ծագումը որպես X163_Y4: Intel Quartus Prime ծրագրաշարը ավտոմատ կերպով հաշվարկում է (X2 Y2) կոորդինատները (վերևից աջ) տեղաբաշխման շրջանի համար՝ հիմնվելով ձեր նշած բարձրության և լայնության վրա:
- Նշում. Այս ձեռնարկը օգտագործում է (X1 Y1) կոորդինատները – (163 4) և տեղադրման շրջանի համար 20 բարձրություն և լայնություն: Սահմանեք ցանկացած արժեք տեղաբաշխման տարածաշրջանի համար: Համոզվեք, որ տարածաշրջանը ծածկում է blinking_led տրամաբանությունը:
- Միացնել Reserved և Core-Only տարբերակները:
- Կրկնակի սեղմեք Routing Region տարբերակը: Հայտնվում է Logic Lock Routing Region Settings երկխոսության տուփը:
- Ընտրեք Fixed with expansion-ը Routing տեսակի համար: Ընտրելով այս տարբերակը ավտոմատ կերպով նշանակում է 2 ընդլայնման երկարություն:
- Նշում. Երթուղային շրջանը պետք է ավելի մեծ լինի, քան տեղադրման շրջանը, որպեսզի լրացուցիչ ճկունություն ապահովի Սարքավորողի համար, երբ շարժիչը ուղղորդում է տարբեր անձնավորությունների:
Տրամաբանական կողպեք տարածաշրջանների պատուհանՀաստատեք, որ blinking_led.qsf-ը պարունակում է հետևյալ առաջադրանքները, որոնք համապատասխանում են ձեր հատակագծին.
Առնչվող տեղեկատվություն
«Հատակի պլանավորեք մասնակի վերակազմակերպման դիզայնը» Intel Quartus Prime Pro Edition-ում Օգտագործողի ուղեցույց. Մասնակի վերակազմավորում
Մասնակի վերակազմակերպման արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP-ի ավելացում
Մասնակի վերակազմակերպման Արտաքին կոնֆիգուրացիայի վերահսկիչ Intel FPGA IP միջերեսը Intel Agilex PR կառավարման բլոկի հետ՝ բիթ հոսքի աղբյուրը կառավարելու համար: Արտաքին կոնֆիգուրացիան իրականացնելու համար դուք պետք է ավելացնեք այս IP-ն ձեր դիզայնին: Հետևեք այս քայլերին՝ մասնակի վերակազմակերպման արտաքին կազմաձևման վերահսկիչ ավելացնելու համար
Intel FPGA IP ձեր նախագծին.
- IP-ի կատալոգի որոնման դաշտում մուտքագրեք Partal Reconfiguration (Գործիքներ ➤ IP կատալոգ):
- Կրկնակի սեղմեք Partal Reconfiguration External Configuration Controller Intel FPGA IP:
- In the Create IP Variant dialog box, type external_host_pr_ip as the File name, and then click Create. The parameter editor appears.
- Միացնել զբաղված ինտերֆեյսի պարամետրի համար ընտրեք Անջատել (կանխադրված պարամետր): Երբ ձեզ անհրաժեշտ է օգտագործել այս ազդանշանը, կարող եք միացնել կարգավորումը:
Միացնել Busy Interface Parameter-ը Parameter Editor-ում
- Սեղմեք File ➤ Save and exit the parameter editor without generating the system. The parameter editor generates the external_host_pr_ip.ip IP variation file and adds the file blinking_led նախագծին: AN 991. Մասնակի վերակազմավորում Կազմաձևման փիների միջոցով (Արտաքին հոսթ) Հղման ձևավորում 750856 | 2022.11.14 ԱՆ 991:
- Նշում.
- a. Եթե դուք պատճենում եք external_host_pr_ip.ip-ը file pr գրացուցակից, ձեռքով խմբագրեք blinking_led.qsf-ը file ներառել հետևյալ տողը. set_global_assignment -name IP_FILE pr_ip.ip
- b. Տեղադրեք IP_FILE հանձնարարություն SDC_-ից հետոFILE assignments (blinking_led. dc) in your blinking_led.qsf file. Այս պատվերը ապահովում է մասնակի վերակազմակերպման վերահսկիչի IP միջուկի համապատասխան սահմանափակում:
- Նշում. Ժամացույցները հայտնաբերելու համար .sdc file PR IP-ի համար պետք է հետևի ցանկացած .sdc-ին, որը ստեղծում է IP միջուկի օգտագործվող ժամացույցները: Դուք հեշտացնում եք այս պատվերը՝ ապահովելով, որ .ip file քանի որ PR IP միջուկը հայտնվում է ցանկացած .ip-ից հետո files կամ .sdc files, որոնք դուք օգտագործում եք այս ժամացույցները .qsf-ում սահմանելու համար file ձեր Intel Quartus Prime նախագծի վերանայման համար: Լրացուցիչ տեղեկությունների համար տե՛ս Մասնակի վերակազմակերպման IP լուծումների Օգտագործողի ուղեցույցը:
Վերին մակարդակի դիզայնի թարմացում
Թարմացնելու համար top.sv file PR_IP օրինակով.
- External_host_pr_ip օրինակը վերին մակարդակի դիզայնին ավելացնելու համար վերև.sv վերևում հանեք հետևյալ կոդի բլոկները: file:
Անձնավորությունների սահմանում
Այս հղման ձևավորումը սահմանում է երեք առանձին անձնավորություններ մեկ PR բաժնի համար: Անձերին ձեր նախագծում սահմանելու և ներառելու համար.
- Ստեղծեք երեք SystemVerilog files, blinking_led.sv, blinking_led_slow.sv և blinking_led_empty.sv ձեր աշխատանքային գրացուցակում երեք անձերի համար:
Reference Design Personas
Նշում.
- blinking_led.sv-ն արդեն հասանելի է որպես մաս fileԴուք պատճենում եք բնակարան/ենթագրացուցից: Դուք կարող եք պարզապես նորից օգտագործել սա file.
- Եթե ստեղծեք SystemVerilog files Intel Quartus Prime Text Editor-ից, անջատեք Ավելացնել file ընթացիկ նախագծի տարբերակին, երբ պահպանում եք files.
Վերանայումների ստեղծում
Հասարակայնության հետ կապերի նախագծման հոսքը օգտագործում է Intel Quartus Prime ծրագրային ապահովման նախագծի վերանայման հնարավորությունը: Ձեր նախնական դիզայնը բազային վերանայումն է, որտեղ դուք սահմանում եք ստատիկ շրջանի սահմանները և վերակազմավորվող շրջանները FPGA-ում: Հիմնական վերանայումից դուք ստեղծում եք բազմաթիվ վերանայումներ: Այս վերանայումները պարունակում են տարբեր իրականացումներ PR տարածաշրջանների համար: Այնուամենայնիվ, հասարակայնության հետ կապերի իրականացման բոլոր վերանայումներն օգտագործում են նույն վերին մակարդակի տեղաբաշխման և երթուղային արդյունքները բազային վերանայումից: PR դիզայն կազմելու համար դուք պետք է ստեղծեք PR իրականացման վերանայում յուրաքանչյուր անձի համար: Բացի այդ, դուք պետք է վերանայման տեսակներ նշանակեք յուրաքանչյուր վերանայման համար: Հասանելի վերանայման տեսակներն են.
- Մասնակի վերակազմավորում – Հիմք
- Մասնակի վերակազմավորում – Անձնակազմի իրականացում
Հետևյալ աղյուսակը թվարկում է վերանայման անվանումը և վերանայման տեսակը յուրաքանչյուր վերանայման համար.
Վերանայման անուններ և տեսակներ
Վերանայման անվանումը | Վերանայման տեսակը |
blinking_led.qsf | Մասնակի վերակազմավորում – Հիմք |
blinking_led_default.qsf | Մասնակի վերակազմավորում – Անձնակազմի իրականացում |
blinking_led_slow.qsf | Մասնակի վերակազմավորում – Անձնակազմի իրականացում |
blinking_led_empty.qsf | Մասնակի վերակազմավորում – Անձնակազմի իրականացում |
Բազային վերանայման տեսակը սահմանելը
- Սեղմեք Project ➤ Revisions:
- Revision Name-ում ընտրեք blinking_led տարբերակը, այնուհետև սեղմեք Սահմանել ընթացիկը:
- Սեղմեք Դիմել: Blinking_led տարբերակը ցուցադրվում է որպես ընթացիկ տարբերակ:
- Վերանայման տեսակը blinking_led-ի համար սահմանելու համար սեղմեք Հանձնարարություններ ➤ Կարգավորումներ ➤ Ընդհանուր:
- Վերանայման տեսակի համար ընտրեք Partal Reconfiguration – Base, ապա սեղմեք OK:
- Համոզվեք, որ blinking_led.qsf-ն այժմ պարունակում է հետևյալ առաջադրանքը՝ ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
Իրականացման վերանայումների ստեղծում
- Revisions երկխոսության տուփը բացելու համար սեղմեք Project ➤ Revisions:
- Նոր վերանայում ստեղծելու համար կրկնակի սեղմեք < >.
- Revision name-ում նշեք blinking_led_default և ընտրեք blinking_led համար «Հիմնված է վերանայման վրա»:
- Վերանայման տեսակի համար ընտրեք Մասնակի վերակազմակերպում – Անձի իրականացում:
Վերանայումների ստեղծում
- Նմանապես, սահմանեք Revision տեսակը blinking_led_slow և blinking_led_empty վերանայումների համար:
- Ստուգեք, որ յուրաքանչյուր .qsf file այժմ պարունակում է հետևյալ հանձնարարությունը. set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led, որտեղ, place_holder-ը լռելյայն կազմակերպության անվանումն է նոր ստեղծված PR իրականացման վերանայման համար:
Ծրագրի վերանայումներ
Բազային վերանայման կազմում
- Բազային վերանայումը կազմելու համար սեղմեք Processing ➤ Start Compilation: Որպես այլընտրանք, հետևյալ հրամանը կազմում է բազային վերանայումը. quartus_sh –flow compile blinking_led -c blinking_led
- Ստուգեք բիթ հոսքը fileներ, որոնք առաջացնում են ելքում_files գրացուցակ:
Գեներացվել է Files
Անուն | Տեսակ | Նկարագրություն |
blinking_led.sof | Բազային ծրագրավորում file | Օգտագործվում է ամբողջական չիպային բազայի կազմաձևման համար |
blinking_led.pr_partition.rbf | PR bitstream file բազային անձի համար | Օգտագործվում է բազային անձի մասնակի վերակազմավորման համար: |
blinking_led_static.qdb | .qdb տվյալների բազա file | Վերջնական տվյալների բազա file օգտագործվում է ստատիկ շրջան ներմուծելու համար: |
Առնչվող տեղեկատվություն
- «Հատակի պլանավորեք մասնակի վերակազմակերպման դիզայնը» Intel Quartus Prime Pro Edition-ում Օգտագործողի ուղեցույց. Մասնակի վերակազմավորում
- «Հատակի պլանի սահմանափակումների աստիճանական կիրառում» Intel Quartus Prime Pro Edition-ում Օգտագործողի ուղեցույց. Մասնակի վերակազմավորում
PR-ի իրականացման վերանայումների պատրաստում
Դուք պետք է պատրաստեք PR-ի իրականացման վերանայումները, նախքան սարքի ծրագրավորման համար PR բիթսթրիմը կազմելը և առաջացնելը: Այս կարգավորումը ներառում է .qdb ստատիկ շրջանի ավելացում file որպես աղբյուր file իրականացման յուրաքանչյուր վերանայման համար: Բացի այդ, դուք պետք է նշեք PR տարածաշրջանի համապատասխան սուբյեկտը:
- Ընթացիկ տարբերակը կարգավորելու համար սեղմեք Project ➤ Revisions, ընտրեք blinking_led_default որպես վերանայման անուն, այնուհետև սեղմեք Set Current:
- To verify the correct source for each implementation revision, click Project ➤Add/Remove Files in Project. The blinking_led.sv file հայտնվում է file ցուցակը.
Files էջ
- Կրկնեք 1-ից 2-րդ քայլերը՝ հաստատելու իրականացման այլ վերանայման աղբյուրը files:
Իրականացման վերանայման անվանումը | Աղբյուր File |
blinking_led_default | blinking_led.sv |
blinking_led_empty | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- .qdb-ը ստուգելու համար file associated with the root partition, click Assignments ➤ Design Partitions Window. Confirm that the Partition Database File specifies the blinking_led_static.qdb file, or double-click the Partition Database File cell to specify this file. Որպես այլընտրանք, հետևյալ հրամանը նշանակում է սա file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Entity Re-binding բջիջում նշեք յուրաքանչյուր PR բաժնի կազմակերպության անվանումը, որը դուք փոխում եք իրականացման վերանայման ժամանակ: Blinking_led_default իրականացման վերանայման համար կազմակերպության անունը blinking_led է: Այս ձեռնարկում դուք վերագրեք u_blinking_led օրինակը բազային վերանայման կոմպիլյայից նոր blinking_led էությամբ:
Նշում. Տեղապահ կազմակերպության վերամիավորման հանձնարարականը ավտոմատ կերպով ավելացվում է իրականացման վերանայմանը: Այնուամենայնիվ, դուք պետք է փոխեք հանձնարարության մեջ լռելյայն կազմակերպության անվանումը ձեր դիզայնի համար համապատասխան կազմակերպության անվանումով:
Իրականացման վերանայման անվանումը | Սուբյեկտի վերահաստատում |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_empty | blinking_led_empty |
Կազմակերպության վերամիացում
- Դիզայնը կազմելու համար սեղմեք Processing ➤ Start Compilation: Որպես այլընտրանք, հետևյալ հրամանը կազմում է այս նախագիծը՝ quartus_sh –flow compile blinking_led –c blinking_led_default
- Կրկնեք վերը նշված քայլերը՝ blinking_led_slow և blinking_led_edempty վերանայումները պատրաստելու համար. quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Նշում. Դուք կարող եք նշել Fitter-ի ցանկացած հատուկ կարգավորում, որը ցանկանում եք կիրառել PR-ի իրականացման ընթացքում: Հարմարեցված հատուկ կարգավորումները ազդում են միայն անձի համապատասխանության վրա՝ չազդելով ներմուծված ստատիկ տարածաշրջանի վրա:
Խորհրդի ծրագրավորում
Այս ձեռնարկը օգտագործում է Intel Agilex F-Series FPGA մշակման տախտակ նստարանին, ձեր հյուրընկալող մեքենայի PCIe* բնիկից դուրս: Նախքան տախտակը ծրագրավորելը, համոզվեք, որ կատարել եք հետևյալ քայլերը.
- Միացրեք սնուցման աղբյուրը Intel Agilex F-Series FPGA մշակման տախտակին:
- Միացրեք Intel FPGA ներբեռնման մալուխը ձեր համակարգչի USB պորտի և մշակման տախտակի վրա գտնվող Intel FPGA Ներբեռնման մալուխի միացքի միջև:
Դիզայնը Intel Agilex F-Series FPGA մշակման տախտակի վրա գործարկելու համար.
- Բացեք Intel Quartus Prime ծրագիրը և սեղմեք Գործիքներ ➤ Ծրագրավորող:
- Ծրագրավորողում սեղմեք Hardware Setup և ընտրեք USB-Blaster:
- Սեղմեք Auto Detect և ընտրեք սարքը՝ AGFB014R24AR0:
- Սեղմեք OK: Intel Quartus Prime ծրագրաշարը հայտնաբերում և թարմացնում է Ծրագրավորողին տախտակի վրա գտնվող երեք FPGA սարքերով:
- Select the AGFB014R24AR0 device, click Change File and load the blinking_led_default.sof file.
- Միացնել ծրագիրը/Կարգավորել blinking_led_default.sof-ի համար file.
- Կտտացրեք «Սկսել» և սպասեք, որ առաջընթացի բարը հասնի 100%-ի:
- Դիտեք, թե ինչպես են տախտակի վրա գտնվող LED-ները թարթում նույն հաճախականությամբ, ինչ բնօրինակ հարթ դիզայնը:
- Միայն PR տարածաշրջանը ծրագրավորելու համար աջ սեղմեք blinking_led_default.sof-ի վրա file Ծրագրավորողում և սեղմեք Ավելացնել PR ծրագրավորում File.
- Ընտրեք blinking_led_slow.pr_partition.rbf file.
- Անջատել ծրագիրը/Կարգավորել blinking_led_default.sof-ի համար file.
- Միացնել Program/Configure-ը blinking_led_slow.pr_partition.rbf-ի համար file և սեղմեք Սկսել: Տախտակի վրա դիտեք LED[0] և LED[1]-ը, որոնք շարունակում են թարթել: Երբ առաջընթացի սանդղակը հասնում է 100%-ի, LED[2] և LED[3]-ն ավելի դանդաղ են թարթում:
- PR տարածաշրջանը վերածրագրավորելու համար աջ սեղմեք .rbf file Ծրագրավորողում և սեղմեք «Փոխել PR ծրագրավորումը»: File.
- Ընտրեք .rbf files, որպեսզի մյուս երկու անձինք դիտարկեն վարքագիծը տախտակի վրա: Բեռնվում է blinking_led_default.rbf file առաջացնում է LED-ների թարթումը որոշակի հաճախականությամբ և բեռնում blinking_led_empty.rbf file հանգեցնում է LED-ների միացված մնալուն:
Intel Agilex F-Series FPGA-ի մշակման տախտակի ծրագրավորում
Սարքավորումների փորձարկման հոսք
Հետևյալ հաջորդականությունները նկարագրում են հղման նախագծման ապարատային փորձարկման հոսքը:
Intel Agilex սարքի արտաքին հյուրընկալող սարքաշարի կարգավորում
Ծրագրավորել Helper FPGA-ն (արտաքին հոսթ)
Հետևյալ հաջորդականությունը նկարագրում է օգնական FPGA-ի ծրագրավորումը, որը գործում է որպես PR գործընթացի արտաքին հոսթ.
- Նշեք Avalon հոսքային ինտերֆեյսի կարգավորումը, որը համապատասխանում է ձեր ընտրած ռեժիմին (x8, x16 կամ x32):
- Նախաձեռնեք հարթակը` ծրագրավորելով օգնական FPGA-ն` օգտագործելով Intel Quartus Prime Ծրագրավորողը և միացված կազմաձևման մալուխը:
- Օգտագործելով FPGA օգնականը, կարդացեք CONF_DONE և AVST_READY ազդանշանները: CONF_DONE-ը պետք է լինի 0, AVST_READY-ը պետք է լինի 1: Այս փին-ի վրա բարձր տրամաբանությունը ցույց է տալիս, որ SDM-ը պատրաստ է ընդունել տվյալներ արտաքին հոսթից: Այս ելքը SDM I/O-ի մի մասն է:
Նշում. CONF_DONE փին ազդանշան է տալիս արտաքին հոսթին, որ բիթ հոսքի փոխանցումը հաջող է: Օգտագործեք այս ազդանշանները միայն չիպի ամբողջական կազմաձևման գործընթացը վերահսկելու համար: Տե՛ս Intel Agilex-ի կազմաձևման Օգտագործողի ուղեցույցը՝ այս փինին վերաբերող լրացուցիչ տեղեկությունների համար:
Program the DUT FPGA with Full Chip SOF via External Host The following sequence describes programming the DUT FPGA with the full chip SRAM Object File (.sof) using the host Avalon streaming interface:
- Գրեք ամբողջական չիպի բիթ հոսքը օգնական FPGA-ի (արտաքին հոսթ) DDR4 արտաքին հիշողության մեջ:
- Կարգավորեք DUT FPGA-ն ամբողջական չիպով .sof՝ օգտագործելով Avalon հոսքային միջերեսը (x8, x16, x32):
- Կարդացեք կարգավիճակի DUT FPGA կազմաձևման ազդանշանները: CONF_DONE-ը պետք է լինի 1, AVST_READY-ը պետք է լինի 0:
Ժամկետային բնութագրեր. մասնակի վերակազմավորում Արտաքին վերահսկիչ Intel FPGA IP
Ծրագրավորեք DUT FPGA-ն Առաջին անձնավորության հետ արտաքին հոսթի միջոցով
- Կիրառեք սառեցումը թիրախային PR տարածաշրջանի վրա DUT FPGA-ում:
- Օգտագործելով Intel Quartus Prime System Console-ը, հաստատեք pr_request՝ մասնակի վերակազմավորումը սկսելու համար: AVST_READY-ը պետք է լինի 1:
- Առաջին PR persona bitstream-ը գրեք օգնական FPGA-ի (արտաքին հոսթ) DDR4 արտաքին հիշողության մեջ:
- Օգտագործելով Avalon հոսքային միջերեսը (x8, x16, x32), վերակազմավորեք DUT FPGA-ն առաջին պերսոնայի բիթ հոսքով:
- PR կարգավիճակը վերահսկելու համար սեղմեք Գործիքներ ➤ System Console՝ System Console-ը գործարկելու համար: System Console-ում վերահսկեք PR կարգավիճակը.
- pr_error-ը 2 է՝ վերակազմավորումն ընթացքի մեջ է:
- pr_error-ը 3 է՝ վերակազմակերպումն ավարտված է:
- Կիրառեք ապասառեցում PR տարածաշրջանում DUT FPGA-ում:
Նշում. Եթե PR-ի շահագործման ընթացքում սխալ է տեղի ունենում, ինչպիսին է տարբերակի ստուգման կամ թույլտվության ստուգման ձախողումը, PR գործողությունն ավարտվում է:
Առնչվող տեղեկատվություն
- Intel Agilex կոնֆիգուրացիայի Օգտագործողի ուղեցույց
- Intel Quartus Prime Pro Edition Օգտագործողի ուղեցույց. Վրիպազերծման գործիքներ
Փաստաթղթերի վերանայման պատմություն AN 991-ի համար. Մասնակի վերակազմավորում կոնֆիգուրացիայի փիների միջոցով (արտաքին հոսթ) Տեղեկատվության ձևավորում Intel Agilex F-Series FPGA մշակման տախտակի համար
Փաստաթղթի տարբերակը | Intel Quartus Prime տարբերակը | Փոփոխություններ |
2022.11.14 | 22.3 | • Նախնական թողարկում: |
AN 991. Մասնակի վերակազմավորում Կազմաձևման փիների միջոցով (արտաքին հոսթ) Հղման ձևավորում. Intel Agilex F-Series FPGA զարգացման տախտակի համար
Լավագույն ՀՏՀ-ների պատասխանները.
- Q Ի՞նչ է PR-ը կազմաձևման քորոցների միջոցով:
- A Արտաքին հոսթի կոնֆիգուրացիա 3-րդ էջում
- Q Ի՞նչ է ինձ անհրաժեշտ այս հղման դիզայնի համար:
- A Հղումների նախագծման պահանջներ 6-րդ էջում
- Q Որտե՞ղ կարող եմ ստանալ տեղեկատու դիզայնը:
- A Հղումների նախագծման պահանջներ 6-րդ էջում
- Q Ինչպե՞ս կարող եմ իրականացնել PR արտաքին կոնֆիգուրացիայի միջոցով:
- A Հղումների ձևավորման ուղեցույց 6-րդ էջում
- Q Ի՞նչ է PR անձը:
- A Անձերի սահմանում 11-րդ էջում
- Q Ինչպե՞ս կարող եմ ծրագրավորել տախտակը:
- A Ծրագրավորեք խորհուրդը 17-րդ էջում
- Q Որո՞նք են PR-ի հայտնի խնդիրներն ու սահմանափակումները:
- A Intel FPGA-ի աջակցության ֆորումներ. PR
- Q Ունե՞ք դասընթացներ PR-ի վերաբերյալ:
- A Intel FPGA տեխնիկական ուսուցման կատալոգ
Առցանց տարբերակ Ուղարկել հետադարձ կապ
- ID: 750856
- Տարբերակ: 2022.11.14
Փաստաթղթեր / ռեսուրսներ
![]() |
intel 750856 Agilex FPGA զարգացման խորհուրդ [pdf] Օգտագործողի ուղեցույց 750856, 750857, 750856 Agilex FPGA Development Board, Agilex FPGA Development Board, FPGA Development Board, Development Board, Board |