intel-LOGO

intel 750856 Agilex FPGA ishlab chiqish kengashi

intel-750856-Agilex-FPGA-ishlab chiqish kengashi-mahsulot

Mahsulot haqida ma'lumot

Ushbu mos yozuvlar dizayni Intel Agilex F-Series FPGA ishlab chiqish kengashi uchun. U qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-dan foydalanadi va oddiy PR hududiga ega. Intel Agilex Device External Host Hardware Setup tashqi qurilma (Helper FPGA), DUT FPGA va tashqi xost dizaynidan iborat. Tashqi qurilmadagi xost dizayni PR jarayonini joylashtirish uchun javobgardir. PR pinlari ikkala qurilmani ulash uchun ishlatiladi va har qanday mavjud foydalanuvchi kirish/chiqarishlari bo'lishi mumkin.

Mahsulotdan foydalanish bo'yicha ko'rsatmalar

Tashqi xost konfiguratsiyasi

Tashqi xost konfiguratsiyasini amalga oshirish uchun quyidagi amallarni bajaring:

  1. PR jarayonini joylashtirish uchun tashqi qurilmada xost dizayni yarating.
  2. PR pinlarini tashqi qurilmadan DUT FPGA-dagi qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-ga ulang.
  3. Konfiguratsiya ma'lumotlarini xost dizaynidan IP-dan PR qo'l siqish signallariga mos keladigan Intel Agilex Avalon oqim interfeysi pinlariga uzating.

Konfiguratsiya pinlari bilan ishlash orqali qisman qayta konfiguratsiya

Quyidagi ketma-ketlik konfiguratsiya pinlari orqali qisman qayta konfiguratsiyaning ishlashini tavsiflaydi:

  1. Qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-ga ulangan pr_request pinini kiriting.
  2. IP PR jarayoni davom etayotganligini bildirish uchun band signalini tasdiqlaydi (ixtiyoriy).
  3. Agar konfiguratsiya tizimi PR operatsiyasiga tayyor bo'lsa, avst_ready pin tasdiqlanadi, bu ma'lumotlarni qabul qilishga tayyorligini bildiradi.
  4. PR konfiguratsiya maʼlumotlarini avst_data pinlari va avst_valid pinlari orqali uzating, orqa bosim bilan maʼlumotlarni uzatish uchun Avalon oqim spetsifikatsiyasiga rioya qiling.
  5. avst_ready pin o'chirilganda oqim to'xtaydi.
  6. PR operatsiyasi uchun boshqa ma'lumotlar talab qilinmasligini ko'rsatish uchun avst_ready pinini o'chiring.
  7. Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IP jarayoni tugashini bildirish uchun band signalini bekor qiladi (ixtiyoriy).

Konfiguratsiya pinlari (tashqi xost) Yo'naltiruvchi dizayn orqali qisman qayta konfiguratsiya

Ushbu ilova eslatmasi Intel® Agilex® F-Series FPGA ishlab chiqish platasidagi konfiguratsiya pinlari (tashqi xost) orqali qisman qayta konfiguratsiyani namoyish etadi.

Malumot dizayni tugadiview

Qisman qayta konfiguratsiya (PR) funksiyasi FPGA ning bir qismini dinamik ravishda qayta konfiguratsiya qilish imkonini beradi, qolgan FPGA dizayni esa ishlashda davom etadi. Dizayningizda ma'lum bir mintaqa uchun ushbu mintaqadan tashqaridagi hududlarda ishlashga ta'sir qilmaydigan bir nechta shaxslarni yaratishingiz mumkin. Ushbu metodologiya bir nechta funksiyalar bir xil FPGA qurilmalari resurslarini vaqt almashadigan tizimlarda samarali. Intel Quartus® Prime Pro Edition dasturining joriy versiyasi qisman qayta konfiguratsiya uchun yangi va soddalashtirilgan kompilyatsiya oqimini taqdim etadi. Ushbu Intel Agilex mos yozuvlar dizayni qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IP-dan foydalanadi va oddiy PR hududiga ega.

Intel Agilex Device External Host Hardware Setupintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (1)

Tashqi xost konfiguratsiyasi

Tashqi xost konfiguratsiyasida, Intel Agilex Device External Host Hardware Setup koʻrsatganidek, PR jarayonini joylashtirish uchun avval tashqi qurilmada xost dizaynini yaratishingiz kerak. Xost dizayni konfiguratsiya ma'lumotlarini Intel Agilex Avalon oqim interfeysi pinlariga uzatadi, bu qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-dan keladigan PR qo'l siqish signallariga mos keladi. Ikkala qurilmani ulash uchun foydalanadigan PR pinlari har qanday mavjud foydalanuvchi kiritish/chiqarishlari bo'lishi mumkin.

Quyidagi ketma-ketlik konfiguratsiya pinlarining ishlashi orqali qisman qayta konfiguratsiyani tavsiflaydi:

  1. Avval qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-ga ulangan pr_request pinini kiriting.
  2. IP PR jarayoni davom etayotganligini bildirish uchun band signalini tasdiqlaydi (ixtiyoriy).
  3. Agar konfiguratsiya tizimi PR operatsiyasidan o'tishga tayyor bo'lsa, avst_ready pin ma'lumotlarni qabul qilishga tayyorligini bildiradi.
  4. PR konfiguratsiya maʼlumotlarini avst_data pinlari va avst_valid pinlari orqali uzatishni boshlang, shu bilan birga orqa bosim bilan maʼlumotlarni uzatish uchun Avalon oqim spetsifikatsiyasiga rioya qiling.
  5. avst_ready pin o'chirilganda oqim to'xtaydi.
  6. Barcha konfiguratsiya ma'lumotlarini oqimlashdan so'ng, avst_ready pin PR ishlashi uchun boshqa ma'lumotlar talab qilinmasligini bildirish uchun o'chiriladi.
  7. Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IP jarayoni tugashini bildirish uchun band signalini shirinlik qiladi (ixtiyoriy).
  8. PR operatsiyasi muvaffaqiyatli yakunlanganligini tasdiqlash uchun pr_done va pr_error pinlarini tekshirishingiz mumkin. Agar versiyani tekshirish va avtorizatsiyani tekshirishda xatolik yuzaga kelsa, PR operatsiyasi tugaydi.

Tegishli ma'lumotlar

  • Intel Agilex F-Series FPGA ishlab chiqish to'plami Web Sahifa
  • Intel Agilex F-Series FPGA Development Kit foydalanuvchi uchun qo'llanma
  • Intel Quartus Prime Pro Edition foydalanuvchi qo'llanmasi: Qisman qayta konfiguratsiya

Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IP
Qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi PR ishlashi uchun PR ma'lumotlarini oqimlash uchun konfiguratsiya pinlaridan foydalanish uchun talab qilinadi. Xostning yadrodan xavfsiz qurilma menejeri (SDM) bilan qoʻl siqilishiga ruxsat berish uchun siz qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-ning barcha yuqori darajali portlarini pr_request piniga ulashingiz kerak. SDM sizning MSEL sozlamalaringizga ko'ra konfiguratsiya pinlarining qaysi turlaridan foydalanishni aniqlaydi.

Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IPintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (2)

Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi parametr sozlamalari

Parametr Qiymat Tavsif
Band interfeysni yoqing Yoqish or

Oʻchirish

Tashqi konfiguratsiya vaqtida PR jarayoni davom etayotganligini bildiruvchi signalni tasdiqlovchi band interfeysini yoqish yoki o‘chirish imkonini beradi.

Standart sozlama Oʻchirish.

Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi portlari

Port nomi Kengligi Yo'nalish Funktsiya
pr_so'rov 1 Kirish PR jarayoni boshlanishiga tayyorligini bildiradi. Signal har qanday soat signaliga mos kelmaydigan o'tkazgichdir.
pr_error 2 Chiqish Qisman qayta konfiguratsiya xatosini ko'rsatadi.:

• 2'b01—umumiy PR xatosi

• 2'b11 — mos kelmaydigan bit oqimi xatosi

Bu signallar har qanday soat manbasiga sinxronlashtirilmagan kanallardir.

pr_done 1 Chiqish PR jarayoni tugallanganligini bildiradi. Signal har qanday soat signaliga mos kelmaydigan o'tkazgichdir.
start_addr 1 Kirish Active Serial Flash-da PR ma'lumotlarining boshlang'ich manzilini belgilaydi. Ikkalasini tanlash orqali bu signalni yoqasiz Avalon®-ST or Faol serial uchun Avalon-ST pinlarini yoki faol seriyali pinlarni yoqing parametr. Signal har qanday soat signaliga mos kelmaydigan o'tkazgichdir.
qayta o'rnatish 1 Kirish Faol yuqori, sinxron tiklash signali.
out_clk 1 Chiqish Ichki osilatordan hosil bo'lgan soat manbai.
band 1 Chiqish IP bu signalni PR ma'lumotlarini uzatish davom etayotganini ko'rsatish uchun tasdiqlaydi. Bu signalni tanlash orqali yoqasiz Yoqish uchun Band interfeysni yoqing parametr.

Malumot dizayniga qo'yiladigan talablar

Ushbu namunaviy dizayndan foydalanish quyidagilarni talab qiladi:

  • Intel Agilex qurilmalari oilasini qo'llab-quvvatlaydigan Intel Quartus Prime Pro Edition 22.3 versiyasini o'rnatish.
  • Skameykada Intel Agilex F-Series FPGA ishlab chiqish platasiga ulanish.
  • Eski dizayn yuklab olishampquyidagi manzilda mavjud: https://github.com/intel/fpga-partial-reconfig.

Eski dizayn yuklab olish uchunampga:

  1. Klonlash yoki yuklab olish tugmasini bosing.
  2. ZIP yuklab olish-ni bosing. fpga-partial-reconfig-master.zip faylini oching file.
  3. Malumot dizayniga kirish uchun tutorials/agilex_external_pr_configuration pastki papkasiga o'ting.

Malumot dizayni bo'yicha ko'rsatmalar

Quyidagi qadamlar Intel Agilex F-Series FPGA ishlab chiqish platasida konfiguratsiya pinlari (tashqi xost) orqali qisman qayta konfiguratsiyani amalga oshirishni tavsiflaydi:

  • 1-qadam: Ishni boshlash
  • 2-qadam: Dizayn bo'limini yaratish
  • 3-qadam: Joylashtirish va marshrutlash hududlarini taqsimlash
  • 4-qadam: Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi IP-ni qo'shish
  • 5-qadam: Shaxslarni aniqlash
  • 6-qadam: Tahrirlarni yaratish
  • 7-qadam: Asosiy tahrirni tuzish
  • 8-qadam: PRni amalga oshirish bo'yicha qayta ko'rib chiqishlarni tayyorlash
  • 9-qadam: Kengashni dasturlash

1-qadam: Ishga kirishish
Malumot dizaynini nusxalash uchun files ish muhitingizga kiriting va blinking_led flat dizaynini tuzing:

  1. Ish muhitingizda katalog yarating, agilex_pcie_devkit_blinking_led_pr.
  2. Yuklab olingan darsliklar/agilex_pcie_devkit_blinking_led/flat pastki jildni agilex_pcie_devkit_blinking_led_pr katalogiga nusxalang.
  3. Intel Quartus Prime Pro Edition dasturida ni bosing File ➤ Loyihani oching va blinking_led.qpf ni tanlang.
  4. Yassi dizayn ierarxiyasini ishlab chiqish uchun Qayta ishlash ➤ Boshlash ➤ Tahlil va sintezni boshlash-ni bosing. Shu bilan bir qatorda, buyruq satrida quyidagi buyruqni bajaring: quartus_syn blinking_led -c blinking_led

Dizayn bo'limini yaratish

Qisman qayta konfiguratsiya qilmoqchi bo'lgan har bir PR mintaqasi uchun dizayn bo'limlarini yaratishingiz kerak. Quyidagi qadamlar u_blinking_led misoli uchun dizayn bo'limini yaratadi.

Dizayn bo'limlarini yaratishintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (3)

  1. Project Navigator-da u_blinking_led misolini sichqonchaning o'ng tugmasi bilan bosing va Dizayn bo'limi ➤ Qayta sozlash mumkin-ni bosing. Bo'lim sifatida o'rnatilgan har bir misol yonida dizayn bo'limi belgisi paydo bo'ladi.
  2. Topshiriqlar ➤ Dizayn bo'limlari oynasini bosing. Oyna loyihadagi barcha dizayn bo'limlarini ko'rsatadi.
  3. Nomni ikki marta bosish orqali Dizayn bo'limlari oynasida bo'lim nomini tahrirlang. Ushbu mos yozuvlar dizayni uchun bo'lim nomini pr_partition deb o'zgartiring
    • Eslatma: Bo'lim yaratganingizda, Intel Quartus Prime dasturi avtomatik ravishda misol nomi va ierarxiya yo'liga asoslangan bo'lim nomini yaratadi. Ushbu standart bo'lim nomi har bir misol uchun farq qilishi mumkin.
  4. Yakunlangan statik hududni asosiy reviziya kompilyatsiyasidan eksport qilish uchun Yakuniy Eksportdan keyin root_partition yozuvini ikki marta bosing. File ustuniga o'ting va blinking_led_static yozing. gdb.

Dizayn bo'limlari oynasida yakuniy rasmni eksport qilishintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (4)blinking_led.qsf sizning qayta sozlanishi mumkin bo'lgan dizayn bo'limiga mos keladigan quyidagi topshiriqlarni o'z ichiga olganligini tekshiring:intel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (5)

Tegishli ma'lumotlar
Intel Quartus Prime Pro Edition foydalanuvchi qo'llanmasida "Dizayn bo'limlarini yaratish": Qisman qayta konfiguratsiya

PR bo'limi uchun joylashtirish va marshrutlash hududini ajratish
Siz yaratgan har bir bazaviy qayta ko'rib chiqish uchun PR dizayn oqimi sizning PR bo'limi mintaqangizga mos keladigan persona yadrosini joylashtiradi. Asosiy qayta ko'rib chiqishingiz uchun qurilmaning zamin rejasida PR hududini topish va belgilash uchun:

  1. Project Navigator-da u_blinking_led misolini sichqonchaning o'ng tugmasi bilan bosing va Logic Lock Region ➤ New Logic Lock Region yaratish-ni bosing. Mintaqa Logic Lock Regions Oynasida paydo bo'ladi.
  2. Joylashtirish mintaqangiz blinking_led mantiqini qamrab olishi kerak. Chip Planner-da tugunni aniqlash orqali joylashtirish hududini tanlang. Mantiqiy qulflash mintaqalari oynasida u_blinking_led mintaqa nomini o'ng tugmasini bosing va bosing

Tugunni toping ➤ Chip Planner-da toping. u_blinking_led hududi rang bilan kodlangan

blinking_led uchun Chip Planner tugunining joylashuviintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (6)

  1. Mantiqiy qulflash mintaqalari oynasida, Origin ustunida joylashtirish mintaqasi koordinatalarini belgilang. Kelib chiqishi mintaqaning pastki chap burchagiga to'g'ri keladi. Misol uchunample, (X1 Y1) koordinatalari bilan joylashtirish hududini (163 4) belgilash uchun Originni X163_Y4 sifatida belgilang. Intel Quartus Prime dasturi siz ko'rsatgan balandlik va kenglik asosida joylashtirish hududi uchun (X2 Y2) koordinatalarini (yuqori o'ngda) avtomatik ravishda hisoblab chiqadi.
    • Eslatma: Ushbu qo'llanmada (X1 Y1) koordinatalari - (163 4) va joylashtirish hududi uchun balandlik va kenglik 20 dan foydalaniladi. Joylashtirish hududi uchun har qanday qiymatni belgilang. Mintaqaning blinking_led mantiqini qamrab olishiga ishonch hosil qiling.
  2. Zaxiralangan va faqat asosiy parametrlarni yoqing.
  3. Marshrutlash hududi opsiyasini ikki marta bosing. Logic Lock Routing Region Settings dialog oynasi paydo bo'ladi.
  4. Marshrutlash turi uchun kengaytmali Fixed-ni tanlang. Ushbu parametrni tanlash avtomatik ravishda 2 kengayish uzunligini belgilaydi.
    • Eslatma: Dvigatel turli shaxslarni yo'naltirganda Fitter uchun qo'shimcha moslashuvchanlikni ta'minlash uchun marshrutlash hududi joylashtirish hududidan kattaroq bo'lishi kerak.

Mantiqiy qulflash mintaqalari oynasiintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (7)blinking_led.qsf sizning qavat rejalashtirishingizga mos keladigan quyidagi topshiriqlarni o'z ichiga olganligini tekshiring:intel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (8)intel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (9)

Tegishli ma'lumotlar
Intel Quartus Prime Pro Edition foydalanuvchi qo'llanmasida "Qisman qayta konfiguratsiya dizaynini polni rejalashtirish": Qisman qayta konfiguratsiya

Qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisi Intel FPGA IP-ni qo'shish
Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IP interfeysi bit oqimi manbasini boshqarish uchun Intel Agilex PR boshqaruv bloki bilan ishlaydi. Tashqi konfiguratsiyani amalga oshirish uchun ushbu IP-ni dizayningizga qo'shishingiz kerak. Qisman qayta konfiguratsiya tashqi konfiguratsiya boshqaruvchisini qo'shish uchun quyidagi amallarni bajaring
Loyihangizga Intel FPGA IP:

  1. IP-katalogni qidirish maydoniga Qisman qayta konfiguratsiyani kiriting (Tools ➤ IP Catalog).
  2. Qisman qayta konfiguratsiya tashqi konfiguratsiya tekshiruvi Intel FPGA IP-ni ikki marta bosing.
  3. IP variantini yaratish dialog oynasida tashqi_host_pr_ip sifatida kiriting File nomini tanlang va keyin Yaratish-ni bosing. Parametr muharriri paydo bo'ladi.
  4. Band interfeysni yoqish parametri uchun O'chirish-ni tanlang (standart sozlama). Ushbu signaldan foydalanish kerak bo'lganda, sozlamani "Enable" ga o'zgartirishingiz mumkin.

Parametrlar muharririda band interfeys parametrini yoqingintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (10)

  1. bosing File ➤ Tizimni yaratmasdan saqlang va parametr muharriridan chiqing. Parametr muharriri external_host_pr_ip.ip IP-variatsiyasini yaratadi file va qo'shadi file blinking_led loyihasiga. AN 991: Konfiguratsiya pinlari (tashqi xost) orqali qisman qayta konfiguratsiya. Malumot dizayni 750856 | 2022.11.14 AN 991:
    • Eslatma:
    • a. Agar siz external_host_pr_ip.ip ni nusxalayotgan bo'lsangiz file pr katalogidan blinking_led.qsf ni qo'lda tahrirlang file quyidagi qatorni kiritish uchun: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ni joylashtiringFILE SDC_ dan keyin topshiriqFILE topshiriqlar (blinking_led. DC) sizning blinking_led.qsf file. Ushbu tartib qisman qayta konfiguratsiya boshqaruvchisi IP yadrosining tegishli chegaralanishini ta'minlaydi.
    • Eslatma: Soatlarni aniqlash uchun .sdc file PR IP uchun IP yadrosi foydalanadigan soatlarni yaratadigan har qanday .sdc ga amal qilish kerak. Siz ushbu tartibni .ip file PR IP yadrosi uchun har qanday .ip dan keyin paydo bo'ladi files yoki .sdc files .qsf da ushbu soatlarni aniqlash uchun foydalanasiz file Intel Quartus Prime loyihangizni qayta ko'rib chiqish uchun. Qo'shimcha ma'lumot olish uchun qisman qayta konfiguratsiya IP yechimlari foydalanuvchi qo'llanmasiga qarang.

Yuqori darajadagi dizaynni yangilash

top.sv yangilash uchun file PR_IP misoli bilan:

  1. Tashqi_host_pr_ip misolini yuqori darajadagi dizaynga qo'shish uchun top.sv-dagi quyidagi kod bloklariga izohni olib tashlang. file:intel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (11)

Shaxslarni aniqlash
Ushbu mos yozuvlar dizayni bitta PR bo'limi uchun uchta alohida shaxsni belgilaydi. Loyihangizga shaxslarni aniqlash va kiritish uchun:

  1. Uchta SystemVerilog yarating files, blinking_led.sv, blinking_led_slow.sv va blinking_led_empty.sv uchta shaxs uchun ishchi katalogingizda.

Ma'lumotnoma dizayn shaxslariintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (12) intel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (13)

Eslatma:

  • blinking_led.sv ning bir qismi sifatida allaqachon mavjud files flat/ sub-katalogidan nusxa ko'chirasiz. Buni shunchaki qayta ishlatishingiz mumkin file.
  • Agar siz SystemVerilog ni yaratsangiz files Intel Quartus Prime matn muharriridan, Qo'shishni o'chiring file saqlashda joriy loyiha variantiga files.

Tahrirlarni yaratish

PR dizayn oqimi Intel Quartus Prime dasturidagi loyihani qayta ko'rib chiqish xususiyatidan foydalanadi. Sizning boshlang'ich dizayningiz bazaviy qayta ko'rib chiqish bo'lib, unda siz FPGA-da statik mintaqa chegaralarini va qayta sozlanishi mumkin bo'lgan hududlarni aniqlaysiz. Asosiy tahrirdan siz bir nechta tahrirlarni yaratasiz. Ushbu tahrirlar PR mintaqalari uchun turli xil ilovalarni o'z ichiga oladi. Biroq, PRni amalga oshirishning barcha qayta ko'rib chiqishlari bir xil yuqori darajadagi joylashtirish va bazaviy qayta ko'rib chiqishning marshrutlash natijalaridan foydalanadi. PR dizaynini tuzish uchun siz har bir shaxs uchun PRni amalga oshirish reviziyasini yaratishingiz kerak. Bundan tashqari, har bir tahrir uchun qayta ko'rib chiqish turlarini belgilashingiz kerak. Mavjud qayta ko'rib chiqish turlari:

  • Qisman qayta konfiguratsiya - Baza
  • Qisman qayta konfiguratsiya - shaxsni amalga oshirish

Quyidagi jadvalda har bir tahrir uchun qayta koʻrib chiqish nomi va qayta koʻrib chiqish turi koʻrsatilgan:

Tekshirish nomlari va turlari

Tahrirlash nomi Tekshirish turi
blinking_led.qsf Qisman qayta konfiguratsiya - Baza
blinking_led_default.qsf Qisman qayta konfiguratsiya - shaxsni amalga oshirish
blinking_led_slow.qsf Qisman qayta konfiguratsiya - shaxsni amalga oshirish
blinking_led_empty.qsf Qisman qayta konfiguratsiya - shaxsni amalga oshirish

Asosiy qayta ko'rib chiqish turini o'rnatish

  1. Loyiha ➤ Tahrirlar ni bosing.
  2. Revision Name oynasida blinking_led versiyasini tanlang va so'ng Joriy o'rnatish tugmasini bosing.
  3. Ilova tugmasini bosing. Blinking_led versiyasi joriy tahrir sifatida ko'rsatiladi.
  4. blinking_led uchun qayta koʻrib chiqish turini oʻrnatish uchun Assignments ➤ Settings ➤ General ni bosing.
  5. Qayta ko'rib chiqish turi uchun Qisman qayta konfiguratsiya - Baza-ni tanlang va OK tugmasini bosing.
  6. Blinking_led.qsf hozirda quyidagi topshiriqni o'z ichiga olganligini tekshiring: ##blinking_led.qsf set_global_assignment -nomi REVISION_TYPE PR_BASE

Amalga oshirishni qayta ko'rib chiqishni yaratish

  1. Revisions dialog oynasini ochish uchun Project ➤ Revisions tugmasini bosing.
  2. Yangi tahrir yaratish uchun < ni ikki marta bosing >.
  3. Revizyon nomida blinking_led_default ni belgilang va Asoslangan tahrir uchun blinking_led ni tanlang.
  4. Qayta ko'rib chiqish turi uchun Qisman qayta konfiguratsiya - PersonaImplementation-ni tanlang.

Tahrirlarni yaratishintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (14)

  1. Xuddi shunday, blinking_led_slow va blinking_led_empty tahrirlari uchun Revision turini o'rnating.
  2. Har bir .qsf ekanligini tekshiring file endi quyidagi topshiriqni o'z ichiga oladi: set_global_assignment -nomi REVISION_TYPE PR_IMPL set_instance_assignment -nomi ENTITY_REBINDING \ place_holder -to u_blinking_led bu erda, place_holder yangi yaratilgan PR amalga oshirish tahriri uchun standart ob'ekt nomidir.

Loyihani qayta ko'rib chiqishintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (16)

Baza reviziyasini tuzish

  1. Asosiy tahrirni kompilyatsiya qilish uchun Processing ➤ Start Compilation tugmasini bosing. Shu bilan bir qatorda, quyidagi buyruq asosiy tahrirni tuzadi: quartus_sh –flow compile blinking_led -c blinking_led
  2. Bit oqimini tekshiring filechiqishda hosil qiluvchi s_files katalogi.

Yaratilgan Files

Ism Turi Tavsif
blinking_led.sof Asosiy dasturlash file To'liq chipli asosiy konfiguratsiya uchun ishlatiladi
blinking_led.pr_partition.rbf PR bit oqimi file asosiy shaxs uchun Asosiy shaxsni qisman qayta konfiguratsiya qilish uchun ishlatiladi.
blinking_led_static.qdb .qdb ma'lumotlar bazasi file Yakunlangan ma'lumotlar bazasi file statik hududni import qilish uchun ishlatiladi.

Tegishli ma'lumotlar

  • Intel Quartus Prime Pro Edition foydalanuvchi qo'llanmasida "Qisman qayta konfiguratsiya dizaynini polni rejalashtirish": Qisman qayta konfiguratsiya
  • Intel Quartus Prime Pro Edition foydalanuvchi qo'llanmasida "Qat rejasi cheklovlarini bosqichma-bosqich qo'llash": Qisman qayta konfiguratsiya

PRni amalga oshirish bo'yicha qayta ko'rib chiqishlarni tayyorlash
Qurilmani dasturlash uchun PR bit oqimini kompilyatsiya qilish va yaratishdan oldin siz PR tatbiqining tahrirlarini tayyorlashingiz kerak. Ushbu sozlash .qdb statik mintaqasini qo'shishni o'z ichiga oladi file manba sifatida file har bir amalga oshirish qayta ko'rib chiqish uchun. Bundan tashqari, siz PR mintaqasining tegishli ob'ektini ko'rsatishingiz kerak.

  1. Joriy tahrirni o'rnatish uchun Project ➤ Revisions-ni bosing, revizion nomi sifatida blinking_led_default-ni tanlang va so'ng Joriy o'rnatish-ni bosing.
  2. Har bir amalni qayta koʻrib chiqish uchun toʻgʻri manbani tekshirish uchun Loyiha ➤Qoʻshish/Oʻchirish tugmasini bosing Files loyihada. blinking_led.sv file da paydo bo'ladi file ro'yxati.

FileSahifaintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (17)

  1. Amalga oshirishning boshqa manbasini tekshirish uchun 1 dan 2 gacha bosqichlarni takrorlang files:
Amalga oshirish tahriri nomi Manba File
blinking_led_default blinking_led.sv
miltillovchi_led_bo'sh blinking_led_empty.sv
miltillovchi_led_sekin blinking_led_slow.sv
  1. .qdb ni tekshirish uchun file ildiz bo'limi bilan bog'langan bo'lsa, Tayinlashlar ➤ Dizayn bo'limlari oynasini bosing. Bo'lim ma'lumotlar bazasi ekanligini tasdiqlang File blinking_led_static.qdb ni belgilaydi file, yoki Bo'lim ma'lumotlar bazasini ikki marta bosing File Buni aniqlash uchun katakchani tanlang file. Shu bilan bir qatorda, quyidagi buyruq buni tayinlaydi file: set_instance_assignment -QDB_ nomiFILE_PARTITION \ blinking_led_static.qdb -to |
  2. Ob'ektni qayta bog'lash katakchasida amalga oshirishni qayta ko'rib chiqishda o'zgartiradigan har bir PR bo'limining ob'ekt nomini belgilang. blinking_led_default amalga oshirish versiyasi uchun ob'ekt nomi blinking_led hisoblanadi. Ushbu qo'llanmada siz asosiy reviziya kompilyatsiyasidan u_blinking_led misolini yangi blinking_led ob'ekti bilan qayta yozasiz.

Eslatma: To'ldiruvchi ob'ektni qayta bog'lash topshirig'i amalga oshirishni qayta ko'rib chiqishga avtomatik ravishda qo'shiladi. Biroq, topshiriqdagi standart ob'ekt nomini dizayningiz uchun mos ob'ekt nomiga o'zgartirishingiz kerak.

Amalga oshirish tahriri nomi Ob'ektni qayta bog'lash
blinking_led_default miltillovchi_led
miltillovchi_led_sekin miltillovchi_led_sekin
miltillovchi_led_bo'sh miltillovchi_led_bo'sh

Ob'ektni qayta bog'lashintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (18)

  1. Dizaynni kompilyatsiya qilish uchun Processing ➤ Start Compilation tugmasini bosing. Shu bilan bir qatorda, quyidagi buyruq ushbu loyihani kompilyatsiya qiladi: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. Blinking_led_slow va blinking_led_empty tahrirlarini tayyorlash uchun yuqoridagi amallarni takrorlang: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

Eslatma: PRni amalga oshirish kompilyatsiyasi paytida qo'llamoqchi bo'lgan har qanday Fitterga xos sozlamalarni belgilashingiz mumkin. Muayyan moslamalar import qilingan statik hududga ta'sir qilmasdan, faqat shaxsning mosligiga ta'sir qiladi.

Kengashni dasturlash
Ushbu o‘quv qo‘llanma kompyuteringizdagi PCIe* uyasidan tashqarida skameykada Intel Agilex F-Series FPGA ishlab chiqish platasidan foydalanadi. Kengashni dasturlashdan oldin quyidagi amallarni bajarganingizga ishonch hosil qiling:

  1. Elektr ta'minotini Intel Agilex F-Series FPGA ishlab chiqish platasiga ulang.
  2. Intel FPGA yuklab olish kabelini kompyuteringizning USB porti va ishlab chiqish platasidagi Intel FPGA yuklab olish kabeli portiga ulang.

Dizaynni Intel Agilex F-Series FPGA ishlab chiqish taxtasida ishlatish uchun:

  1. Intel Quartus Prime dasturini oching va Tools ➤ Programmer-ni bosing.
  2. Dasturchida Hardware Setup-ni bosing va USB-Blaster-ni tanlang.
  3. Avtomatik aniqlash tugmasini bosing va qurilmani tanlang, AGFB014R24AR0.
  4. OK tugmasini bosing. Intel Quartus Prime dasturi dasturchini platadagi uchta FPGA qurilmasi bilan aniqlaydi va yangilaydi.
  5. AGFB014R24AR0 qurilmasini tanlang, O'zgartirish tugmasini bosing File va blinking_led_default.sof faylini yuklang file.
  6. blinking_led_default.sof uchun dastur/konfiguratsiyani yoqing file.
  7. Boshlash tugmasini bosing va harakatlanish satri 100% ga yetguncha kuting.
  8. Doskadagi LEDlarning asl tekis dizayni bilan bir xil chastotada miltillashiga e'tibor bering.
  9. Faqat PR hududini dasturlash uchun blinking_led_default.sof ni o'ng tugmasini bosing file Dasturchida va PR dasturlashni qo'shish-ni bosing File.
  10. blinking_led_slow.pr_partition.rbf ni tanlang file.
  11. blinking_led_default.sof uchun dastur/konfiguratsiyani o'chiring file.
  12. blinking_led_slow.pr_partition.rbf uchun dastur/konfiguratsiyani yoqing file va Start tugmasini bosing. Doskada LED[0] va LED[1] miltillashda davom etayotganiga qarang. Jarayon satri 100% ga yetganda, LED[2] va LED[3] sekinroq miltillaydi.
  13. PR hududini qayta dasturlash uchun .rbf ni o'ng tugmasini bosing file Dasturchi-da va PR dasturlashni o'zgartirish-ni bosing File.
  14. .rbf ni tanlang files boshqa ikki shaxsga doskadagi xatti-harakatlarni kuzatish uchun. blinking_led_default.rbf yuklanmoqda file LEDlarning ma'lum bir chastotada miltillashiga olib keladi va blinking_led_empty.rbf faylini yuklaydi file LEDlarning yonib turishiga olib keladi.

Intel Agilex F-Series FPGA ishlab chiqish kengashini dasturlashintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (19)Uskuna sinovlari oqimi

Quyidagi ketma-ketliklar mos yozuvlar dizayni apparat sinovlari oqimini tavsiflaydi.
Intel Agilex Device External Host Hardware Setupintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (20)

FPGA yordamchi dasturini (tashqi xost) dasturlash
Quyidagi ketma-ketlik PR jarayonining tashqi xosti sifatida ishlaydigan yordamchi FPGA dasturini tavsiflaydi:

  1. Siz tanlagan rejimga (x8, x16 yoki x32) mos keladigan Avalon oqim interfeysi sozlamasini belgilang.
  2. Intel Quartus Prime Programmer va ulangan konfiguratsiya kabeli yordamida FPGA yordamchisini dasturlash orqali platformani ishga tushiring.
  3. FPGA yordamchisidan foydalanib, CONF_DONE va AVST_READY signallarini o'qing. CONF_DONE 0, AVST_READY 1 bo'lishi kerak. Bu pindagi yuqori mantiq SDM tashqi xostdan ma'lumotlarni qabul qilishga tayyorligini bildiradi. Ushbu chiqish SDM I/U ning bir qismidir.

Eslatma: CONF_DONE pin tashqi xostga bit oqimini uzatish muvaffaqiyatli amalga oshirilganligi haqida signal beradi. Ushbu signallardan faqat to'liq chip konfiguratsiya jarayonini kuzatish uchun foydalaning. Ushbu pin haqida qo'shimcha ma'lumot olish uchun Intel Agilex konfiguratsiya foydalanuvchi qo'llanmasiga qarang.

DUT FPGA-ni tashqi xost orqali to'liq chip SOF bilan dasturlash Quyidagi ketma-ketlikda DUT FPGA-ni to'liq chip SRAM ob'ekti bilan dasturlash tasvirlangan. File (.sof) xost Avalon oqim interfeysi yordamida:

  1. To'liq chip bit oqimini yordamchi FPGA (tashqi xost) DDR4 tashqi xotirasiga yozing.
  2. Avalon oqim interfeysi (x8, x16, x32) yordamida to'liq chip .sof bilan DUT FPGA-ni sozlang.
  3. DUT FPGA konfiguratsiya signallari holatini o'qing. CONF_DONE 1, AVST_READY 0 bo‘lishi kerak.

Vaqt spetsifikatsiyalari: Qisman qayta konfiguratsiya tashqi tekshiruvi Intel FPGA IPintel-750856-Agilex-FPGA-ishlab chiqish kengashi-FIG-1 (21)

DUT FPGA-ni tashqi xost orqali birinchi shaxs bilan dasturlang

  1. DUT FPGA-dagi maqsadli PR mintaqasida muzlatishni qo'llang.
  2. Intel Quartus Prime tizim konsolidan foydalanib, qisman qayta konfiguratsiyani boshlash uchun pr_request-ni tasdiqlang. AVST_READY 1 bo'lishi kerak.
  3. FPGA yordamchisining (tashqi xost) DDR4 tashqi xotirasiga birinchi PR persona bit oqimini yozing.
  4. Avalon oqim interfeysidan (x8, x16, x32) foydalanib, DUT FPGA-ni birinchi persona bit oqimi bilan qayta sozlang.
  5. PR holatini kuzatish uchun tizim konsolini ishga tushirish uchun Tools ➤ System Console-ni bosing. Tizim konsolida PR holatini kuzatib boring:
    • pr_error 2 - qayta konfiguratsiya jarayonida.
    • pr_error 3 - qayta konfiguratsiya tugallandi.
  6. DUT FPGA-dagi PR mintaqasida muzdan tushirishni qo'llang.

Eslatma: Agar PR operatsiyasi paytida xatolik yuzaga kelsa, masalan, versiyani tekshirish yoki avtorizatsiyani tekshirishda xatolik yuzaga kelsa, PR operatsiyasi tugaydi.

Tegishli ma'lumotlar

  • Intel Agilex konfiguratsiya foydalanuvchi qo'llanmasi
  • Intel Quartus Prime Pro Edition foydalanuvchi qo'llanmasi: disk raskadrovka vositalari

AN 991 uchun hujjatlarni qayta koʻrib chiqish tarixi: Intel Agilex F-Series FPGA ishlab chiqish kengashi uchun konfiguratsiya pinlari (tashqi xost) orqali qisman qayta konfiguratsiya.

Hujjat versiyasi Intel Quartus Prime versiyasi O'zgarishlar
2022.11.14 22.3 • Dastlabki nashr.

AN 991: Konfiguratsiya pinlari (tashqi xost) orqali qisman qayta konfiguratsiya Malumot dizayni: Intel Agilex F-Series FPGA ishlab chiqish kengashi uchun

Eng muhim savollarga javoblar:

  • Q Konfiguratsiya pinlari orqali PR nima?
  • A Tashqi xost konfiguratsiyasi 3-sahifada
  • Q Ushbu mos yozuvlar dizayni uchun menga nima kerak?
  • A Ma'lumotnoma dizayniga qo'yiladigan talablar 6-betda
  • Q Malumot dizaynini qayerdan olsam bo'ladi?
  • A Ma'lumotnoma dizayniga qo'yiladigan talablar 6-betda
  • Q Tashqi konfiguratsiya orqali PRni qanday amalga oshiraman?
  • A Ma'lumotnoma dizayni bo'yicha ko'rsatmalar 6-sahifada
  • Q PR persona nima?
  • A Shaxslarni aniqlash 11-bet
  • Q Kengashni qanday dasturlashim mumkin?
  • A Kengash dasturini 17-betda
  • Q PR bilan bog'liq muammolar va cheklovlar qanday?
  • A Intel FPGA qo'llab-quvvatlash forumlari: PR
  • Q Sizda PR bo'yicha trening bormi?
  • A Intel FPGA texnik o'quv katalogi

Onlayn versiya fikr-mulohaza yuborish

  • ID: 750856
  • Versiya: 2022.11.14

Hujjatlar / manbalar

intel 750856 Agilex FPGA ishlab chiqish kengashi [pdf] Foydalanuvchi uchun qoʻllanma
750856, 750857, 750856 Agilex FPGA Development Board, Agilex FPGA Development Board, FPGA Development Board, Development Board, Board

Ma'lumotnomalar

Fikr qoldiring

Sizning elektron pochta manzilingiz nashr etilmaydi. Majburiy maydonlar belgilangan *