Intel 750856 Agilex FPGA Development Board
Product Information
Ity endrika fanondroana ity dia ho an'ny Intel Agilex F-Series FPGA Development Board. Mampiasa ny Partial Reconfiguration External Configuration Controller Intel FPGA IP ary manana faritra PR tsotra. Ny Intel Agilex Device External Host Hardware Setup dia misy fitaovana ivelany (Helper FPGA), DUT FPGA, ary ny endrika ivelany mpampiantrano anao. Ny famolavolana mpampiantrano ao amin'ny fitaovana ivelany dia tompon'andraikitra amin'ny fampiantranoana ny fizotran'ny PR. Ny pins PR dia ampiasaina hampifandraisana ireo fitaovana roa ireo ary mety ho mpampiasa I/Os rehetra.
Torolàlana momba ny fampiasana vokatra
Configuration Host ivelany
Raha hanao tefy fampiantranoana ivelany dia araho ireto dingana ireto:
- Mamorona endrika fampiantranoana amina fitaovana ivelany hampiantranoana ny fizotran'ny PR.
- Ampifandraiso ny tsipika PR avy amin'ny fitaovana ivelany amin'ny Partial Reconfiguration External Configuration Controller Intel FPGA IP ao amin'ny DUT FPGA.
- Mandefa angon-drakitra fanamafisam-peo avy amin'ny famolavolana mpampiantrano mankany amin'ny pins interface tsara an'ny Intel Agilex Avalon izay mifanaraka amin'ny famantarana famantarana PR avy amin'ny IP.
Reconfiguration ampahany amin'ny alalan'ny Configuration Pins Operation
Ity filaharana manaraka ity dia manoritsoritra ny fiasan'ny fanamboarana ampahany amin'ny alalan'ny tsimatra fanamafisana:
- Ampidiro ny pin pr_request mifandray amin'ny Partial Reconfiguration External Configuration Controller Intel FPGA IP.
- Ny IP dia manamafy fambara be atao mba hanondroana fa mandeha ny fizotran'ny PR (tsy voatery).
- Raha toa ka vonona amin'ny asa PR ny rafitra fanamafisam-peo, dia apetraka ny pin avst_ready, izay manondro fa vonona ny hanaiky ny angona.
- Alefaso amin'ny pin avst_data sy ny pin avst_valid ny angon-drakitra fanamafisana PR, manaraka ny toromarika fandefasana Avalon amin'ny famindrana angon-drakitra miaraka amin'ny fanerena miverina.
- Mijanona ny streaming rehefa nesorina ny pin avst_ready.
- Esory ny pin avst_ready mba hanondroana fa tsy ilaina intsony ny angon-drakitra ho an'ny asa PR.
- Ny Partial Reconfiguration External Configuration Controller Intel FPGA IP dia manamafy ny famantarana be atao mba hanondroana ny fiafaran'ny dingana (tsy voatery).
Reconfiguration ampahany amin'ny alalan'ny Configuration Pins (Eternal Host) Reference Design
Ity naoty fampiharana ity dia mampiseho ny fanavaozana ampahany amin'ny alàlan'ny tsipika fanamafisam-peo (host ivelany) ao amin'ny birao fampandrosoana Intel® Agilex® F-Series FPGA.
Reference Design Overview
Ny endri-javatra fanavaozana ampahany (PR) dia ahafahanao manitsy ny ampahany amin'ny FPGA amin'ny fomba mavitrika, raha mbola miasa ny endrika FPGA sisa. Azonao atao ny mamorona olona maromaro ho an'ny faritra iray amin'ny endrikao izay tsy misy fiantraikany amin'ny asa any amin'ny faritra ivelan'ity faritra ity. Ity fomba fiasa ity dia mahomby amin'ny rafitra izay ahafahan'ny asa maro mizara ny loharanon'ny fitaovana FPGA mitovy. Ny dika ankehitriny an'ny rindrambaiko Intel Quartus® Prime Pro Edition dia mampiditra fikorianan'ny fanangonana vaovao sy notsotsotra ho an'ny fanamboarana ampahany. Ity endrika fanondro Intel Agilex ity dia mampiasa ny Partial Reconfiguration External Configuration Controller Intel FPGA IP ary manana faritra PR tsotra.
Intel Agilex Device External Host Hardware Setup
Configuration Host ivelany
Amin'ny fanamafisana mpampiantrano ivelany dia tsy maintsy mamorona endrika fampiantranoana amin'ny fitaovana ivelany ianao mba hampiantranoana ny fizotran'ny PR, araka ny asehon'ny Intel Agilex Device External Host Hardware Setup. Ny famolavolana mpampiantrano dia mampita angon-drakitra fikirakirana amin'ny pins interface tsara Intel Agilex Avalon izay mifanaraka amin'ny mari-pamantarana tànana PR izay avy amin'ny Partial Reconfiguration External Configuration Controller Intel FPGA IP. Ny pins PR ampiasainao hampifandraisana ireo fitaovana roa ireo dia mety ho I/O mpampiasa misy.
Ity filaharana manaraka ity dia manoritsoritra ny fanamboarana ampahany amin'ny alalan'ny fampandehanan-tsarimihetsika:
- Ampidiro aloha ny pin pr_request izay mifandray amin'ny Partial Reconfiguration External Configuration Controller Intel FPGA IP.
- Ny IP dia manamafy fambara be atao mba hanondroana fa mandeha ny fizotran'ny PR (tsy voatery).
- Raha toa ka vonona ny hanao asa PR ny rafitra fanamafisam-peo, ny pin avst_ready dia nambara fa vonona ny hanaiky ny angona.
- Atombohy amin'ny fandefasana ny angon-drakitra fanamafisana PR amin'ny pins avst_data sy ny pin avst_valid, raha mandinika ny fanondroana fandefasana Avalon amin'ny famindrana angon-drakitra miaraka amin'ny fanerena miverina.
- Mijanona ny streaming isaky ny nesorina ny pin avst_ready.
- Aorian'ny fandefasana ny angon-drakitra fikirakirana rehetra, ny pin avst_ready dia nesorina mba hanondroana fa tsy misy angon-drakitra ilaina amin'ny fiasan'ny PR.
- Ny Partial Reconfiguration External Configuration Controller Intel FPGA IP dia manisy tsindrin-tsakafo ny famantarana be atao mba hanondroana ny fiafaran'ny dingana (tsy voatery).
- Azonao atao ny manamarina ny pin pr_done sy pr_error mba hanamafisana raha vita soa aman-tsara ny asa PR. Raha misy hadisoana, toy ny tsy fahombiazana amin'ny fanamarinana ny dikan-teny sy ny fanamarinana ny fanomezan-dàlana, dia tapitra ny asa PR.
Fampahafantarana mifandraika
- Intel Agilex F-Series FPGA Development Kit Web Pejy
- Intel Agilex F-Series FPGA Development Kit Guide User
- Torolàlana ho an'ny mpampiasa Intel Quartus Prime Pro Edition: Fanamboarana ampahany
Ampahany Reconfiguration External Configuration Controller Intel FPGA IP
Ny Mpandrindra Configuration External Configuration Partial dia takiana amin'ny fampiasana tsipika fanamafisam-peo handefasana ny angona PR ho an'ny fiasan'ny PR. Tsy maintsy mampifandray ny seranan-tsambo avo indrindra amin'ny Partial Reconfiguration External Configuration Controller Intel FPGA IP amin'ny pr_request pin mba ahafahana mifandray tanana amin'ny mpampiantrano miaraka amin'ny mpitantana fitaovana azo antoka (SDM) avy amin'ny fotony. Ny SDM no mamaritra hoe karazana tsimatra azo ampiasaina, araka ny firafitry ny MSEL anao.
Ampahany Reconfiguration External Configuration Controller Intel FPGA IP
Reconfiguration partial Reconfiguration External Configuration Controller Parameter Settings
fikirana | sarobidy | Description |
Alefaso ny Interface Busy | Tadiavo or
Atsaharo |
Mamela anao hanalefaka na hanaisotra ny interface Busy, izay manamafy famantarana manondro fa mandeha ny fanodinana PR mandritra ny fanamafisana ivelany.
Ny fametrahana default dia Atsaharo. |
Ampahany Reconfiguration External Configuration Controller Ports
Anaran'ny seranan-tsambo | sakany | tari-dalana | asa |
pr_request | 1 | fahan'ny | Manondro fa vonona ny hanomboka ny fizotran'ny PR. Ny famantarana dia fantsona tsy mifanaraka amin'ny famantarana famantaranandro rehetra. |
pr_error | 2 | Output | Manondro fahadisoana amin'ny fanamboarana ampahany.:
• 2'b01—fahadisoan'ny PR ankapobeny • 2'b11—tsy mifanaraka amin'ny bitstream fahadisoana Ireo famantarana ireo dia conduit tsy mifanaraka amin'ny loharano famantaranandro rehetra. |
pr_vita | 1 | Output | Manondro fa vita ny fizotran'ny PR. Ny famantarana dia fantsona tsy mifanaraka amin'ny famantarana famantaranandro rehetra. |
start_addr | 1 | fahan'ny | Mamaritra ny adiresin'ny angona PR ao amin'ny Flash Serial Active. Azonao atao ity famantarana ity amin'ny fisafidianana ny iray Avalon®-ST or Serial mavitrika ho an'ny Alefaso Avalon-ST Pins na Active Serial Pins parameter. Ny famantarana dia fantsona tsy mifanaraka amin'ny famantarana famantaranandro rehetra. |
reset | 1 | fahan'ny | Mavitrika avo, synchronous reset famantarana. |
out_clk | 1 | Output | Loharano famantaranandro izay miteraka avy amin'ny oscillator anatiny. |
be asa | 1 | Output | Ny IP dia manamafy io famantarana io mba hanondroana ny famindrana angon-drakitra PR an-dalam-pandrosoana. Azonao atao ny misafidy io famantarana io Tadiavo ho an'ny Alefaso ny interface tsara parameter. |
Reference Design Requirements
Ny fampiasana an'ity reference design ity dia mitaky ireto manaraka ireto:
- Fametrahana ny Intel Quartus Prime Pro Edition version 22.3 miaraka amin'ny fanohanana ny fianakaviana fitaovana Intel Agilex.
- Fifandraisana amin'ny birao fampandrosoana Intel Agilex F-Series FPGA eo amin'ny dabilio.
- Download ny design exampazo alaina amin'ny toerana manaraka ireto: https://github.com/intel/fpga-partial-reconfig.
Mba télécharger ny design example:
- Tsindrio Clone na alaivo.
- Tsindrio ny Download ZIP. Sokafy ny fpga-partial-reconfig-master.zip file.
- Mankanesa any amin'ny subfolder tutorials/agilex_external_pr_configuration mba hidirana amin'ny famolavolana reference.
Torolàlana momba ny famolavolana Reference
Ireto dingana manaraka ireto dia mamaritra ny fampiharana ny fanavaozana ampahany amin'ny alàlan'ny tsipika fanamafisam-peo (host ivelany) ao amin'ny birao fampandrosoana Intel Agilex F-Series FPGA:
- Dingana 1: Hanomboka
- Dingana 2: Mamorona Partition Design
- Dingana 3: Fametrahana Faritra Fametrahana sy Fandalovana
- Dingana 4: Ampio ny Partial Reconfiguration External Configuration Controller IP
- Dingana 5: Famaritana ny olona
- Dingana 6: Mamorona fanavaozana
- Dingana 7: Fanangonana ny Fanavaozana fototra
- Dingana 8: Fanomanana fanavaozana ny fampiharana PR
- Dingana 9: Fandaharana ny Birao
Dingana 1: Manomboka
Handika ny endrika fanondro files amin'ny tontolo iasanao ary amboary ny endrika fisaka blinking_led:
- Mamorona lahatahiry ao amin'ny tontolo iasanao, agilex_pcie_devkit_blinking_led_pr.
- Adikao ao amin'ny lahatahiry ny lesona nampidinina/agilex_pcie_devkit_blinking_led/flat sub-folder, agilex_pcie_devkit_blinking_led_pr.
- Ao amin'ny rindrambaiko Intel Quartus Prime Pro Edition, tsindrio File ➤ Sokafy ny tetikasa ary mifidiana blinking_led.qpf.
- Mba hamolavolana ny ambaratongan'ny endrika fisaka, tsindrio ny Processing ➤ Start ➤ Start Analysis & Synthesis. Raha tsy izany, amin'ny baikon'ny baiko, araho ity baiko manaraka ity: quartus_syn blinking_led -c blinking_led
Mamorona Partition Design
Tsy maintsy mamorona fizarazarana ho an'ny faritra PR tsirairay izay tianao havaozina amin'ny ampahany. Ny dingana manaraka dia mamorona fizarazarana ho an'ny ohatra u_blinking_led.
Mamorona Partitions Design
- Tsindrio havanana ny ohatra u_blinking_led ao amin'ny Project Navigator ary tsindrio ny Design Partition ➤ Reconfigurable. Misy kisary fizarazarana endrika miseho eo akaikin'ny tranga tsirairay izay apetraka ho fisarahana.
- Kitiho ny Assignments ➤ Design Partitions Window. Ny varavarankely dia mampiseho ny fizarana rehetra amin'ny tetikasa.
- Amboary ny anaran'ny partition ao amin'ny Window Design Partitions amin'ny alàlan'ny fanindriana indroa ny anarana. Ho an'ity famolavolana fanondroana ity dia ovao ho pr_partition ny anaran'ny fizarana
- Fanamarihana: Rehefa mamorona fisarahana ianao, ny rindrambaiko Intel Quartus Prime dia mamokatra anarana fisarahana ho azy, mifototra amin'ny anaran'ny ohatra sy ny lalan'ny ambaratonga. Ity anaran'ny fizarana default ity dia mety miovaova amin'ny tranga tsirairay.
- Raha hanondrana ny faritra static efa vita avy amin'ny fanangonana fototra, tsindrio indroa ny fidirana ho an'ny root_partition ao amin'ny Post Final Export File tsanganana, ary soraty ny blinking_led_static. gdb.
Fanondranana sary farany amin'ny fikandrana fizarazarana famolavolanaHamarino fa ny blinking_led.qsf dia ahitana ireto andraikitra manaraka ireto, mifanaraka amin'ny fizarazaranao azo amboarina:
Fampahafantarana mifandraika
"Mamorona Partitions Design" ao amin'ny Intel Quartus Prime Pro Edition User Guide: Reconfiguration ampahany
Fametrahana ny toerana sy ny lalana mankany amin'ny fizarana PR
Ho an'ny fanavaozana fototra rehetra noforoninao dia mametraka ny fototry ny persona mifanaraka amin'izany ao amin'ny faritra fizarazaranao ny PR. Mba hitadiavana sy hanendrena ny faritra PR ao amin'ny planin'ny fitaovana ho an'ny fanavaozana fototra anao:
- Tsindrio havanana ny ohatra u_blinking_led ao amin'ny Project Navigator ary tsindrio Logic Lock Region ➤ Create New Logic Lock Region. Ny faritra dia miseho eo amin'ny Window Logic Lock Regions.
- Ny faritra fametrahanao dia tsy maintsy mirakitra ny lojika blinking_led. Safidio ny faritra fametrahana amin'ny alàlan'ny fitadiavana ny node ao amin'ny Chip Planner. Tsindrio havanana ny anaran'ny faritra u_blinking_led ao amin'ny Window Logic Lock Regions ary tsindrio
Mitadiava Node ➤ Mitadiava ao amin'ny Chip Planner. Ny faritra u_blinking_led dia misy kaody loko
Toerana Node Planner Chip ho an'ny blinking_led
- Ao amin'ny varavarankelin'ny Logic Lock Regions, mariho ny fandrindrana ny faritra fametrahana ao amin'ny tsanganana Origin. Ny fiaviana dia mifanandrify amin'ny zoro ambany havia amin'ny faritra. Ho an'ny example, mba hametrahana faritra fametrahana misy (X1 Y1) mifandrindra amin'ny (163 4), mariho ny Origin ho X163_Y4. Ny rindrankajy Intel Quartus Prime dia manitsy ho azy ny fandrindrana (X2 Y2) (ambony havanana) ho an'ny faritra fametrahana, mifototra amin'ny haavony sy ny sakany voafaritrao.
- Fanamarihana: Ity fampianarana ity dia mampiasa ny fandrindrana (X1 Y1) - (163 4), ary ny haavony sy ny sakany 20 ho an'ny faritra fametrahana. Farito izay sanda ho an'ny faritra fametrahana. Ataovy azo antoka fa mandrakotra ny lojika blinking_led ny faritra.
- Ampidiro ny safidy Voatokana sy Core-Only.
- Tsindrio indroa ny safidy Routing Region. Mipoitra ny boaty fifanakalozan-kevitra Logic Lock Routing Region Settings.
- Mifidiana Fixed miaraka amin'ny fanitarana ho an'ny karazana Routing. Ny fisafidianana ity safidy ity dia manome ho azy ny halavan'ny fanitarana 2.
- Fanamarihana: Ny faritry ny lalana dia tokony ho lehibe kokoa noho ny faritra fametrahana, mba hanomezana fahafaham-po fanampiny ho an'ny Fitter rehefa mandeha amin'ny olona samihafa ny maotera.
Logic Lock Regions WindowHamarino fa ny blinking_led.qsf dia ahitana ireto andraikitra manaraka ireto, mifanaraka amin'ny planinao:
Fampahafantarana mifandraika
"Floorplan the partial Reconfiguration Design" ao amin'ny Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
Ampio ny Partial Reconfiguration External Configuration Controller Intel FPGA IP
Ny Partial Reconfiguration External Configuration Controller Intel FPGA IP dia mifandray amin'ny Intel Agilex PR control block mba hitantana ny loharano bitstream. Tsy maintsy ampidirinao amin'ny endrikao ity IP ity mba hampiharana ny fandrindrana ivelany. Araho ireto dingana ireto mba hanampiana ny Mpandrindra Configuration External Configuration Partial
Intel FPGA IP amin'ny tetikasanao:
- Soraty ao amin'ny sehatry ny fikarohana IP Catalog (Tools ➤ IP Catalog).
- Tsindrio indroa ny Partial Reconfiguration External Configuration Controller Intel FPGA IP.
- Ao amin'ny boaty fifanakalozan-dresaka Create IP Variant, soraty ny external_host_pr_ip ho toy ny File anarana, ary tsindrio avy eo Create. Mipoitra ny tonian-dahatsoratra parameter.
- Ho an'ny Enable busy interface parameter, mifidiana Disable (ny toerana misy azy). Rehefa mila mampiasa an'io famantarana io ianao dia azonao atao ny mamadika ny fandrindrana ho Enable.
Alefaso ny Parameter Interface Busy amin'ny Parameter Editor
- tsindrio File ➤ Tehirizo ary miala amin'ny tonian-dahatsoratry ny parameter nefa tsy mamorona ny rafitra. Ny tonian-dahatsoratry ny parameter dia mamorona ny fiovaovan'ny IP external_host_pr_ip.ip file ary manampy ny file mankany amin'ny tetikasa blinking_led. AN 991: Reconfiguration ampahany amin'ny alalan'ny Pins Configuration (Host ivelany) Reference Design 750856 | 2022.11.14 AN 991:
- Fanamarihana:
- a. Raha mandika ny external_host_pr_ip.ip ianao file avy amin'ny lahatahiry pr, amboary tanana ny blinking_led.qsf file hampiditra ity andalana manaraka ity: set_global_assignment -name IP_FILE pr_ip.ip
- b. Apetraho ny IP_FILE asa aorian'ny SDC_FILE asa (blinking_led. dc) ao amin'ny blinking_led.qsf file. Ity baiko ity dia miantoka ny faneriterena mety amin'ny fototry ny IP Mpanara-maso ny Reconfiguration Partial.
- Fanamarihana: Mba hamantarana ny famantaranandro, ny .sdc file fa ny PR IP dia tsy maintsy manaraka izay .sdc izay mamorona ny famantaranandro ampiasain'ny IP core. Manamora ity baiko ity ianao amin'ny fiantohana fa ny .ip file fa ny PR IP core dia miseho aorian'ny .ip files or .sdc fileizay ampiasainao hamaritana ireo famantaranandro ireo ao amin'ny .qsf file ho an'ny fanavaozana ny tetikasa Intel Quartus Prime anao. Raha mila fanazavana fanampiny dia jereo ny Torolàlana ho an'ny mpampiasa vahaolana IP Reconfiguration ampahany.
Fanavaozana ny endrika ambony indrindra
Hanavao ny top.sv file miaraka amin'ny ohatra PR_IP:
- Raha te hampiditra ohatra external_host_pr_ip amin'ny endrika ambony indrindra, esory amin'ny fanehoan-kevitra ireto sakana kaody manaraka ireto ao amin'ny top.sv file:
Famaritana ny Personas
Ity endrika fanondroana ity dia mamaritra olona telo misaraka ho an'ny fizarana PR tokana. Mba hamaritana sy hampidirana ny personas amin'ny tetikasanao:
- Mamorona SystemVerilog telo files, blinking_led.sv, blinking_led_slow.sv, ary blinking_led_empty.sv ao amin'ny lahatahiry miasa ho an'ireo olona telo.
Reference Design Personas
Fanamarihana:
- blinking_led.sv dia efa misy ao anatin'ny fileAdikao avy amin'ny flat/sub-directory. Azonao ampiasaina indray ity file.
- Raha mamorona ny SystemVerilog files avy amin'ny Intel Quartus Prime Text Editor, esory ny Add file amin'ny safidy tetikasa ankehitriny, rehefa mitahiry ny files.
Mamorona fanavaozana
Ny fikorianan'ny famolavolana PR dia mampiasa ny endri-panavaozana tetikasa ao amin'ny rindrambaiko Intel Quartus Prime. Ny famolavolanao voalohany dia ny fanavaozana fototra, izay mamaritra ny sisin-tanin'ny faritra static sy ny faritra azo amboarina ao amin'ny FPGA. Avy amin'ny fanavaozana fototra dia mamorona fanavaozana marobe ianao. Ireo fanavaozana ireo dia ahitana ny fampiharana samihafa ho an'ny faritra PR. Na izany aza, ny fanavaozana fampiharana PR rehetra dia mampiasa ny fametrahana ambony ambony sy ny valin'ny zotra avy amin'ny fanavaozana fototra. Mba hanangonana endrika PR dia tsy maintsy mamorona fanavaozana fampiharana PR ho an'ny olona tsirairay ianao. Ankoatra izany, tsy maintsy manendry karazana fanavaozana ho an'ny fanavaozana tsirairay ianao. Ny karazana fanavaozana misy dia:
- Reconfiguration ampahany - Base
- Reconfiguration ampahany - Fampiharana Persona
Ity tabilao manaraka ity dia mitanisa ny anaran'ny fanavaozana sy ny karazana fanavaozana ho an'ny fanavaozana tsirairay:
Anarana sy karazana fanavaozana
Anaran'ny fanavaozana | Karazana fanavaozana |
blinking_led.qsf | Reconfiguration ampahany - Base |
blinking_led_default.qsf | Reconfiguration ampahany - Fampiharana Persona |
blinking_led_slow.qsf | Reconfiguration ampahany - Fampiharana Persona |
blinking_led_empty.qsf | Reconfiguration ampahany - Fampiharana Persona |
Fametrahana ny karazana fanavaozana fototra
- Tsindrio Project ➤ Revisions.
- Ao amin'ny anaran'ny fanavaozana, safidio ny fanavaozana blinking_led, ary tsindrio ny Set Current.
- Tsindrio Apply. Ny fanavaozana blinking_led dia aseho ho toy ny fanavaozana ankehitriny.
- Raha hametraka ny karazana fanavaozana ho an'ny blinking_led, tsindrio Assignments ➤ Settings ➤ General.
- Ho an'ny karazana fanavaozana, mifidiana Partial Reconfiguration - Base, ary tsindrio OK.
- Hamarino fa ny blinking_led.qsf dia ahitana izao asa manaraka izao: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
Famoronana fanavaozana ny fampiharana
- Mba hanokafana ny boaty fifampiresahana Revisions, tsindrio Project ➤ Revisions.
- Raha te hamorona fanavaozana vaovao dia tsindrio indroa < >.
- Ao amin'ny anaran'ny fanavaozana, manendry blinking_led_default ary mifidiana blinking_led ho an'ny Based on revision.
- Ho an'ny karazana fanavaozana, mifidiana Partial Reconfiguration - PersonaImplementation.
Mamorona fanavaozana
- Toy izany koa, apetraho ny karazana Revision ho an'ny blinking_led_slow sy blinking_led_empty revisions.
- Hamarino fa ny .qsf file misy izao fanendrena manaraka izao: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led where, place_holder no anaran'ny enti-mody ho an'ny fanavaozana fampiharana PR vao noforonina.
Fanitsiana tetikasa
Fanangonana ny Fanavaozana fototra
- Mba hanangonana ny fanavaozana fototra, tsindrio ny Processing ➤ Start Compilation. Raha tsy izany, ity baiko manaraka ity dia manangona ny fanavaozana fototra: quartus_sh –flow compile blinking_led -c blinking_led
- Jereo ny bitstream files izay miteraka ao amin'ny output_files lahatahiry.
Nateraka Files
Anarana | Type | Description |
blinking_led.sof | Fandaharana fototra file | Ampiasaina ho an'ny fanamafisana fototra feno chip |
blinking_led.pr_partition.rbf | PR bitstream file ho an'ny olona fototra | Ampiasaina amin'ny fanamboarana ampahany amin'ny persona base. |
blinking_led_static.qdb | .qdb database file | angon-drakitra vita file ampiasaina hanafatra ny faritra static. |
Fampahafantarana mifandraika
- "Floorplan the partial Reconfiguration Design" ao amin'ny Intel Quartus Prime Pro Edition User Guide: Partial Reconfiguration
- "Fampiharana ny sakana amin'ny gorodona" ao amin'ny Intel Quartus Prime Pro Edition Torolàlana ho an'ny mpampiasa: Fanamboarana ampahany
Manomana fanavaozana ny fampiharana PR
Tsy maintsy manomana ny fanavaozana fampiharana PR ianao vao afaka manangona sy mamokatra ny bitstream PR ho an'ny fandaharana fitaovana. Ity fananganana ity dia ahitana ny fampidirana ny faritra static .qdb file ho loharano file isaky ny fanavaozana fampiharana. Ankoatr'izay, tsy maintsy mamaritra ny sampana mifandraika amin'ny faritra PR ianao.
- Raha hametraka ny fanavaozana ankehitriny, tsindrio Project ➤ Revisions, fidio ny blinking_led_default ho anarana Revision, ary tsindrio avy eo Set Current.
- Raha te hanamarina ny loharano marina ho an'ny fanavaozana fampiharana tsirairay, tsindrio ny Project ➤Add/Esory Files ao amin'ny Project. Ny blinking_led.sv file miseho ao amin'ny file lisitra.
Files pejy
- Avereno ny dingana 1 ka hatramin'ny 2 mba hanamarinana ny loharanon'ny fanavaozana fampiharana hafa files:
Anaran'ny fanavaozana fampiharana | Source File |
blinking_led_default | blinking_led.sv |
blinking_led_empty | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- Mba hanamarinana ny .qdb file mifandray amin'ny fizarazaran'ny fakany, tsindrio Assignments ➤ Design Partitions Window. Hamafiso fa ny Partition Database File mamaritra ny blinking_led_static.qdb file, na tsindrio indroa ny Partition Database File cell mba hamaritana izany file. Raha tsy izany, ity baiko manaraka ity dia manome izany file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Ao amin'ny sela Entity Re-binding, mamaritra ny anaran'ny enti-manana isaky ny fizarana PR izay ovainao amin'ny fanavaozana fampiharana. Ho an'ny fanavaozana fampiharana blinking_led_default, blinking_led ny anaran'ny orinasa. Amin'ity lesona ity dia asoloinao ny ohatra u_blinking_led avy amin'ny fanavaozana fototra natambatra miaraka amin'ny sampana vaovao blinking_led.
Fanamarihana: Ampidirina ho azy amin'ny fanavaozana ny fampiharana ny andraikitry ny orinasa mpihazona toerana. Na izany aza, tsy maintsy ovainao ny anaran'ny enti-manana ao amin'ny fanendrena ho anarana enti-manana sahaza ho an'ny famolavolanao.
Anaran'ny fanavaozana fampiharana | Entity Re-binding |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_empty | blinking_led_empty |
Entity Rebinding
- Mba hanangonana ny famolavolana dia tsindrio ny Processing ➤ Start Compilation. Raha tsy izany, ity baiko manaraka ity dia manangona ity tetikasa ity: quartus_sh –flow compile blinking_led –c blinking_led_default
- Avereno ireo dingana etsy ambony hanomanana fanavaozana blinking_led_slow sy blinking_led_empty: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Fanamarihana: Azonao atao ny mamaritra izay toe-javatra manokana Fitter tianao hampiharina mandritra ny fanangonana PR. Ny firafitry ny fitter manokana dia miantraika amin'ny mety amin'ny persona ihany, tsy misy fiantraikany amin'ny faritra static nafarana.
Fandaharana ny Birao
Ity fampianarana ity dia mampiasa takelaka fampivoarana Intel Agilex F-Series FPGA eo amin'ny dabilio, ivelan'ny slot PCIe* ao amin'ny milina mpampiantrano anao. Alohan'ny hanomananao ny solaitrabe dia ataovy azo antoka fa nahavita ireto dingana manaraka ireto ianao:
- Ampifandraiso amin'ny birao fampandrosoana FPGA Intel Agilex F-Series ny famatsiana herinaratra.
- Ampifandraiso ny Intel FPGA Download Cable eo amin'ny seranan-tsambo USB amin'ny PC-nao sy ny seranan-tsambo Intel FPGA Download Cable eo amin'ny solaitrabe fampandrosoana.
Mba hampandehanana ny famolavolana eo amin'ny birao fampandrosoana Intel Agilex F-Series FPGA:
- Sokafy ny rindrambaiko Intel Quartus Prime ary tsindrio Tools ➤ Programmer.
- Ao amin'ny Programmer, tsindrio Hardware Setup ary mifidiana USB-Blaster.
- Kitiho ny Auto Detect ary safidio ny fitaovana, AGFB014R24AR0.
- Tsindrio OK. Ny rindrambaiko Intel Quartus Prime dia mahita sy manavao ny Programmer miaraka amin'ireo fitaovana FPGA telo eo amin'ny solaitrabe.
- Safidio ny fitaovana AGFB014R24AR0, tsindrio Change File ary ampidiro ny blinking_led_default.sof file.
- Alefaso ny Program/Configure ho an'ny blinking_led_default.sof file.
- Kitiho ny Start ary miandry ny bara fivoarana hahatratra 100%.
- Jereo ny LED eo amin'ny solaitrabe mitselatra mitovy matetika amin'ny endrika fisaka tany am-boalohany.
- Raha hanao programa ny faritra PR ihany, tsindrio havanana ny blinking_led_default.sof file ao amin'ny Programmer ary tsindrio Add PR Programming File.
- Safidio ny blinking_led_slow.pr_partition.rbf file.
- Atsaharo ny Programa/Configure ho an'ny blinking_led_default.sof file.
- Alefaso ny Program/Configure ho an'ny blinking_led_slow.pr_partition.rbf file ary tsindrio Start. Eo amin'ny solaitrabe, jereo ny LED[0] sy ny LED[1] manohy mamiratra. Rehefa mahatratra 100% ny bara fivoarana, ny LED[2] sy ny LED[3] dia mikitroka miadana kokoa.
- Raha te handrindra ny faritra PR, tsindrio havanana ny .rbf file ao amin'ny Programmer ary tsindrio Change PR Programing File.
- Safidio ny .rbf fileho an'ireo olona roa hafa mba hijery ny fitondran-tena eo amin'ny solaitrabe. Loading ny blinking_led_default.rbf file mahatonga ny LED mamiratra amin'ny matetika voafaritra, ary mameno ny blinking_led_empty.rbf file mahatonga ny LED hijanona ON.
Fandaharana ny Intel Agilex F-Series FPGA Development Board
Fitsidihana Hardware
Ireto manaraka ireto dia mamaritra ny fizotry ny fitiliana hardware design.
Intel Agilex Device External Host Hardware Setup
Programa ny Helper FPGA (Host External)
Ity filaharana manaraka ity dia manoritsoritra ny fandaharana ny FPGA mpanampy izay miasa ho toy ny fampiantranoana ivelany ivelany:
- Lazao ny firafitry ny interface streaming Avalon izay mifanaraka amin'ny fomba nofidinao (x8, x16, na x32).
- Atombohy ny sehatra amin'ny alàlan'ny fandaharana ny mpanampy FPGA amin'ny alàlan'ny Intel Quartus Prime Programmer sy ny tariby fanamafisana mifandray.
- Ampiasao ny FPGA mpanampy, vakio ny famantarana CONF_DONE sy AVST_READY. CONF_DONE dia tokony ho 0, AVST_READY dia tokony ho 1. Ny lojika ambony amin'ity pin ity dia manondro fa ny SDM dia vonona ny hanaiky angona avy amin'ny mpampiantrano ivelany. Ity vokatra ity dia ampahany amin'ny SDM I/O.
Fanamarihana: Ny pin CONF_DONE dia manondro mpampiantrano ivelany fa mahomby ny famindrana bitstream. Ampiasao ireo famantarana ireo mba hanaraha-maso ny fizotry ny fandrindrana chip feno. Jereo ny Torolàlana ho an'ny mpampiasa Configuration Intel Agilex raha mila fanazavana fanampiny momba ity pin ity.
Programa ny DUT FPGA miaraka amin'ny Full Chip SOF amin'ny alàlan'ny External Host Ity filaharana manaraka ity dia mamaritra ny fandaharana ny DUT FPGA miaraka amin'ny SRAM Object feno. File (.sof) mampiasa ny fampiantranoana Avalon streaming interface tsara:
- Soraty ao amin'ny fitadidiana ivelany DDR4 an'ny FPGA mpanampy (host ivelany) ny bitstream feno chip.
- Amboary ny DUT FPGA miaraka amin'ny chip feno .sof amin'ny fampiasana ny interface streaming Avalon (x8, x16, x32).
- Vakio ny sata DUT FPGA famantarana famantarana. CONF_DONE dia tokony ho 1, AVST_READY dia tokony ho 0.
Famaritana ara-potoana: Ampahany Reconfiguration External Controller Intel FPGA IP
Programa ny DUT FPGA miaraka amin'ny Persona Voalohany amin'ny alàlan'ny mpampiantrano ivelany
- Ampiharo ny fanamafisam-peo amin'ny faritra PR kendrena ao amin'ny DUT FPGA.
- Amin'ny fampiasana ny Intel Quartus Prime System Console, apetraho ny pr_request hanombohana ny fanamboarana ampahany. AVST_READY dia tokony ho 1.
- Soraty ny bitstream PR persona voalohany ao amin'ny fitadidiana ivelany DDR4 an'ny FPGA mpanampy (host ivelany).
- Mampiasa interface streaming Avalon (x8, x16, x32), amboary ny DUT FPGA miaraka amin'ny bitstream persona voalohany.
- Raha te hanara-maso ny satan'ny PR, tsindrio ny Tools ➤ System Console mba hanombohana System Console. Ao amin'ny System Console, araho ny satan'ny PR:
- pr_error dia 2—famerenana ny fanovana.
- pr_error dia 3 — vita ny fanamboarana.
- Ampiharo unfreeze amin'ny faritra PR ao amin'ny DUT FPGA.
Fanamarihana: Raha misy hadisoana mitranga mandritra ny fiasan'ny PR, toy ny tsy fahombiazan'ny fanamarinana ny dikan-teny na ny fanamarinana fanomezan-dàlana, dia tapitra ny asa PR.
Fampahafantarana mifandraika
- Torolàlana ho an'ny mpampiasa Configuration Intel Agilex
- Torolàlana ho an'ny mpampiasa Intel Quartus Prime Pro Edition: Debug Tools
Tantaran'ny fanavaozana ny antontan-taratasy ho an'ny AN 991: Fanamboarana ampahany amin'ny alàlan'ny Pins Configuration (Host ivelany) Reference Design for Intel Agilex F-Series FPGA Development Board
Document Version | Intel Quartus Prime Version | FIOVANA |
2022.11.14 | 22.3 | • Famoahana voalohany. |
AN 991: Fanamboarana ampahany amin'ny alàlan'ny Pins Configuration (Eternal Host) Reference Design: ho an'ny Intel Agilex F-Series FPGA Development Board
Valiny amin'ny FAQ ambony indrindra:
- Q Inona no atao hoe PR amin'ny alàlan'ny tsipika fanamafisana?
- A Configuration External Host amin'ny pejy 3
- Q Inona no ilaiko amin'ity famolavolana reference ity?
- A Reference Design Requirements amin’ny pejy 6
- Q Aiza no ahazoako ny famolavolana reference?
- A Reference Design Requirements amin’ny pejy 6
- Q Ahoana ny fomba fanaovana PR amin'ny alàlan'ny fanamafisana ivelany?
- A Torohevitra momba ny famolavolana Reference ao amin'ny pejy 6
- Q Inona no atao hoe PR persona?
- A Famaritana ny Persona eo amin’ny pejy 11
- Q Ahoana no fomba fandrafetana ny board?
- A Fandaharana ny birao eo amin’ny pejy faha-17
- Q Inona avy ireo olana sy fetran'ny PR fantatra?
- A Intel FPGA Support Forums: PR
- Q Manana fiofanana momba ny PR ve ianao?
- A Intel FPGA Technical Training Catalog
Dika an-tserasera Alefaso hevitra
- ID: 750856
- Version: 2022.11.14
Documents / Loharano
![]() |
Intel 750856 Agilex FPGA Development Board [pdf] Torolàlana ho an'ny mpampiasa 750856, 750857, 750856 Agilex FPGA Board Development, Agilex FPGA Development Board, FPGA Development Board, Development Board, Board |