intel 750856 Agilex FPGA İnkişaf Şurası
Məhsul haqqında məlumat
Bu istinad dizaynı Intel Agilex F-Series FPGA İnkişaf Şurası üçündür. O, Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-dən istifadə edir və sadə PR bölgəsinə malikdir. Intel Agilex Device External Host Hardware Setup xarici cihazdan (Helper FPGA), DUT FPGA-dan və xarici host dizaynınızdan ibarətdir. Xarici cihazdakı host dizaynı PR prosesinin keçirilməsinə cavabdehdir. PR sancaqları hər iki cihazı birləşdirmək üçün istifadə olunur və istənilən mövcud istifadəçi I/O ola bilər.
Məhsuldan İstifadə Təlimatları
Xarici Host Konfiqurasiyası
Xarici host konfiqurasiyasını yerinə yetirmək üçün bu addımları yerinə yetirin:
- PR prosesini keçirmək üçün xarici cihazda host dizaynı yaradın.
- Xarici cihazdan PR sancaqlarını DUT FPGA-da Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-yə qoşun.
- Konfiqurasiya məlumatlarını host dizaynından IP-dən gələn PR əl sıxma siqnallarına uyğun gələn Intel Agilex Avalon axın interfeys pinlərinə ötürün.
Konfiqurasiya sancaqları əməliyyatı vasitəsilə qismən yenidən konfiqurasiya
Aşağıdakı ardıcıllıq konfiqurasiya pinləri vasitəsilə qismən yenidən konfiqurasiya əməliyyatını təsvir edir:
- Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-yə qoşulmuş pr_request pinini təsdiqləyin.
- İP PR prosesinin davam etdiyini bildirmək üçün məşğul siqnal verir (isteğe bağlıdır).
- Əgər konfiqurasiya sistemi PR əməliyyatı üçün hazırdırsa, avst_ready pin təsdiqlənir, bu onun məlumatı qəbul etməyə hazır olduğunu göstərir.
- Geri təzyiqlə məlumat ötürülməsi üçün Avalon axın spesifikasiyasına əməl edərək, avst_data pinləri və avst_valid pinləri üzərindən PR konfiqurasiya məlumatlarını yayımlayın.
- avst_ready pininin təsdiqlənməsi ləğv edildikdə axın dayanır.
- PR əməliyyatı üçün əlavə məlumat tələb olunmadığını göstərmək üçün avst_ready pinini deaktiv edin.
- Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP prosesinin sonunu göstərmək üçün məşğulluq siqnalını deaktiv edir (istəyə görə).
Konfiqurasiya Sancaqları (Xarici Host) İstinad Dizaynı vasitəsilə Qismən Yenidən Konfiqurasiya
Bu proqram qeydi Intel® Agilex® F-Series FPGA inkişaf lövhəsindəki konfiqurasiya sancaqları (xarici host) vasitəsilə qismən yenidən konfiqurasiyanı nümayiş etdirir.
İstinad Dizaynı Bitdiview
Qismən yenidən konfiqurasiya (PR) funksiyası FPGA-nın bir hissəsini dinamik olaraq yenidən konfiqurasiya etməyə imkan verir, qalan FPGA dizaynı isə işləməyə davam edir. Dizaynınızda bu bölgədən kənar ərazilərdə fəaliyyətə təsir etməyən bir neçə şəxs yarada bilərsiniz. Bu metodologiya birdən çox funksiyanın eyni FPGA cihaz resurslarını paylaşdığı sistemlərdə effektivdir. Intel Quartus® Prime Pro Edition proqramının cari versiyası qismən yenidən konfiqurasiya üçün yeni və sadələşdirilmiş kompilyasiya axını təqdim edir. Bu Intel Agilex istinad dizaynı Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-dən istifadə edir və sadə PR bölgəsinə malikdir.
Intel Agilex Cihazının Xarici Host Hardware Quraşdırması
Xarici Host Konfiqurasiyası
Xarici host konfiqurasiyasında, Intel Agilex Device External Host Hardware Setup-ın göstərdiyi kimi, PR prosesini keçirmək üçün əvvəlcə xarici cihazda host dizaynı yaratmalısınız. Host dizaynı konfiqurasiya məlumatlarını Intel Agilex Avalon axın interfeysi sancaqlarına ötürür ki, bu da Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-dən gələn PR əl sıxma siqnallarına uyğun gəlir. Hər iki cihazı birləşdirmək üçün istifadə etdiyiniz PR sancaqları istənilən mövcud istifadəçi I/O ola bilər.
Aşağıdakı ardıcıllıq konfiqurasiya pinləri əməliyyatı vasitəsilə qismən yenidən konfiqurasiyanı təsvir edir:
- Əvvəlcə Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-yə qoşulmuş pr_request pinini daxil edin.
- İP PR prosesinin davam etdiyini bildirmək üçün məşğul siqnal verir (isteğe bağlıdır).
- Əgər konfiqurasiya sistemi PR əməliyyatına hazırdırsa, avst_ready pininin məlumatları qəbul etməyə hazır olduğunu göstərən təsdiqlənir.
- Geri təzyiqlə məlumat ötürülməsi üçün Avalon axın spesifikasiyasına riayət etməklə, PR konfiqurasiya məlumatlarını avst_data pinləri və avst_valid pinləri üzərindən yayımlamağa başlayın.
- avst_ready pin söküldükdə axın dayanır.
- Bütün konfiqurasiya məlumatlarının ötürülməsindən sonra avst_ready pin PR əməliyyatı üçün daha çox məlumat tələb olunmadığını göstərmək üçün ləğv edilir.
- Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP prosesin sonunu göstərmək üçün məşğul siqnalını desert edir (isteğe bağlıdır).
- PR əməliyyatının uğurla başa çatdığını təsdiqləmək üçün pr_done və pr_error pinlərini yoxlaya bilərsiniz. Versiya yoxlanılması və avtorizasiyanın yoxlanılması kimi xəta baş verərsə, PR əməliyyatı dayandırılır.
Əlaqədar Məlumat
- Intel Agilex F-Series FPGA İnkişaf Dəsti Web Səhifə
- Intel Agilex F-Series FPGA İnkişaf Dəsti İstifadəçi Təlimatı
- Intel Quartus Prime Pro Edition İstifadəçi Təlimatı: Qismən Yenidən Konfiqurasiya
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi PR əməliyyatı üçün PR məlumatlarını yayımlamaq üçün konfiqurasiya sancaqlarından istifadə etmək üçün tələb olunur. Əsasdan təhlükəsiz cihaz meneceri (SDM) ilə hostun əl sıxmasına icazə vermək üçün Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP-nin bütün yüksək səviyyəli portlarını pr_request pininə qoşmalısınız. SDM MSEL parametrinizə uyğun olaraq hansı konfiqurasiya pinlərinin istifadə olunacağını müəyyən edir.
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisinin Parametr Parametrləri
Parametr | Dəyər | Təsvir |
Məşğul interfeysi aktivləşdirin | Aktivləşdirin or
Deaktiv edin |
Xarici konfiqurasiya zamanı PR işlənməsinin davam etdiyini göstərən siqnalı təsdiqləyən Məşğul interfeysini Aktivləşdirməyə və ya Deaktiv etməyə imkan verir.
Defolt parametrdir Deaktiv edin. |
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçi Portları
Port Adı | Genişlik | İstiqamət | Funksiya |
pr_istək | 1 | Giriş | PR prosesinin başlamağa hazır olduğunu göstərir. Siqnal heç bir saat siqnalı ilə sinxron olmayan bir kanaldır. |
pr_xəta | 2 | Çıxış | Qismən yenidən konfiqurasiya xətasını göstərir.:
• 2'b01—ümumi PR xətası • 2'b11—uyğun olmayan bit axını xətası Bu siqnallar heç bir saat mənbəyinə sinxron olmayan kanallardır. |
bitdi | 1 | Çıxış | PR prosesinin tamamlandığını göstərir. Siqnal heç bir saat siqnalı ilə sinxron olmayan bir kanaldır. |
start_addr | 1 | Giriş | Active Serial Flash-da PR məlumatlarının başlanğıc ünvanını müəyyən edir. İstənilən birini seçməklə bu siqnalı aktiv edirsiniz Avalon®-ST or Aktiv Serial üçün Avalon-ST sancaqlarını və ya aktiv seriya sancaqlarını aktivləşdirin parametr. Siqnal heç bir saat siqnalı ilə sinxron olmayan bir kanaldır. |
sıfırlayın | 1 | Giriş | Aktiv yüksək, sinxron sıfırlama siqnalı. |
out_clk | 1 | Çıxış | Daxili osilatordan yaranan saat mənbəyi. |
məşğul | 1 | Çıxış | IP bu siqnalı PR məlumat ötürülməsinin davam etdiyini göstərmək üçün təsdiqləyir. Seçməklə bu siqnalı aktiv edirsiniz Aktivləşdirin üçün Məşğul interfeysi aktivləşdirin parametr. |
İstinad Dizayn Tələbləri
Bu istinad dizaynının istifadəsi aşağıdakıları tələb edir:
- Intel Agilex cihaz ailəsi dəstəyi ilə Intel Quartus Prime Pro Edition 22.3 versiyasının quraşdırılması.
- Dəzgahda Intel Agilex F-Series FPGA inkişaf lövhəsinə qoşulma.
- Dizaynı yükləyin example aşağıdakı yerdə mövcuddur: https://github.com/intel/fpga-partial-reconfig.
Dizaynı yükləmək üçün keçmişample:
- Klonla və ya endirin.
- ZIP-i yükləyin. fpga-partial-reconfig-master.zip faylını açın file.
- İstinad dizaynına daxil olmaq üçün tutorials/agilex_external_pr_configuration alt qovluğuna keçin.
İstinad Dizaynı
Aşağıdakı addımlar Intel Agilex F-Series FPGA inkişaf lövhəsində konfiqurasiya pinləri (xarici host) vasitəsilə qismən yenidən konfiqurasiyanın həyata keçirilməsini təsvir edir:
- Addım 1: Başlanır
- Addım 2: Dizayn bölməsinin yaradılması
- Addım 3: Yerləşdirmə və Marşrutlaşdırma Bölgələrinin Ayrılması
- Addım 4: Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçi IP-nin əlavə edilməsi
- Addım 5: Şəxsiyyətləri müəyyən etmək
- Addım 6: Reviziyaların yaradılması
- Addım 7: Əsas Təftişin Tərtib edilməsi
- Addım 8: PR Tətbiq Reviziyalarının Hazırlanması
- Addım 9: Şuranın proqramlaşdırılması
Addım 1: Başlayın
İstinad dizaynını kopyalamaq üçün files iş mühitinizə daxil edin və blinking_led flat dizaynını tərtib edin:
- İş mühitinizdə qovluq yaradın, agilex_pcie_devkit_blinking_led_pr.
- Yüklənmiş dərslikləri/agilex_pcie_devkit_blinking_led/flat alt qovluğunu agilex_pcie_devkit_blinking_led_pr qovluğuna kopyalayın.
- Intel Quartus Prime Pro Edition proqramında klikləyin File ➤ Layihəni açın və blinking_led.qpf seçin.
- Yastı dizaynın iyerarxiyasını hazırlamaq üçün Emal ➤ Başlat ➤ Analiz və Sintezi Başlat üzərinə klikləyin. Alternativ olaraq, komanda xəttində aşağıdakı əmri yerinə yetirin: quartus_syn blinking_led -c blinking_led
Dizayn bölməsinin yaradılması
Qismən yenidən konfiqurasiya etmək istədiyiniz hər bir PR bölgəsi üçün dizayn bölmələri yaratmalısınız. Aşağıdakı addımlar u_blinking_led nümunəsi üçün dizayn bölməsi yaradır.
Dizayn bölmələrinin yaradılması
- Layihə Naviqatorunda u_blinking_led instansiyasına sağ klikləyin və Dizayn Bölməsi ➤ Yenidən Konfiqurasiya edilə bilən seçiminə klikləyin. Bölmə kimi təyin olunan hər bir nümunənin yanında dizayn bölməsi ikonu görünür.
- Tapşırıqlar ➤ Dizayn bölmələri pəncərəsini basın. Pəncərə layihədəki bütün dizayn bölmələrini göstərir.
- Dizayn bölmələri pəncərəsində adına iki dəfə klikləməklə bölmənin adını redaktə edin. Bu istinad dizaynı üçün bölmə adını pr_partition olaraq dəyişdirin
- Qeyd: Bölmə yaratdığınız zaman, Intel Quartus Prime proqramı nümunə adına və iyerarxiya yoluna əsaslanaraq avtomatik olaraq bölmə adını yaradır. Bu standart bölmə adı hər bir nümunə ilə dəyişə bilər.
- Yekunlaşdırılmış statik bölgəni əsas revizyon tərtibindən ixrac etmək üçün Son İxracdan Sonra root_partition üçün girişə iki dəfə klikləyin File sütununa daxil edin və blinking_led_static yazın. gdb.
Dizayn Bölmələri Pəncərəsində Postun Yekun Şotunun Eksport edilməsiblinking_led.qsf-nin yenidən konfiqurasiya edilə bilən dizayn bölməsinə uyğun aşağıdakı tapşırıqları ehtiva etdiyini yoxlayın:
Əlaqədar Məlumat
Intel Quartus Prime Pro Edition İstifadəçi Təlimatında “Dizayn bölmələri yaradın”: Qismən yenidən konfiqurasiya
PR bölməsi üçün yerləşdirmə və marşrutlaşdırma bölgəsinin ayrılması
Yaratdığınız hər bir baza revizyonu üçün PR dizayn axını sizin PR bölmə bölgənizdə müvafiq persona nüvəsini yerləşdirir. Əsas təftişiniz üçün cihazın mərtəbə planında PR bölgəsini tapmaq və təyin etmək üçün:
- Layihə Naviqatorunda u_blinking_led instansiyasına sağ klikləyin və Məntiq Kilidi Regionu ➤ Yeni Məntiq Kilidi Bölgəsi Yaradın. Region Məntiq Kilidi Regionlar Pəncərəsində görünür.
- Yerləşdirmə bölgəniz blinking_led məntiqini əhatə etməlidir. Chip Planner-da node yerləşdirməklə yerləşdirmə bölgəsini seçin. Məntiq Kilidi Regionlar Pəncərəsində u_blinking_led bölgə adına sağ klikləyin və klikləyin
Node-u tapın ➤ Chip Planner-də tapın. u_blinking_led bölgəsi rəng kodludur
blinking_led üçün Chip Planner Node Location
- Logic Lock Regions pəncərəsində, Origin sütununda yerləşdirmə regionunun koordinatlarını təyin edin. Mənşə bölgənin aşağı sol küncünə uyğundur. məsələnample, (X1 Y1) koordinatları olan yerləşdirmə bölgəsini (163 4) təyin etmək üçün Mənşəyi X163_Y4 olaraq təyin edin. Intel Quartus Prime proqramı təyin etdiyiniz hündürlük və enə əsasən yerləşdirmə bölgəsi üçün (X2 Y2) koordinatlarını (yuxarı sağda) avtomatik hesablayır.
- Qeyd: Bu təlimatda (X1 Y1) koordinatlarından – (163 4) və yerləşdirmə bölgəsi üçün 20 hündürlük və endən istifadə olunur. Yerləşdirmə bölgəsi üçün istənilən dəyəri təyin edin. Regionun blinking_led məntiqini əhatə etdiyinə əmin olun.
- Qorunan və Yalnız Əsas seçimləri aktivləşdirin.
- Marşrutlaşdırma Bölgəsi seçiminə iki dəfə klikləyin. Logic Lock Routing Region Settings dialoq qutusu görünür.
- Marşrutlaşdırma növü üçün Genişlənmə ilə Sabit seçin. Bu seçimin seçilməsi avtomatik olaraq 2 genişlənmə uzunluğu təyin edir.
- Qeyd: Mühərrik müxtəlif şəxsləri istiqamətləndirən zaman Çarxçıya əlavə çeviklik təmin etmək üçün marşrut zonası yerləşdirmə bölgəsindən daha böyük olmalıdır.
Məntiq Kilidi Regionlar Pəncərəsiblinking_led.qsf-də mərtəbə planlamanıza uyğun gələn aşağıdakı tapşırıqları ehtiva etdiyini yoxlayın:
Əlaqədar Məlumat
Intel Quartus Prime Pro Edition İstifadəçi Təlimatında "Qismən Yenidən Konfiqurasiya Dizaynını Döşəmə Planı": Qismən Yenidən Konfiqurasiya
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisinin Intel FPGA IP əlavə edilməsi
Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP, bit axını mənbəyini idarə etmək üçün Intel Agilex PR nəzarət bloku ilə birləşir. Xarici konfiqurasiyanı həyata keçirmək üçün bu IP-ni dizaynınıza əlavə etməlisiniz. Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisini əlavə etmək üçün bu addımları yerinə yetirin
Layihənizə Intel FPGA IP:
- IP Kataloq axtarış sahəsinə Qismən Yenidən Konfiqurasiya yazın (Tools ➤ IP Catalog).
- Qismən Yenidən Konfiqurasiya Xarici Konfiqurasiya Nəzarətçisi Intel FPGA IP üzərinə iki dəfə klikləyin.
- IP Variantını Yarat informasiya qutusunda, xarici_host_pr_ip kimi yazın File ad və sonra Yarat klikləyin. Parametr redaktoru görünür.
- Enable busy interface parametri üçün Disable seçin (standart parametr). Bu siqnaldan istifadə etmək lazım olduqda, parametri Aktivləşdirə bilərsiniz.
Parametr redaktorunda Məşğul İnterfeys Parametrini aktivləşdirin
- klikləyin File ➤ Sistem yaratmadan parametr redaktorunu yadda saxla və çıxın. Parametr redaktoru external_host_pr_ip.ip IP variasiyasını yaradır file və əlavə edir file blinking_led layihəsinə. AN 991: Konfiqurasiya sancaqları (xarici host) vasitəsilə qismən yenidən konfiqurasiya Referans dizaynı 750856 | 2022.11.14 AN 991:
- Qeyd:
- a. Xarici_host_pr_ip.ip faylını kopyalayırsınızsa file pr kataloqundan blinking_led.qsf faylını əl ilə redaktə edin file aşağıdakı sətri daxil etmək üçün: set_global_assignment -name IP_FILE pr_ip.ip
- b. IP_ yerləşdirinFILE SDC-dən sonra tapşırıqFILE tapşırıqlar (blinking_led. dc) sizin blinking_led.qsf file. Bu sifariş Qismən Yenidən Konfiqurasiya Nəzarətçisinin IP nüvəsinin müvafiq məhdudlaşdırılmasını təmin edir.
- Qeyd: Saatları aşkar etmək üçün .sdc file PR IP üçün IP nüvəsinin istifadə etdiyi saatları yaradan hər hansı .sdc-ə əməl etməlidir. Siz bu sifarişi .ip təmin etməklə asanlaşdırırsınız file PR üçün IP nüvəsi hər hansı bir .ip-dən sonra görünür files və ya .sdc file.qsf-də bu saatları təyin etmək üçün istifadə etdiyiniz s file Intel Quartus Prime layihənizin revizyonu üçün. Əlavə məlumat üçün Qismən Yenidən Konfiqurasiya IP Həllərinin İstifadəçi Təlimatına baxın.
Yüksək Səviyyəli Dizaynın Yenilənməsi
top.sv-ni yeniləmək üçün file PR_IP nümunəsi ilə:
- Xarici_host_pr_ip nümunəsini yüksək səviyyəli dizayna əlavə etmək üçün top.sv-də aşağıdakı kod bloklarını şərhdən çıxarın. file:
Şəxsiyyətlərin müəyyənləşdirilməsi
Bu istinad dizaynı tək PR bölməsi üçün üç ayrı şəxsi müəyyənləşdirir. Layihənizə şəxsiyyətləri müəyyən etmək və daxil etmək üçün:
- Üç SystemVerilog yaradın files, blinking_led.sv, blinking_led_slow.sv və blinking_led_empty.sv üç şəxs üçün iş kataloqunuzda.
Referans Dizayn Personalları
Qeyd:
- blinking_led.sv artıq bir hissəsi kimi mövcuddur files siz düz/alt qovluqdan köçürürsünüz. Bunu sadəcə olaraq təkrar istifadə edə bilərsiniz file.
- SystemVerilog-u yaratsanız files Intel Quartus Prime Mətn Redaktorundan əldə edin, Əlavə et funksiyasını deaktiv edin file yadda saxlayarkən cari layihə seçiminə files.
Reviziyaların yaradılması
PR dizayn axını Intel Quartus Prime proqram təminatındakı layihə reviziyaları funksiyasından istifadə edir. İlkin dizaynınız FPGA-da statik bölgə sərhədlərini və yenidən konfiqurasiya edilə bilən bölgələri təyin etdiyiniz əsas revizyondur. Əsas təftişdən siz çoxlu versiyalar yaradırsınız. Bu düzəlişlər PR bölgələri üçün müxtəlif tətbiqləri ehtiva edir. Bununla belə, bütün PR tətbiqetmələri baza revizyonunun eyni yüksək səviyyəli yerləşdirmə və marşrutlaşdırma nəticələrindən istifadə edir. PR dizaynını tərtib etmək üçün hər bir şəxs üçün PR tətbiqi revizyonunu yaratmalısınız. Bundan əlavə, hər bir düzəliş üçün təftiş növləri təyin etməlisiniz. Mövcud revizyon növləri bunlardır:
- Qismən yenidən konfiqurasiya - Baza
- Qismən yenidən konfiqurasiya – Şəxsiyyətin həyata keçirilməsi
Aşağıdakı cədvəldə düzəlişlərin hər biri üçün təftiş adı və təftiş növü göstərilir:
Revizyon adları və növləri
Reviziya Adı | Revizyon növü |
yanıb-sönən_led.qsf | Qismən yenidən konfiqurasiya - Baza |
yanıb-sönən_led_default.qsf | Qismən yenidən konfiqurasiya – Şəxsiyyətin həyata keçirilməsi |
yanıb-sönən_led_yavaş.qsf | Qismən yenidən konfiqurasiya – Şəxsiyyətin həyata keçirilməsi |
yanıb-sönən_led_empty.qsf | Qismən yenidən konfiqurasiya – Şəxsiyyətin həyata keçirilməsi |
Əsas Təftiş Tipinin qurulması
- Layihə ➤ Revizyonlar üzərinə klikləyin.
- Revision Name bölməsində blinking_led revision seçin və sonra Set Current klikləyin.
- Tətbiq et klikləyin. Blinking_led reviziya cari versiya kimi göstərilir.
- blinking_led üçün Revizyon Tipini təyin etmək üçün Tapşırıqlar ➤ Parametrlər ➤ Ümumi klikləyin.
- Revision Type üçün Qismən Yenidən Konfiqurasiya – Baza seçin və sonra OK düyməsini basın.
- Blinking_led.qsf-in indi aşağıdakı tapşırığı ehtiva etdiyini yoxlayın: ##blinking_led.qsf set_global_assignment -ad REVISION_TYPE PR_BASE
Tətbiq Reviziyalarının yaradılması
- Revisions dialoq qutusunu açmaq üçün Layihə ➤ Revisions düyməsini klikləyin.
- Yeni versiya yaratmaq üçün < üzərinə iki dəfə klikləyin >.
- Revision name bölməsində blinking_led_default təyin edin və Revision əsasında üçün blinking_led seçin.
- Revision növü üçün Qismən Yenidən Konfiqurasiya - PersonaImplementation seçin.
Reviziyaların yaradılması
- Eynilə, blinking_led_slow və blinking_led_empty versiyaları üçün Revision növünü təyin edin.
- Hər .qsf file indi aşağıdakı tapşırığı ehtiva edir: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led burada, place_holder yeni yaradılmış PR tətbiqi revizyonu üçün standart obyekt adıdır.
Layihənin təftişləri
Baza Reviziyasının tərtib edilməsi
- Əsas versiyanı tərtib etmək üçün Processing ➤ Start Compilation düyməsini klikləyin. Alternativ olaraq, aşağıdakı əmr əsas revizyonu tərtib edir: quartus_sh –flow compile blinking_led -c blinking_led
- Bit axını yoxlayın fileçıxışda yaradan s_files kataloqu.
Yaradılmış Files
ad | Növ | Təsvir |
blinking_led.sof | Baza proqramlaşdırma file | Tam çip bazası konfiqurasiyası üçün istifadə olunur |
blinking_led.pr_partition.rbf | PR bit axını file əsas şəxsiyyət üçün | Əsas personanın qismən yenidən konfiqurasiyası üçün istifadə olunur. |
yanıb-sönən_led_static.qdb | .qdb verilənlər bazası file | Tamamlanmış verilənlər bazası file statik bölgəni idxal etmək üçün istifadə olunur. |
Əlaqədar Məlumat
- Intel Quartus Prime Pro Edition İstifadəçi Təlimatında "Qismən Yenidən Konfiqurasiya Dizaynını Döşəmə Planı": Qismən Yenidən Konfiqurasiya
- Intel Quartus Prime Pro Edition İstifadəçi Təlimatında "Döşəmə Planı Məhdudiyyətlərinin Artan Tətbiqi": Qismən Yenidən Konfiqurasiya
PR Tətbiq Reviziyalarının Hazırlanması
Cihaz proqramlaşdırması üçün PR bit axınını tərtib etməzdən və yaratmadan əvvəl siz PR tətbiqi reviziyalarını hazırlamalısınız. Bu quraşdırmaya .qdb statik bölgəsinin əlavə edilməsi daxildir file mənbə kimi file hər bir icra revizyonu üçün. Bundan əlavə, PR bölgəsinin müvafiq qurumunu göstərməlisiniz.
- Cari versiyanı təyin etmək üçün Layihə ➤ Revisions klikləyin, Revizyon adı kimi blinking_led_default seçin və sonra Cari təyin et üzərinə klikləyin.
- Hər bir icra revizyonu üçün düzgün mənbəni yoxlamaq üçün Layihə ➤Əlavə/Sil düyməsini klikləyin FileLayihədə s. Bu blinking_led.sv file -də görünür file siyahı.
Files Səhifə
- Digər icra revizyonu mənbəyini yoxlamaq üçün 1-2 addımlarını təkrarlayın files:
Tətbiq Revizyonunun Adı | Mənbə File |
yanıb-sönən_led_defolt | blinking_led.sv |
yanıb-sönən_led_boş | blinking_led_empty.sv |
yanıb-sönən_yavaş | blinking_led_slow.sv |
- .qdb-ni yoxlamaq üçün file kök bölmə ilə əlaqəli olduqda, Tapşırıqlar ➤ Dizayn Bölmələri Pəncərəsinə klikləyin. Bölmə verilənlər bazası olduğunu təsdiqləyin File blinking_led_static.qdb-ni təyin edir file, və ya Partition Database üzərinə iki dəfə klikləyin File Bunu müəyyən etmək üçün xana file. Alternativ olaraq, aşağıdakı əmr bunu təyin edir file: set_instance_assignment -ad QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Müəssisə Yenidən Bağlama xanasında, həyata baxışında dəyişdirdiyiniz hər bir PR bölməsinin obyekt adını göstərin. blinking_led_default tətbiq revizyonu üçün obyektin adı blinking_led-dir. Bu təlimatda siz yeni blinking_led obyekti ilə əsas təftiş kompilyasiyasından u_blinking_led instansiyasının üzərinə yazırsınız.
Qeyd: Yer tutucu obyektin yenidən bağlanma tapşırığı avtomatik olaraq həyata keçirmə təftişinə əlavə edilir. Bununla belə, siz təyinatdakı standart obyekt adını dizaynınız üçün uyğun qurum adına dəyişməlisiniz.
Tətbiq Revizyonunun Adı | Müəssisənin yenidən bağlanması |
yanıb-sönən_led_defolt | yanıb-sönən_led |
yanıb-sönən_yavaş | yanıb-sönən_yavaş |
yanıb-sönən_led_boş | yanıb-sönən_led_boş |
Müəssisənin yenidən bağlanması
- Dizaynı tərtib etmək üçün Processing ➤ Start Compilation düyməsini klikləyin. Alternativ olaraq, aşağıdakı komanda bu layihəni tərtib edir: quartus_sh –flow compile blinking_led –c blinking_led_default
- Blinking_led_slow və blinking_led_empty reviziyalarını hazırlamaq üçün yuxarıdakı addımları təkrarlayın: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Qeyd: Siz PR tətbiqi tərtibi zamanı tətbiq etmək istədiyiniz hər hansı Fitterə xüsusi parametrləri təyin edə bilərsiniz. Quraşdıran xüsusi parametrlər idxal edilmiş statik bölgəyə təsir etmədən yalnız persona uyğunluğuna təsir göstərir.
Şuranın proqramlaşdırılması
Bu dərslik kompüterinizdəki PCIe* yuvasından kənarda skamyada Intel Agilex F-Series FPGA inkişaf lövhəsindən istifadə edir. Lövhəni proqramlaşdırmadan əvvəl aşağıdakı addımları yerinə yetirdiyinizə əmin olun:
- Enerji təchizatını Intel Agilex F-Series FPGA inkişaf lövhəsinə qoşun.
- Intel FPGA Yükləmə Kabelini kompüterinizin USB portu ilə inkişaf lövhəsindəki Intel FPGA Yükləmə Kabel portu arasında birləşdirin.
Dizaynı Intel Agilex F-Series FPGA inkişaf lövhəsində işə salmaq üçün:
- Intel Quartus Prime proqramını açın və Alətlər ➤ Proqramçı düyməsini basın.
- Proqramçıda Hardware Setup düyməsini basın və USB-Blaster seçin.
- Avtomatik aşkarla üzərinə klikləyin və cihazı seçin, AGFB014R24AR0.
- OK düyməsini basın. Intel Quartus Prime proqramı lövhədəki üç FPGA cihazı ilə Proqramçını aşkar edir və yeniləyir.
- AGFB014R24AR0 cihazını seçin, Dəyişiklik düyməsini basın File və blinking_led_default.sof-u yükləyin file.
- blinking_led_default.sof üçün Proqram/konfiqurasiyanı aktivləşdirin file.
- Başlat düyməsini basın və irəliləyiş çubuğunun 100%-ə çatmasını gözləyin.
- Lövhədəki LED-lərin orijinal düz dizaynla eyni tezlikdə yanıb-sönməsinə diqqət yetirin.
- Yalnız PR bölgəsini proqramlaşdırmaq üçün blinking_led_default.sof üzərinə sağ klikləyin file Proqramçıda və PR proqramlaşdırma əlavə et düyməsini klikləyin File.
- blinking_led_slow.pr_partition.rbf seçin file.
- blinking_led_default.sof üçün Proqram/konfiqurasiyanı söndürün file.
- blinking_led_slow.pr_partition.rbf üçün Proqram/konfiqurasiyanı aktivləşdirin file və Start klikləyin. Lövhədə LED[0] və LED[1] yanıb-sönməyə davam etdiyini müşahidə edin. Tərəqqi çubuğu 100%-ə çatdıqda, LED[2] və LED[3] daha yavaş yanıb-sönür.
- PR bölgəsini yenidən proqramlaşdırmaq üçün .rbf üzərinə sağ klikləyin file Proqramçıda və Change PR Programing düyməsini klikləyin File.
- .rbf seçin files digər iki şəxs üçün lövhədəki davranışı müşahidə etmək. blinking_led_default.rbf yüklənir file LED-lərin müəyyən bir tezlikdə yanıb-sönməsinə səbəb olur və blinking_led_empty.rbf faylını yükləyir file LED-lərin AÇIQ qalmasına səbəb olur.
Intel Agilex F-Series FPGA İnkişaf Şurasının proqramlaşdırılması
Avadanlıq Test Akışı
Aşağıdakı ardıcıllıqlar istinad dizaynı aparatının sınaq axınını təsvir edir.
Intel Agilex Cihazının Xarici Host Hardware Quraşdırması
Köməkçi FPGA (Xarici Host) proqramlaşdırın
Aşağıdakı ardıcıllıq PR prosesinin xarici hostu kimi fəaliyyət göstərən köməkçi FPGA-nın proqramlaşdırılmasını təsvir edir:
- Seçdiyiniz rejimə (x8, x16 və ya x32) uyğun gələn Avalon axın interfeysi parametrini təyin edin.
- Intel Quartus Prime Programmer və qoşulmuş konfiqurasiya kabelindən istifadə edərək köməkçi FPGA-nı proqramlaşdıraraq platformanı işə salın.
- FPGA köməkçisindən istifadə edərək CONF_DONE və AVST_READY siqnallarını oxuyun. CONF_DONE 0, AVST_READY 1 olmalıdır. Bu pin üzərindəki yüksək məntiq SDM-nin xarici hostdan məlumatları qəbul etməyə hazır olduğunu göstərir. Bu çıxış SDM I/O-nun bir hissəsidir.
Qeyd: CONF_DONE sancağı xarici hosta bit axınının ötürülməsinin uğurlu olduğunu bildirir. Bu siqnalları yalnız tam çip konfiqurasiya prosesini izləmək üçün istifadə edin. Bu pin haqqında ətraflı məlumat üçün Intel Agilex Konfiqurasiya İstifadəçi Təlimatına baxın.
DUT FPGA-nı Xarici Host vasitəsilə Tam Çip SOF ilə proqramlaşdırın Aşağıdakı ardıcıllıq DUT FPGA-nın tam çip SRAM Obyekti ilə proqramlaşdırılmasını təsvir edir. File (.sof) host Avalon axın interfeysindən istifadə edərək:
- Tam çip bit axınını köməkçi FPGA (xarici host) DDR4 xarici yaddaşına yazın.
- Avalon axın interfeysindən (x8, x16, x32) istifadə edərək DUT FPGA-nı tam .sof çipi ilə konfiqurasiya edin.
- DUT FPGA konfiqurasiya siqnallarının statusunu oxuyun. CONF_DONE 1, AVST_READY 0 olmalıdır.
Zamanlama Xüsusiyyətləri: Qismən Yenidən Konfiqurasiya Xarici Nəzarətçi Intel FPGA IP
DUT FPGA-nı Xarici Host vasitəsilə First Persona ilə proqramlaşdırın
- DUT FPGA-da hədəf PR bölgəsində dondurma tətbiq edin.
- Intel Quartus Prime Sistem Konsolundan istifadə edərək, qismən yenidən konfiqurasiyaya başlamaq üçün pr_request tələb edin. AVST_READY 1 olmalıdır.
- Köməkçi FPGA (xarici host) DDR4 xarici yaddaşına ilk PR persona bit axını yazın.
- Avalon axın interfeysindən (x8, x16, x32) istifadə edərək, ilk persona bit axını ilə DUT FPGA-nı yenidən konfiqurasiya edin.
- PR vəziyyətinə nəzarət etmək üçün Sistem Konsolunu işə salmaq üçün Alətlər ➤ Sistem Konsolu üzərinə klikləyin. Sistem Konsolunda PR vəziyyətinə nəzarət edin:
- pr_error 2-dir - yenidən konfiqurasiya prosesdədir.
- pr_error 3 - yenidən konfiqurasiya tamamlandı.
- DUT FPGA-da PR bölgəsində unfreeze tətbiq edin.
Qeyd: PR əməliyyatı zamanı versiya yoxlanılması və ya avtorizasiyanın yoxlanılmasında uğursuzluq kimi xəta baş verərsə, PR əməliyyatı dayandırılır.
Əlaqədar Məlumat
- Intel Agilex Konfiqurasiya İstifadəçi Təlimatı
- Intel Quartus Prime Pro Edition İstifadəçi Təlimatı: Sazlama Alətləri
AN 991 üçün Sənədin Təftiş Tarixçəsi: Intel Agilex F-Series FPGA İnkişaf Şurası üçün Konfiqurasiya Sancaqları (Xarici Host) İstinad Dizaynı vasitəsilə qismən yenidən konfiqurasiya
Sənəd versiyası | Intel Quartus Prime Versiya | Dəyişikliklər |
2022.11.14 | 22.3 | • İlkin buraxılış. |
AN 991: Konfiqurasiya sancaqları (xarici host) vasitəsilə qismən yenidən konfiqurasiya Referans dizaynı: Intel Agilex F-Series FPGA İnkişaf Şurası üçün
Ən çox verilən suallara cavablar:
- Q Konfiqurasiya pinləri vasitəsilə PR nədir?
- A Xarici Host Konfiqurasiyası səhifə 3
- Q Bu istinad dizaynı üçün mənə nə lazımdır?
- A İstinad Dizayn Tələbləri səhifə 6
- Q İstinad dizaynını haradan əldə edə bilərəm?
- A İstinad Dizayn Tələbləri səhifə 6
- Q Xarici konfiqurasiya vasitəsilə PR-ı necə həyata keçirə bilərəm?
- A İstinad Dizayn Təlimatları səhifə 6
- Q PR persona nədir?
- A Şəxsiyyətlərin müəyyən edilməsi səhifə 11
- Q Lövhəni necə proqramlaşdırmalıyam?
- A Səhifə 17-də İdarə Heyətini proqramlaşdırın
- Q PR ilə bağlı məlum problemlər və məhdudiyyətlər hansılardır?
- A Intel FPGA Dəstək Forumları: PR
- Q PR üzrə təliminiz varmı?
- A Intel FPGA Texniki Təlim Kataloqu
Onlayn versiya Rəy göndər
- ID: 750856
- Versiya: 2022.11.14
Sənədlər / Resurslar
![]() |
intel 750856 Agilex FPGA İnkişaf Şurası [pdf] İstifadəçi təlimatı 750856, 750857, 750856 Agilex FPGA İnkişaf Şurası, Agilex FPGA İnkişaf Şurası, FPGA İnkişaf Şurası, İnkişaf Şurası, İdarə Heyəti |