Intel 750856 Agilex FPGA Development Board
ọja Alaye
Apẹrẹ itọkasi yii jẹ fun Igbimọ Idagbasoke Intel Agilex F-Series FPGA. O nlo Atunto Atunto Apakan Adari Iṣeto Iṣeto Ita Ita Intel FPGA IP ati pe o ni agbegbe PR ti o rọrun. Ohun elo Intel Agilex Ita Gbalejo Hardware Setup ni ohun ita ẹrọ (Oluranlọwọ FPGA), a DUT FPGA, ati rẹ ita ogun oniru. Apẹrẹ ogun ni ẹrọ ita jẹ iduro fun gbigbalejo ilana PR. Awọn pinni PR ni a lo lati so awọn ẹrọ mejeeji pọ ati pe o le jẹ eyikeyi I/O olumulo ti o wa.
Awọn ilana Lilo ọja
Ita Gbalejo iṣeto ni
Lati ṣe atunto agbalejo ita, tẹle awọn igbesẹ wọnyi:
- Ṣẹda apẹrẹ ogun ni ẹrọ ita lati gbalejo ilana PR.
- So awọn pinni PR pọ lati ẹrọ ita si Atunto Atunto Apakan Ita Iṣeto ni Adarí Intel FPGA IP ni DUT FPGA.
- Awọn data atunto ṣiṣanwọle lati apẹrẹ agbalejo si awọn pinni wiwo ṣiṣanwọle Intel Agilex Avalon ti o baamu awọn ifihan agbara imudani PR lati IP.
Atunto apakan nipasẹ Isẹ Awọn Pinni Iṣeto ni
Ọkọọkan atẹle n ṣe apejuwe iṣẹ ti atunto apakan nipasẹ awọn pinni atunto:
- Ṣafikun pin pr_request ti a ti sopọ si Atunto Atunto Apakan Adari Iṣeto Iṣeto Ita Intel FPGA IP.
- IP ṣe afihan ifihan agbara ti o nšišẹ lati fihan pe ilana PR wa ni ilọsiwaju (aṣayan).
- Ti eto iṣeto ba ti šetan fun iṣẹ PR, pin avst_ready ti sọ, o fihan pe o ti ṣetan lati gba data.
- Ṣe ṣiṣan data iṣeto PR lori awọn pinni avst_data ati pin avst_valid, ni atẹle sipesifikesonu ṣiṣanwọle Avalon fun gbigbe data pẹlu ifẹhinti.
- Ṣiṣanwọle n duro nigbati avst_ready pin ti wa ni idasilẹ.
- Pa avst_ready pin lati fihan pe ko si data diẹ sii fun iṣẹ PR.
- Adarí Iṣeto Iṣeto Ita Apa kan Intel FPGA IP de-ṣe afihan ami ti o nšišẹ lati tọka opin ilana naa (aṣayan).
Atunto apakan nipasẹ Awọn pinni Iṣeto ni (Ode Gbalejo) Apẹrẹ Itọkasi
Akọsilẹ ohun elo yii ṣe afihan atunto apakan nipasẹ awọn pinni iṣeto (olugbelegbe ita) lori igbimọ idagbasoke FPGA Intel® Agilex® F-Series.
Reference Design Loriview
Ẹya atunto apakan (PR) gba ọ laaye lati tunto ipin kan ti FPGA ni agbara, lakoko ti apẹrẹ FPGA to ku tẹsiwaju lati ṣiṣẹ. O le ṣẹda awọn eniyan pupọ fun agbegbe kan pato ninu apẹrẹ rẹ ti ko ni ipa iṣẹ ni awọn agbegbe ni ita agbegbe yii. Ọna yii jẹ doko ni awọn eto nibiti awọn iṣẹ lọpọlọpọ ti pin akoko-pin awọn orisun ẹrọ FPGA kanna. Ẹya lọwọlọwọ ti sọfitiwia Intel Quartus® Prime Pro Edition ṣafihan ṣiṣan akopọ tuntun ati irọrun fun atunto apakan. Apẹrẹ itọkasi Intel Agilex yii nlo Atunto Atunto Apakan Alakoso Iṣeto Iṣeto Ita Intel FPGA IP ati pe o ni agbegbe PR ti o rọrun.
Intel Agilex Device Ita Gbalejo Hardware Oṣo
Ita Gbalejo iṣeto ni
Ni atunto agbalejo ita, o gbọdọ kọkọ ṣẹda apẹrẹ agbalejo kan ninu ẹrọ ita lati gbalejo ilana PR, bi Intel Agilex Device External Host Hardware Setup fihan. Apẹrẹ agbalejo ṣiṣan data iṣeto ni si awọn pinni wiwo ṣiṣanwọle Intel Agilex Avalon ti o baamu awọn ifihan agbara imufọwọwọ PR ti o wa lati Atunto Atunto Apakan Ita Iṣeto Iṣeto Intel FPGA IP. Awọn pinni PR ti o lo lati so awọn ẹrọ mejeeji le jẹ I/O olumulo eyikeyi ti o wa.
Ọkọọkan atẹle n ṣapejuwe atunto apakan nipasẹ iṣẹ awọn pinni atunto:
- Ni akọkọ sọ PIN pr_request ti o sopọ si Atunto Atunto Apakan Adari Iṣeto Iṣeto Ita Intel FPGA IP.
- IP ṣe afihan ifihan agbara ti o nšišẹ lati fihan pe ilana PR wa ni ilọsiwaju (aṣayan).
- Ti o ba ti iṣeto ni eto ti šetan lati faragba a PR isẹ ti, awọn avst_ready pin ti wa ni so wipe o ti šetan lati gba data.
- Bẹrẹ lati san data iṣeto ni PR sori awọn pinni avst_data ati pin avst_valid, lakoko ti o n ṣakiyesi sipesifikesonu ṣiṣanwọle Avalon fun gbigbe data pẹlu ifẹhinti.
- Ṣiṣanwọle n duro nigbakugba ti avst_ready pin ti wa ni idasilẹ.
- Lẹhin ṣiṣan gbogbo data atunto, avst_ready pin ti wa ni idasilẹ lati fihan pe ko nilo data diẹ sii fun iṣẹ PR.
- Adari atunto atunto ita ita Intel FPGA IP jẹ ami ajẹkẹyin ti o nšišẹ lati tọka si opin ilana naa (aṣayan).
- O le ṣayẹwo pr_done ati awọn pinni pr_error lati jẹrisi boya iṣẹ PR ti pari ni aṣeyọri. Ti aṣiṣe kan ba waye, gẹgẹbi ikuna ni iṣayẹwo ẹya ati ṣiṣe ayẹwo aṣẹ, iṣẹ PR dopin.
Alaye ti o jọmọ
- Intel Agilex F-Series FPGA Development Kit Web Oju-iwe
- Intel Agilex F-Series FPGA Development Apo olumulo Itọsọna
- Itọnisọna olumulo Intel Quartus Prime Pro Edition: Atunto apakan
Apakan atunto atunto Ita Iṣeto ni Adarí Intel FPGA IP
Adarí Iṣeto Iṣeto Ita Apa kan nilo lati lo awọn pinni iṣeto ni lati san data PR fun iṣẹ PR. O gbọdọ so gbogbo awọn ebute oko oju omi ti o ga julọ ti Atunto Atunto Ipilẹ Atunto Ita Itanna Intel FPGA IP si PIN pr_request lati gba imudaniwo ti agbalejo pẹlu oluṣakoso ẹrọ to ni aabo (SDM) lati inu mojuto. SDM pinnu iru awọn pinni iṣeto ni lati lo, ni ibamu si eto MSEL rẹ.
Apakan atunto atunto Ita Iṣeto ni Adarí Intel FPGA IP
Atunto apakan Apakan Awọn Eto Iṣeto Iṣeto Iṣeto Ita
Paramita | Iye | Apejuwe |
Mu Ni wiwo Nšišẹ lọwọ | Mu ṣiṣẹ or
Pa a |
Gba ọ laaye lati Mu ṣiṣẹ tabi Muu ni wiwo Nšišẹ, eyiti o sọ ifihan agbara kan lati fihan pe sisẹ PR wa ni ilọsiwaju lakoko iṣeto ita.
Eto aiyipada jẹ Pa a. |
Apakan atunto atunto Ita Iṣeto ni Awọn ibudo
Orukọ Port | Ìbú | Itọsọna | Išẹ |
pr_ìbéèrè | 1 | Iṣawọle | Tọkasi pe ilana PR ti ṣetan lati bẹrẹ. Awọn ifihan agbara ni a conduit ko amuṣiṣẹpọ si eyikeyi aago ifihan agbara. |
pr_aṣiṣe | 2 | Abajade | Tọkasi aṣiṣe atunto apa kan.:
• 2'b01-gbogbo PR aṣiṣe 2'b11—aṣiṣe bitstream ti ko ni ibamu Awọn ifihan agbara wọnyi kii ṣe amuṣiṣẹpọ si eyikeyi orisun aago. |
pr_ti ṣe | 1 | Abajade | Tọkasi pe ilana PR ti pari. Awọn ifihan agbara ni a conduit ko amuṣiṣẹpọ si eyikeyi aago ifihan agbara. |
ibere_addr | 1 | Iṣawọle | Ṣe apejuwe adirẹsi ibẹrẹ ti data PR ni Filaṣi Serial Ti nṣiṣe lọwọ. O mu ifihan agbara yii ṣiṣẹ nipa yiyan boya Avalon®-ST or Ti nṣiṣe lọwọ Serial fun awọn Mu awọn pinni Avalon-ST ṣiṣẹ tabi awọn pinni Serial ti nṣiṣe lọwọ paramita. Awọn ifihan agbara ni a conduit ko amuṣiṣẹpọ si eyikeyi aago ifihan agbara. |
tunto | 1 | Iṣawọle | Ga ti nṣiṣe lọwọ, ifihan agbara atunto amuṣiṣẹpọ. |
jade_clk | 1 | Abajade | Orisun aago ti o njade lati inu oscillator inu. |
nšišẹ | 1 | Abajade | IP ṣe afihan ifihan agbara yii lati tọka gbigbe data PR ni ilọsiwaju. O mu ifihan agbara yii ṣiṣẹ nipa yiyan Mu ṣiṣẹ fun awọn Mu wiwo ti o nšišẹ ṣiṣẹ paramita. |
Reference Design ibeere
Lilo apẹrẹ itọkasi yii nilo atẹle naa:
- Fifi sori ẹrọ ti ẹya Intel Quartus Prime Pro Edition 22.3 pẹlu atilẹyin fun idile ẹrọ Intel Agilex.
- Asopọ si Intel Agilex F-Series FPGA idagbasoke ọkọ lori ibujoko.
- Download ti awọn oniru example wa ni ipo atẹle: https://github.com/intel/fpga-partial-reconfig.
Lati ṣe igbasilẹ apẹrẹ example:
- Tẹ Clone tabi ṣe igbasilẹ.
- Tẹ Gba ZIP silẹ. Unzip fpga-partial-reconfig-master.zip file.
- Lilö kiri si awọn olukọni/agilex_external_pr_configuration folda kekere lati wọle si apẹrẹ itọkasi.
Reference Design Ririn
Awọn igbesẹ wọnyi ṣe apejuwe imuse atunto apakan nipasẹ awọn pinni iṣeto (olugbelegbe ita) lori igbimọ idagbasoke FPGA Intel Agilex F-Series:
- Igbesẹ 1: Bibẹrẹ
- Igbesẹ 2: Ṣiṣẹda Apẹrẹ Ipin
- Igbesẹ 3: Pipin Ibi ati afisona Ekun
- Igbesẹ 4: Ṣafikun Atunto Atunto Apakan Adari Iṣeto Iṣeto Ita Ita IP
- Igbesẹ 5: asọye Personas
- Igbesẹ 6: Ṣiṣẹda Awọn atunṣe
- Igbesẹ 7: Compiling Mimọ Àtúnyẹwò
- Igbesẹ 8: Ngbaradi PR imuse Awọn atunṣe
- Igbesẹ 9: Siseto awọn Board
Igbesẹ 1: Bibẹrẹ
Lati daakọ apẹrẹ itọkasi files si agbegbe iṣẹ rẹ ki o ṣajọ apẹrẹ alapin blinking_led:
- Ṣẹda itọsọna kan ni agbegbe iṣẹ rẹ, agilex_pcie_devkit_blinking_led_pr.
- Da awọn ikẹkọ ti a gbasile/agilex_pcie_devkit_blinking_led/folda iha-ipin alapin si itọsọna, agilex_pcie_devkit_blinking_led_pr.
- Ninu sọfitiwia Intel Quartus Prime Pro Edition, tẹ File ➤ Ṣii Project ko si yan blinking_led.qpf.
- Lati ṣe alaye awọn logalomomoise ti apẹrẹ alapin, tẹ Ṣiṣe-ṣiṣe ➤ Bẹrẹ ➤ Bẹrẹ Analysis & Synthesis. Ni omiiran, ni laini aṣẹ, ṣiṣe aṣẹ wọnyi: quartus_syn blinking_led -c blinking_led
Ṣiṣẹda Apẹrẹ Ipin
O gbọdọ ṣẹda awọn ipin apẹrẹ fun agbegbe PR kọọkan ti o fẹ lati tunto ni apakan. Awọn igbesẹ wọnyi ṣẹda ipin apẹrẹ fun apẹẹrẹ u_blinking_led.
Ṣiṣẹda Design Partitions
- Ọtun-tẹ awọn u_blinking_led apeere ninu awọn Project Navigator ki o si tẹ Design Partition ➤ Reconfigurable. Aami ipin apẹrẹ kan han lẹgbẹẹ apẹẹrẹ kọọkan ti o ṣeto bi ipin kan.
- Tẹ Awọn iṣẹ iyansilẹ ➤ Ferese Awọn ipin Apẹrẹ. Ferese naa ṣafihan gbogbo awọn ipin apẹrẹ ninu iṣẹ akanṣe naa.
- Ṣatunkọ orukọ ipin ni Window Awọn ipin Oniru nipasẹ titẹ-lẹẹmeji orukọ naa. Fun apẹrẹ itọkasi yii, tun lorukọ ipin si pr_partition
- Akiyesi: Nigbati o ba ṣẹda ipin kan, sọfitiwia Intel Quartus Prime ṣe ipilẹṣẹ orukọ ipin kan laifọwọyi, da lori orukọ apẹẹrẹ ati ipa-ọna ilana. Orukọ ipin aiyipada yii le yatọ pẹlu apẹẹrẹ kọọkan.
- Lati okeere agbegbe aimi ti o pari lati akopọ atunyẹwo ipilẹ, tẹ-lẹẹmeji iwọle fun root_partition ni Ifiweranṣẹ Ipari Ipari File iwe, ki o si tẹ blinking_led_static. gdb.
Gbigbe aworan Ipari Ifiranṣẹ okeere ni Ferese Awọn ipin ApẹrẹDaju pe blinking_led.qsf ni awọn iṣẹ iyansilẹ wọnyi, ti o baamu si ipin apẹrẹ atunto rẹ:
Alaye ti o jọmọ
“Ṣẹda Awọn ipin Apẹrẹ” ni Itọsọna olumulo olumulo Intel Quartus Prime Pro Edition: Atunto apakan
Pipin Ibi-ipo ati Agbegbe ipa-ọna fun ipin PR kan
Fun gbogbo atunyẹwo ipilẹ ti o ṣẹda, ṣiṣan apẹrẹ PR gbe mojuto persona ti o baamu ni agbegbe ipin PR rẹ. Lati wa ati yan agbegbe PR ni ero ilẹ-ilẹ ẹrọ fun atunyẹwo ipilẹ rẹ:
- Titẹ-ọtun apẹẹrẹ u_blinking_led ninu Olukọni Iṣẹ ki o tẹ Agbegbe Lock Logic ➤ Ṣẹda Agbegbe Titiipa Logic Tuntun. Ekun naa han loju Ferese Awọn Agbegbe Logic Lock.
- Ekun ibi-ipo rẹ gbọdọ fi imọ-ọrọ blinking_led kun. Yan agbegbe ifisilẹ nipa wiwa ipade ni Chip Planner. Tẹ-ọtun orukọ agbegbe u_blinking_led ni Ferese Lock Lock Regions ki o tẹ
Wa Node ➤ Wa ni Chip Planner. Ekun u_blinking_led jẹ aami-awọ
Ibi ipade Alakoso Chip fun blinking_led
- Ni awọn Logic Lock Regions ferese, pato awọn ipoidojuko agbegbe agbegbe ni iwe Oti. Ipilẹṣẹ ni ibamu si igun apa osi isalẹ ti agbegbe naa. Fun example, lati ṣeto agbegbe kan pẹlu awọn ipoidojuko (X1 Y1) bi (163 4), pato Oti bi X163_Y4. Sọfitiwia Intel Quartus Prime ṣe iṣiro laifọwọyi awọn ipoidojuko (X2 Y2) (oke-ọtun) fun agbegbe ifisilẹ, da lori giga ati iwọn ti o pato.
- Akiyesi: Ikẹkọ yii nlo awọn ipoidojuko (X1 Y1) - (163 4), ati giga ati iwọn ti 20 fun agbegbe ifisilẹ. Setumo eyikeyi iye fun awọn placement ekun. Rii daju pe agbegbe naa bo ọgbọn-iṣaro blinking_led.
- Mu awọn aṣayan ipamọ ati Core-Nikan ṣiṣẹ.
- Tẹ lẹẹmeji aṣayan Agbegbe ipa ọna. Apoti ibanisọrọ Awọn Eto Agbegbe Logic Lock Routing yoo han.
- Yan Ti o wa titi pẹlu imugboroja fun iru ipa ọna. Yiyan aṣayan yii laifọwọyi ṣe iyasọtọ ipari imugboroosi ti 2.
- Akiyesi: Ẹkun ipa-ọna gbọdọ jẹ tobi ju agbegbe gbigbe lọ, lati pese irọrun ni afikun fun Fitter nigbati ẹrọ ba tọ awọn eniyan oriṣiriṣi lọ.
Logic Lock Regions WindowDaju pe blinking_led.qsf ni awọn iṣẹ iyansilẹ wọnyi, ti o baamu si igbero ilẹ rẹ:
Alaye ti o jọmọ
“Floorplan Apẹrẹ atunto apakan” ni Itọsọna olumulo olumulo Intel Quartus Prime Pro Edition: Iṣatunṣe apakan
Ṣafikun Atunṣe atunto Apakan Adari Iṣeto Iṣeto Ita Intel FPGA IP
Oluṣakoso Iṣeto Iṣeto Ita Apa kan Intel FPGA IP awọn atọkun pẹlu bulọki iṣakoso Intel Agilex PR lati ṣakoso orisun bitstream. O gbọdọ ṣafikun IP yii si apẹrẹ rẹ lati ṣe iṣeto ni ita. Tẹle awọn igbesẹ wọnyi lati ṣafikun Atunto Atunto Apakan Adari Iṣeto Iṣeto Ita
Intel FPGA IP si iṣẹ akanṣe rẹ:
- Tẹ atunto apakan ni aaye wiwa Katalogi IP (Awọn irinṣẹ ➤ Katalogi IP).
- Tẹ lẹẹmeji Atunto Apá Apakan Adarí Iṣeto Iṣeto Ita Ita Intel FPGA IP.
- Ninu apoti ibaraẹnisọrọ Ṣẹda IP Variant, tẹ external_host_pr_ip bi awọn File orukọ, ati ki o si tẹ Ṣẹda. Olootu paramita yoo han.
- Fun paramita wiwo ti o nšišẹ ṣiṣẹ, yan Muu (eto aiyipada). Nigbati o ba nilo lati lo ifihan agbara yii, o le yipada eto si Muu ṣiṣẹ.
Jeki Parameter Interface Nšišẹ lọwọ ni Olootu Parameter
- Tẹ File ➤ Fipamọ ati jade kuro ni olootu paramita laisi ipilẹṣẹ eto naa. Olootu paramita ṣe ipilẹṣẹ ita_host_pr_ip.ip IP iyatọ file ati ki o ṣe afikun awọn file si blinking_led ise agbese. AN 991: Atunto apa kan nipasẹ awọn pinni iṣeto ni (Ode Gbalejo) Reference Design 750856 | 2022.11.14 AN 991:
- Akiyesi:
- a. Ti o ba n ṣe didakọ external_host_pr_ip.ip file lati pr liana, pẹlu ọwọ satunkọ blinking_led.qsf file lati ni laini atẹle yii: set_global_assignment -name IP_FILE pr_ip.ip
- b. Gbe IP_FILE iṣẹ iyansilẹ lẹhin SDC_FILE awọn iṣẹ iyansilẹ (blinking_led. dc) ninu rẹ blinking_led.qsf file. Pipaṣẹ yii ṣe idaniloju idinamọ ti o yẹ ti Oluṣeto atunto apakan IP mojuto.
- Akiyesi: Lati ṣe awari awọn aago, .sdc file fun PR IP gbọdọ tẹle eyikeyi .sdc ti o ṣẹda awọn aago ti IP mojuto nlo. O dẹrọ aṣẹ yii nipa aridaju pe .ip file fun PR IP mojuto han lẹhin ti eyikeyi .ip files tabi .sdc files ti o lo lati setumo awọn wọnyi aago ni .qsf file fun atunyẹwo iṣẹ akanṣe Intel Quartus Prime rẹ. Fun alaye diẹ sii, tọka si Itọsọna Olumulo Awọn solusan IP Atunto Apa kan.
Ṣiṣe imudojuiwọn Ipele Ipele Ipele
Lati ṣe imudojuiwọn top.sv file pẹlu apẹẹrẹ PR_IP:
- Lati ṣafikun apẹẹrẹ external_host_pr_ip si apẹrẹ ipele oke, ṣe akiyesi awọn bulọọki koodu atẹle ni top.sv file:
Asọye Personas
Apẹrẹ itọkasi yii ṣalaye awọn eniyan lọtọ mẹta fun ipin PR ẹyọkan. Lati ṣalaye ati ṣafikun awọn eniyan ninu iṣẹ akanṣe rẹ:
- Ṣẹda mẹta SystemVerilog files, blinking_led.sv, blinking_led_slow.sv, ati blinking_led_empty.sv ninu itọsọna iṣẹ rẹ fun awọn eniyan mẹta naa.
Reference Design Personas
Akiyesi:
- blinking_led.sv ti wa tẹlẹ gẹgẹbi apakan ti files o daakọ lati alapin/ iha-liana. O le jiroro tun lo eyi file.
- Ti o ba ṣẹda SystemVerilog files lati Intel Quartus Prime Text Editor, mu awọn Fikun-un file to lọwọlọwọ ise agbese aṣayan, nigba ti fifipamọ awọn files.
Ṣiṣẹda Awọn atunṣe
Ṣiṣan apẹrẹ PR nlo ẹya awọn atunyẹwo iṣẹ akanṣe ni sọfitiwia Intel Quartus Prime. Apẹrẹ akọkọ rẹ jẹ atunyẹwo ipilẹ, nibiti o ti ṣalaye awọn aala agbegbe aimi ati awọn agbegbe atunto lori FPGA. Lati atunyẹwo ipilẹ, o ṣẹda awọn atunyẹwo pupọ. Awọn atunyẹwo wọnyi ni awọn imuse oriṣiriṣi fun awọn agbegbe PR. Sibẹsibẹ, gbogbo awọn atunyẹwo imuse PR lo ipo ipo-oke kanna ati awọn abajade ipa-ọna lati atunyẹwo ipilẹ. Lati ṣajọ apẹrẹ PR, o gbọdọ ṣẹda atunyẹwo imuse PR fun eniyan kọọkan. Ni afikun, o gbọdọ fi awọn iru atunwo fun ọkọọkan awọn atunyẹwo naa. Awọn oriṣi atunṣe to wa ni:
- Atunto apakan - Ipilẹ
- Atunto apa kan – Persona imuse
Tabili ti o tẹle yii ṣe atokọ orukọ atunyẹwo ati iru atunyẹwo fun ọkọọkan awọn atunyẹwo naa:
Àtúnyẹwò Awọn orukọ ati Orisi
Orukọ Atunyẹwo | Àtúnyẹwò Iru |
blinking_led.qsf | Atunto apakan - Ipilẹ |
blinking_led_default.qsf | Atunto apa kan – Persona imuse |
blinking_led_slow.qsf | Atunto apa kan – Persona imuse |
blinking_led_empty.qsf | Atunto apa kan – Persona imuse |
Ṣiṣeto Iru Atunyẹwo Ipilẹ
- Tẹ Project ➤ Awọn atunwo.
- Ni Orukọ Atunyẹwo, yan atunyẹwo blinking_led, ati lẹhinna tẹ Ṣeto Lọwọlọwọ.
- Tẹ Waye. Atunyẹwo blinking_led ṣe afihan bi atunyẹwo lọwọlọwọ.
- Lati ṣeto Iru Atunyẹwo fun blinking_led, tẹ Awọn iṣẹ iyansilẹ ➤ Eto ➤ Gbogbogbo.
- Fun Àtúnyẹwò Iru, yan Apa kan atunto – Base, ati ki o si tẹ O dara.
- Daju pe blinking_led.qsf ni bayi ni iṣẹ iyansilẹ wọnyi: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
Ṣiṣẹda Awọn atunṣe imuse
- Lati ṣii apoti ibanisọrọ Awọn atunṣe, tẹ Project ➤ Awọn atunṣe.
- Lati ṣẹda atunyẹwo tuntun, tẹ-lẹẹmeji < >.
- Ni orukọ Àtúnyẹwò, pato blinking_led_default ki o si yan blinking_led fun Da lori àtúnyẹwò.
- Fun iru Atunyẹwo, yan Atunto Apa kan – PersonaImplementation.
Ṣiṣẹda Awọn atunṣe
- Bakanna, ṣeto iru Atunyẹwo fun blinking_led_slow ati blinking_led_empty awọn atunyẹwo.
- Daju pe kọọkan .qsf file bayi ni awọn wọnyi iyansilẹ: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led ibi ti, place_holder ni awọn aiyipada nkankan orukọ fun awọn rinle da PR imuse àtúnyẹwò.
Awọn atunwo Project
Iṣakojọpọ Ipilẹ Atunse
- Lati ṣajọ atunṣe ipilẹ, tẹ Ṣiṣe-ṣiṣe ➤ Bẹrẹ Iṣakojọpọ. Ni omiiran, aṣẹ atẹle n ṣe akopọ atunyẹwo ipilẹ: quartus_sh –flow compile blinking_led -c blinking_led
- Ayewo awọn bitstream files ti o ṣe ipilẹṣẹ ninu iṣẹjade_files liana.
Ti ipilẹṣẹ Files
Oruko | Iru | Apejuwe |
blinking_led.sof | Eto ipilẹ file | Ti a lo fun iṣeto ni kikun-chip mimọ |
blinking_led.pr_partition.rbf | PR bitstream file fun mimọ persona | Ti a lo fun atunto apakan ti persona mimọ. |
blinking_led_static.qdb | .qdb database file | Ipari database file ti a lo lati gbe agbegbe aimi wọle. |
Alaye ti o jọmọ
- “Floorplan Apẹrẹ atunto apakan” ni Itọsọna olumulo olumulo Intel Quartus Prime Pro Edition: Iṣatunṣe apakan
- “Lilo Awọn ihamọ Floorplan ni Ilọsiwaju” ni Itọsọna olumulo olumulo Intel Quartus Prime Pro Edition: Atunto apakan
Ngbaradi PR imuse Awọn atunṣe
O gbọdọ mura awọn atunyẹwo imuse PR ṣaaju ki o to le ṣajọ ati ṣe ina PR bitstream fun siseto ẹrọ. Eto yii pẹlu fifi agbegbe aimi kun .qdb file bi orisun file fun kọọkan imuse àtúnyẹwò. Ni afikun, o gbọdọ pato nkan ti o baamu ti agbegbe PR.
- Lati ṣeto atunyẹwo lọwọlọwọ, tẹ Project ➤ Awọn atunyẹwo, yan blinking_led_default bi orukọ Atunyẹwo, lẹhinna tẹ Ṣeto Lọwọlọwọ.
- Lati mọ daju orisun ti o pe fun atunyẹwo imuse kọọkan, tẹ Project ➤Fikun-un/Yọ kuro Files ni Project. Awọn blinking_led.sv file han ninu file akojọ.
Files Oju-iwe
- Tun awọn igbesẹ 1 si 2 ṣe lati rii daju orisun atunyẹwo imuse miiran files:
Oruko Atunse imuse | Orisun File |
blinking_led_default | blinking_led.sv |
blinking_led_ofo | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- Lati mọ daju .qdb file Ni nkan ṣe pẹlu ipin root, tẹ Awọn iṣẹ iyansilẹ ➤ Window Awọn ipin Apẹrẹ. Jẹrisi pe aaye data ipin File pato blinking_led_static.qdb file, tabi tẹ lẹẹmeji aaye data ipin File cell lati pato yi file. Ni omiiran, aṣẹ atẹle yii ṣe ipinnu file: set_intance_assignment -orukọ QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Ninu sẹẹli Tun-abuda ohun elo, pato orukọ nkan ti apakan PR kọọkan ti o yipada ninu atunyẹwo imuse. Fun atunyẹwo imuse blinking_led_default, orukọ nkan naa jẹ blinking_led. Ninu ikẹkọ yii, o tun kọ apẹẹrẹ u_blinking_led lati ipilẹ atunyẹwo ipilẹ pẹlu nkan blinking_led tuntun.
Akiyesi: Iṣẹ iyansilẹ isọdọtun nkan ti o ni aaye ni a ṣafikun si atunyẹwo imuse ni adaṣe. Sibẹsibẹ, o gbọdọ yi orukọ nkan aiyipada pada ninu iṣẹ iyansilẹ si orukọ nkan ti o yẹ fun apẹrẹ rẹ.
Oruko Atunse imuse | Nkankan Tun-abuda |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
blinking_led_ofo | blinking_led_ofo |
Atunse nkankan
- Lati ṣajọ apẹrẹ, tẹ Ṣiṣe-ṣiṣe ➤ Bẹrẹ Iṣakojọpọ. Ni omiiran, aṣẹ atẹle ṣe akopọ iṣẹ akanṣe yii: quartus_sh –flow compile blinking_led –c blinking_led_default
- Tun awọn igbesẹ ti o wa loke lati mura blinking_led_slow ati awọn atunyẹwo blinking_led_empty: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Akiyesi: O le pato eyikeyi awọn eto kan pato Fitter ti o fẹ lati lo lakoko iṣakojọpọ imuse PR. Awọn eto kan pato fitter ni ipa lori ibamu ti eniyan nikan, laisi ni ipa lori agbegbe aimi ti o gbe wọle.
Siseto awọn Board
Ikẹkọ yii nlo igbimọ idagbasoke Intel Agilex F-Series FPGA lori ibujoko, ni ita ti PCIe * Iho ninu ẹrọ agbalejo rẹ. Ṣaaju ki o to ṣeto igbimọ, rii daju pe o ti pari awọn igbesẹ wọnyi:
- So ipese agbara pọ si igbimọ idagbasoke Intel Agilex F-Series FPGA.
- So USB Gbigbawọle Intel FPGA laarin ibudo USB PC rẹ ati ibudo USB Gbigbawọle Intel FPGA lori igbimọ idagbasoke.
Lati ṣiṣẹ apẹrẹ lori igbimọ idagbasoke FPGA Intel Agilex F-Series:
- Ṣii sọfitiwia Intel Quartus Prime ki o tẹ Awọn irinṣẹ ➤ Programmerer.
- Ninu olupilẹṣẹ, tẹ Eto Hardware ko si yan USB-Blaster.
- Tẹ Ṣawari Aifọwọyi ko si yan ẹrọ naa, AGFB014R24AR0.
- Tẹ O DARA. Sọfitiwia Intel Quartus Prime ṣe iwari ati ṣe imudojuiwọn Oluṣeto pẹlu awọn ẹrọ FPGA mẹta lori igbimọ.
- Yan ẹrọ AGFB014R24AR0, tẹ Yipada File ati fifuye blinking_led_default.sof file.
- Mu Eto ṣiṣẹ/Ṣeto fun blinking_led_default.sof file.
- Tẹ Bẹrẹ ati duro fun ọpa ilọsiwaju lati de ọdọ 100%.
- Ṣe akiyesi awọn LED lori igbimọ ti npaju ni igbohunsafẹfẹ kanna bi apẹrẹ alapin atilẹba.
- Lati ṣe eto agbegbe PR nikan, tẹ-ọtun blinking_led_default.sof file ninu awọn Programmer ki o si tẹ Fi PR siseto File.
- Yan blinking_led_slow.pr_partition.rbf file.
- Pa Eto/Ṣeto fun blinking_led_default.sof file.
- Mu Eto ṣiṣẹ/Ṣeto fun blinking_led_slow.pr_partition.rbf file ki o si tẹ Bẹrẹ. Lori igbimọ, ṣe akiyesi LED[0] ati LED[1] tẹsiwaju lati paju. Nigbati ọpa ilọsiwaju ba de 100%, LED [2] ati LED [3] n parẹ losokepupo.
- Lati tun agbegbe PR ṣe, tẹ-ọtun .rbf file ninu awọn Programmer ki o si tẹ Change PR Programing File.
- Yan .rbf files fun awọn miiran meji personas lati ma kiyesi ihuwasi lori awọn ọkọ. Nkojọpọ blinking_led_default.rbf file fa awọn LED lati seju ni kan pato igbohunsafẹfẹ, ati ikojọpọ blinking_led_empty.rbf file fa awọn LED duro ON.
Siseto Intel Agilex F-Series FPGA Development Board
Hardware Igbeyewo Sisan
Awọn atẹle wọnyi ṣe apejuwe ṣiṣan idanwo ohun elo apẹrẹ itọkasi.
Intel Agilex Device Ita Gbalejo Hardware Oṣo
Ṣeto Oluranlọwọ FPGA (Olulejo ita)
Ọkọọkan ti o tẹle n ṣapejuwe siseto FPGA oluranlọwọ ti n ṣiṣẹ bi ogun ita ilana PR:
- Pato eto wiwo ṣiṣanwọle Avalon ti o baamu pẹlu ipo ti o yan (x8, x16, tabi x32).
- Bibẹrẹ pẹpẹ nipasẹ siseto oluranlọwọ FPGA ni lilo Intel Quartus Prime Programmer ati okun iṣeto ni asopọ.
- Lilo FPGA oluranlọwọ, ka awọn ifihan agbara CONF_DONE ati AVST_READY. CONF_DONE yẹ ki o jẹ 0, AVST_READY yẹ ki o jẹ 1. Logic ga lori pin yii tọkasi SDM ti ṣetan lati gba data lati ọdọ agbalejo ita. Ijade yii jẹ apakan ti SDM I/O.
Akiyesi: Pinni CONF_DONE n ṣe ifihan ogun ita gbangba pe gbigbe bitstream jẹ aṣeyọri. Lo awọn ifihan agbara wọnyi nikan lati ṣe atẹle ilana iṣeto ni ërún ni kikun. Tọkasi Itọsọna Olumulo Iṣeto Agilex Intel fun alaye diẹ sii lori pin yii.
Ṣeto DUT FPGA pẹlu Chip SOF ni kikun nipasẹ Olugbalejo Ita Awọn ọna atẹle yii ṣe apejuwe siseto DUT FPGA pẹlu Nkan SRAM chirún kikun File (.sof) ni lilo wiwo ṣiṣanwọle Avalon agbalejo:
- Kọ ni kikun ërún bitstream sinu DDR4 ita iranti ti oluranlọwọ FPGA (ita ogun).
- Ṣe atunto DUT FPGA pẹlu chirún kikun .sof nipa lilo wiwo ṣiṣanwọle Avalon (x8, x16, x32).
- Ka ipo DUT FPGA iṣeto ni awọn ifihan agbara. CONF_DONE yẹ ki o jẹ 1, AVST_READY yẹ ki o jẹ 0.
Awọn pato akoko: Atunto apakan Apakan Adarí Ita Intel FPGA IP
Ṣe eto DUT FPGA pẹlu Ẹni akọkọ nipasẹ Olugbalejo Ita
- Waye didi lori agbegbe PR ibi-afẹde ni DUT FPGA.
- Lilo Intel Quartus Prime System Console, sọ pr_request lati bẹrẹ atunto apa kan. AVST_READY yẹ ki o jẹ 1.
- Kọ akọkọ PR persona bitstream sinu DDR4 ita iranti ti oluranlọwọ FPGA (agbalejo ita).
- Lilo wiwo ṣiṣanwọle Avalon (x8, x16, x32), tunto DUT FPGA pẹlu bitstream persona akọkọ.
- Lati ṣe atẹle ipo PR, tẹ Awọn irinṣẹ ➤ System Console lati ṣe ifilọlẹ System Console. Ni System Console, ṣe atẹle ipo PR:
- pr_error jẹ 2-atunto ni ilana.
- pr_error jẹ 3-atunto ti pari.
- Waye unfreeze lori agbegbe PR ni DUT FPGA.
Akiyesi: Ti aṣiṣe kan ba waye lakoko iṣẹ PR, gẹgẹbi ikuna ni iṣayẹwo ẹya tabi ṣayẹwo aṣẹ, iṣẹ PR dopin.
Alaye ti o jọmọ
- Intel Agilex iṣeto ni olumulo Itọsọna
- Itọnisọna olumulo Intel Quartus Prime Pro Edition: Awọn irinṣẹ yokokoro
Itan Atunyẹwo Iwe-ipamọ fun AN 991: Atunto apakan nipasẹ Awọn pinni Iṣeto (Olugbelejo Ita) Apẹrẹ Itọkasi fun Igbimọ Idagbasoke FPGA Intel Agilex F-jara
Ẹya Iwe aṣẹ | Intel Quartus NOMBA Version | Awọn iyipada |
2022.11.14 | 22.3 | • Itusilẹ akọkọ. |
AN 991: Atunto apakan nipasẹ Awọn pinni Iṣeto ni (Olugbelejo ita) Apẹrẹ Itọkasi: fun Igbimọ Idagbasoke FPGA Intel Agilex F-Series
Awọn idahun si Awọn FAQ ti o ga julọ:
- Q Kini PR nipasẹ awọn pinni iṣeto ni?
- A Iṣeto Alejo ita ni oju-iwe 3
- Q Kini MO nilo fun apẹrẹ itọkasi yii?
- A Awọn ibeere Apẹrẹ Itọkasi ni oju-iwe 6
- Q Nibo ni MO le gba apẹrẹ itọkasi?
- A Awọn ibeere Apẹrẹ Itọkasi ni oju-iwe 6
- Q Bawo ni MO ṣe ṣe PR nipasẹ iṣeto ita?
- A Ririn Apẹrẹ Itọkasi loju iwe 6
- Q Kini eniyan PR kan?
- A Itumọ Awọn eniyan ni oju-iwe 11
- Q Bawo ni MO ṣe ṣe eto igbimọ naa?
- A Ṣeto Igbimọ naa ni oju-iwe 17
- Q Kini awọn ọran PR ti a mọ ati awọn idiwọn?
- A Intel FPGA Support Forums: PR
- Q Ṣe o ni ikẹkọ lori PR?
- A Intel FPGA Technical Training Catalog
Online Version Fi esi
- ID: 750856
- Ẹya: 2022.11.14
Awọn iwe aṣẹ / Awọn orisun
![]() |
Intel 750856 Agilex FPGA Development Board [pdf] Itọsọna olumulo 750856, 750857, 750856 Agilex FPGA Development Board, Agilex FPGA Development Board, FPGA Development Board, Development Board, Board |