intel-LOGO

intel 750856 Agilexi FPGA arendusnõukogu

intel-750856-Agilex-FPGA-Development-Board-PRODUCT

Tooteteave

See võrdlusdisain on mõeldud Intel Agilexi F-seeria FPGA arendusplaadile. See kasutab osalise ümberkonfigureerimise välist konfiguratsioonikontrollerit Intel FPGA IP ja sellel on lihtne PR-piirkond. Intel Agilexi seadme välise hosti riistvara seadistus koosneb välisseadmest (Helper FPGA), DUT FPGA-st ja teie välisest hosti kujundusest. Suhtekorraldusprotsessi majutamise eest vastutab välisseadme hostikujundus. PR-kontakte kasutatakse mõlema seadme ühendamiseks ja need võivad olla mis tahes saadaolevad kasutaja sisendid/väljundid.

Toote kasutusjuhised

Välise hosti konfiguratsioon

Välise hosti konfigureerimiseks toimige järgmiselt.

  1. Looge välisseadmes hostikujundus PR-protsessi hostimiseks.
  2. Ühendage välisseadme PR-tihvtid osalise ümberkonfigureerimise välise konfiguratsioonikontrolleriga Intel FPGA IP DUT FPGA-s.
  3. Voogesitage konfiguratsiooniandmeid hostikujundusest Intel Agilex Avaloni voogesitusliidese kontaktidele, mis vastavad IP-st pärinevatele PR-käepigistussignaalidele.

Osaline ümberseadistamine konfiguratsioonitihvtide kasutamise kaudu

Järgmine jada kirjeldab osalise ümberkonfigureerimise toimimist konfiguratsioonitihvtide kaudu:

  1. Kinnitage osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri Intel FPGA IP-ga ühendatud pr_request viik.
  2. IP kinnitab hõivatud signaali, mis näitab, et PR-protsess on pooleli (valikuline).
  3. Kui konfiguratsioonisüsteem on PR-toiminguks valmis, kinnitatakse viik avst_ready, mis näitab, et süsteem on valmis andmeid vastu võtma.
  4. Voogesitage PR-i konfiguratsiooniandmed avst_data viikude ja avst_valid viikude kaudu, järgides Avaloni voogesituse spetsifikatsiooni andmeedastuseks vasturõhuga.
  5. Voogesitus peatub, kui avst_ready viik tühistatakse.
  6. Tühistage avst_ready viik, mis näitab, et PR-toimingu jaoks pole rohkem andmeid vaja.
  7. Osalise ümberkonfigureerimise väline konfiguratsioonikontroller Intel FPGA IP tühistab hõivatud signaali, mis näitab protsessi lõppu (valikuline).

Osaline ümberseadistamine konfiguratsioonitihvtide (välise hosti) viitekujunduse kaudu

See rakenduse märkus demonstreerib osalist ümberkonfigureerimist Intel® Agilex® F-seeria FPGA arendusplaadi konfiguratsioonitihvtide (väline host) kaudu.

Viide Disain läbiview

Osalise ümberkonfigureerimise (PR) funktsioon võimaldab teil osa FPGA-st dünaamiliselt ümber konfigureerida, samal ajal kui ülejäänud FPGA-kujundus jätkab toimimist. Saate luua oma kujunduses teatud piirkonna jaoks mitu isikut, mis ei mõjuta tegevust väljaspool seda piirkonda. See metoodika on tõhus süsteemides, kus mitu funktsiooni jagavad sama FPGA-seadme ressurssi. Tarkvara Intel Quartus® Prime Pro Edition praegune versioon tutvustab osalise ümberkonfigureerimise jaoks uut ja lihtsustatud kompileerimisvoogu. See Intel Agilexi võrdlusdisain kasutab osalise ümberkonfigureerimise välist konfiguratsioonikontrollerit Intel FPGA IP ja sellel on lihtne PR-piirkond.

Intel Agilexi seadme välise hosti riistvara häälestusintel-750856-Agilex-FPGA-Development-Board-FIG-1 (1)

Välise hosti konfiguratsioon

Välise hosti konfiguratsioonis peate esmalt looma PR-protsessi hostimiseks välisseadmes hostikujunduse, nagu näitab Intel Agilex Device External Host Hardware Setup. Hostidisain voogesitab konfiguratsiooniandmed Intel Agilex Avaloni voogedastusliidese kontaktidele, mis vastavad osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri Intel FPGA IP-st pärinevatele PR-käepigistussignaalidele. Mõlema seadme ühendamiseks kasutatavad PR-viigud võivad olla mis tahes saadaolevad kasutaja sisendid/väljundid.

Järgmine jada kirjeldab osalist ümberkonfigureerimist konfiguratsioonitihvtide abil:

  1. Esmalt kinnitage pr_request viik, mis on ühendatud osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri Intel FPGA IP-ga.
  2. IP kinnitab hõivatud signaali, mis näitab, et PR-protsess on pooleli (valikuline).
  3. Kui konfiguratsioonisüsteem on PR-toiminguks valmis, kinnitatakse viik avst_ready, mis näitab, et see on valmis andmeid vastu võtma.
  4. Alustage PR-i konfiguratsiooniandmete voogesitamist avst_data viikude ja avst_valid viigu kaudu, järgides samal ajal Avaloni voogesituse spetsifikatsioone andmeedastuseks vasturõhuga.
  5. Voogesitus peatub alati, kui avst_ready viik tühistatakse.
  6. Pärast kõigi konfiguratsiooniandmete voogesitamist tühistatakse avst_ready viik, mis näitab, et PR-toiminguks pole rohkem andmeid vaja.
  7. Osalise ümberkonfigureerimise väline konfiguratsioonikontroller Intel FPGA IP edastab hõivatud signaali, mis näitab protsessi lõppu (valikuline).
  8. Saate kontrollida tihvte pr_done ja pr_error, et kontrollida, kas PR-toiming on edukalt lõpule viidud. Kui ilmneb tõrge, näiteks versioonikontrolli ja autoriseerimise tõrge, PR-toiming katkeb.

Seotud teave

  • Intel Agilex F-seeria FPGA arenduskomplekt Web Lehekülg
  • Intel Agilex F-seeria FPGA arenduskomplekti kasutusjuhend
  • Intel Quartus Prime Pro Editioni kasutusjuhend: osaline ümberkonfigureerimine

Osaline ümberkonfigureerimine Väline konfiguratsioonikontroller Intel FPGA IP
Osalise ümberkonfigureerimise väline konfiguratsioonikontroller on vajalik PR-andmete voogesitamiseks konfiguratsioonitihvtide kasutamiseks. Peate ühendama osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri Intel FPGA IP kõik ülataseme pordid pr_request viiguga, et võimaldada hosti käepigistamist turvalise seadmehalduriga (SDM) tuumast. SDM määrab vastavalt teie MSEL-i seadistustele, millist tüüpi konfiguratsioonitihvte kasutada.

Osaline ümberkonfigureerimine Väline konfiguratsioonikontroller Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (2)

Osaline ümberkonfigureerimine Välise konfiguratsiooni kontrolleri parameetrite sätted

Parameeter Väärtus Kirjeldus
Luba Hõivatud liides Luba or

Keela

Võimaldab lubada või keelata liidese Hõivatud, mis annab signaali, mis näitab, et PR-töötlus on välise konfigureerimise ajal pooleli.

Vaikeseade on Keela.

Osaline ümberkonfigureerimine Välise konfiguratsiooni kontrolleri pordid

Pordi nimi Laius Suund Funktsioon
pr_request 1 Sisend Näitab, et PR-protsess on alustamiseks valmis. Signaal on kanal, mis ei ole ühegi kellasignaaliga sünkroonne.
pr_error 2 Väljund Näitab osalist ümberkonfigureerimise viga.:

• 2'b01 – üldine PR-viga

• 2'b11 – ühildumatu bitivoo viga

Need signaalid ei ole ühegi kellaallikaga sünkroonsed kanalid.

pr_done 1 Väljund Näitab, et PR-protsess on lõppenud. Signaal on kanal, mis ei ole ühegi kellasignaaliga sünkroonne.
algus_adr 1 Sisend Määrab aktiivse jadavälgu PR-andmete algusaadressi. Saate selle signaali lubada, valides ükskõik kumma Avalon®-ST or Aktiivne seeria jaoks Lubage Avalon-ST tihvtid või aktiivsed jadaviigud parameeter. Signaal on kanal, mis ei ole ühegi kellasignaaliga sünkroonne.
lähtestada 1 Sisend Aktiivne kõrge, sünkroonne lähtestussignaal.
out_clk 1 Väljund Kella allikas, mis genereerib sisemisest ostsillaatorist.
hõivatud 1 Väljund IP kinnitab seda signaali, et näidata PR-andmete edastamist. Saate selle signaali lubada, valides Luba jaoks Luba hõivatud liides parameeter.

Viide projekteerimisnõuetele

Selle võrdlusdisaini kasutamine nõuab järgmist:

  • Intel Quartus Prime Pro Editioni versiooni 22.3 installimine koos Intel Agilexi seadmeperekonna toega.
  • Ühendus pingil oleva Intel Agilex F-seeria FPGA arendusplaadiga.
  • Disaini allalaadimine ntampsaadaval järgmises kohas: https://github.com/intel/fpga-partial-reconfig.

Disaini allalaadimiseks example:

  1. Klõpsake nuppu Klooni või laadi alla.
  2. Klõpsake nuppu Laadi alla ZIP. Pakkige lahti fail fpga-partial-reconfig-master.zip file.
  3. Viitekujundusele juurdepääsuks liikuge alamkausta Tutorials/agilex_external_pr_configuration.

Viitekujunduse läbikäik

Järgmised sammud kirjeldavad osalise ümberkonfigureerimise rakendamist konfiguratsioonitihvtide (välise hosti) kaudu Intel Agilexi F-seeria FPGA arendusplaadil.

  • 1. samm: Alustamine
  • 2. samm: Disaini vaheseina loomine
  • 3. samm: Paigutuse ja marsruudi piirkondade määramine
  • 4. samm: Osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri IP lisamine
  • 5. samm: Persoonide määratlemine
  • 6. samm: Redaktsioonide loomine
  • 7. samm: Põhirevisjoni koostamine
  • 8. samm: PR-rakenduse muudatuste ettevalmistamine
  • 9. samm: Tahvli programmeerimine

1. samm: alustamine
Võrdluskujunduse kopeerimiseks files oma töökeskkonda ja koostage blinking_led lamekujundus:

  1. Looge oma töökeskkonnas kataloog agilex_pcie_devkit_blinking_led_pr.
  2. Kopeerige allalaaditud õpetused/agilex_pcie_devkit_blinking_led/flat alamkaust kataloogi agilex_pcie_devkit_blinking_led_pr.
  3. Klõpsake tarkvaras Intel Quartus Prime Pro Edition File ➤ Avage projekt ja valige blinking_led.qpf.
  4. Lamekujunduse hierarhia täpsustamiseks klõpsake nuppu Töötlemine ➤ Start ➤ Käivita analüüs ja süntees. Teise võimalusena käivitage käsureal järgmine käsk: quartus_syn blinking_led -c blinking_led

Disaini vaheseina loomine

Peate looma disainipartitsioonid iga PR-piirkonna jaoks, mida soovite osaliselt ümber konfigureerida. Järgmised sammud loovad eksemplari u_blinking_led jaoks kujundussektsiooni.

Disaini vaheseinte loomineintel-750856-Agilex-FPGA-Development-Board-FIG-1 (3)

  1. Paremklõpsake projektinavigaatoris eksemplari u_blinking_led ja klõpsake käsku Kujundussektsioon ➤ Ümberkonfigureeritav. Kujunduspartitsiooni ikoon kuvatakse iga partitsiooniks määratud eksemplari kõrval.
  2. Klõpsake valikul Ülesanded ➤ Partitsioonide kujundamise aken. Aknas kuvatakse kõik projekti kujundussektsioonid.
  3. Muutke partitsiooni nime projekteerimisaknas, topeltklõpsates nimel. Selle võrdluskujunduse jaoks nimetage partitsiooni nimi ümber pr_partitsiooniks
    • Märkus. Sektsiooni loomisel loob Intel Quartus Prime'i tarkvara eksemplari nime ja hierarhia tee põhjal automaatselt partitsiooni nime. See partitsiooni vaikenimi võib iga eksemplari korral erineda.
  4. Lõpetatud staatilise piirkonna eksportimiseks põhiredaktsiooni kompileerimisest topeltklõpsake kirjel root_partition jaotises Post Final Export File veerus ja tippige blinking_led_static. gdb.

Viimase hetktõmmise eksportimine vaheseinte kujundamise aknasintel-750856-Agilex-FPGA-Development-Board-FIG-1 (4)Veenduge, et fail blinking_led.qsf sisaldab järgmisi ülesandeid, mis vastavad teie ümberkonfigureeritavale kujundussektsioonile:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (5)

Seotud teave
Intel Quartus Prime Pro väljaande kasutusjuhendis: osaline ümberseadistamine

Paigutuse ja marsruutimise piirkonna määramine PR-sektsiooni jaoks
Iga loodud baasversiooni jaoks paigutab PR-kujundusvoog vastava isikutuuma teie PR-sektsiooni piirkonda. PR-piirkonna leidmiseks ja määramiseks seadme põrandaplaanil oma baasversiooni jaoks tehke järgmist.

  1. Paremklõpsake projektinavigaatoris eksemplari u_blinking_led ja klõpsake käsku Loogikaluku piirkond ➤ Loo uus loogikaluku piirkond. Piirkond kuvatakse loogikaluku piirkondade aknas.
  2. Teie paigutuse piirkond peab sisaldama blinking_led loogikat. Valige paigutuspiirkond, leides sõlme kiibiplaneerijas. Paremklõpsake loogikaluku piirkondade aknas u_blinking_led piirkonna nimel ja klõpsake

Otsi sõlm ➤ Otsi kiibiplaneerijas. Piirkond u_blinking_led on värvikoodiga

Kiibiplaneerija sõlme asukoht blinking_led jaoksintel-750856-Agilex-FPGA-Development-Board-FIG-1 (6)

  1. Aknas Loogikaluku piirkonnad määrake paigutuse piirkonna koordinaadid veerus Origin. Lähtekoht vastab piirkonna vasakpoolsele alumisele nurgale. Näiteksample, et määrata paigutuspiirkond (X1 Y1) koordinaatidega (163 4), määrake lähtekohaks X163_Y4. Tarkvara Intel Quartus Prime arvutab teie määratud kõrguse ja laiuse põhjal automaatselt paigutuspiirkonna (X2 Y2) koordinaadid (üleval paremal).
    • Märkus. Selles õpetuses kasutatakse paigutuspiirkonna jaoks koordinaate (X1 Y1) – (163 4) ning kõrgust ja laiust 20. Määrake paigutuse piirkonna mis tahes väärtus. Veenduge, et piirkond kataks blinking_led loogikat.
  2. Lubage suvandid Reserveeritud ja Core-Only.
  3. Topeltklõpsake valikut Routing Region. Ilmub dialoogiboks Logic Lock Routing Region Settings.
  4. Valige marsruutimise tüübiks Fixed with expansion. Selle valiku valimine määrab automaatselt laienduspikkuseks 2.
    • Märkus. Marsruutimispiirkond peab olema paigutuspiirkonnast suurem, et pakkuda paigaldajale täiendavat paindlikkust, kui mootor suunab erinevaid isikuid.

Loogikaluku piirkondade akenintel-750856-Agilex-FPGA-Development-Board-FIG-1 (7)Veenduge, et fail blinking_led.qsf sisaldab järgmisi ülesandeid, mis vastavad teie põrandaplaanile:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (8)intel-750856-Agilex-FPGA-Development-Board-FIG-1 (9)

Seotud teave
Intel Quartus Prime Pro väljaande kasutusjuhendis „Osalise ümberkonfigureerimise kujundus” põrandaplaan: osaline ümberkonfigureerimine

Osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri Intel FPGA IP lisamine
Osalise ümberkonfigureerimise väline konfiguratsioonikontroller Inteli FPGA IP liidestub Intel Agilex PR juhtplokiga, et hallata bitivoo allikat. Välise konfiguratsiooni rakendamiseks peate selle IP oma disainile lisama. Osalise ümberkonfigureerimise välise konfiguratsioonikontrolleri lisamiseks järgige neid samme
Intel FPGA IP teie projektile:

  1. Tippige IP-kataloogi otsinguväljale (Tööriistad ➤ IP-kataloog) Tippige osaline ümberkonfigureerimine.
  2. Topeltklõpsake ikooni Partial Reconfiguration External Configuration Controller Intel FPGA IP.
  3. Tippige dialoogiboksi IP-variandi loomine väärtuseks external_host_pr_ip File nimi ja seejärel klõpsake nuppu Loo. Ilmub parameetriredaktor.
  4. Parameetri Luba hõivatud liides jaoks valige Keela (vaikesäte). Kui teil on vaja seda signaali kasutada, saate lülitada sätte valikule Luba.

Luba parameetrite redaktoris Hõivatud liidese parameeterintel-750856-Agilex-FPGA-Development-Board-FIG-1 (10)

  1. Klõpsake File ➤ Salvestage ja väljuge parameetriredaktorist ilma süsteemi genereerimata. Parameetriredaktor genereerib URL-i variatsiooni external_host_pr_ip.ip file ja lisab file projektile blinking_led. AN 991: osaline ümberseadistamine konfiguratsioonitihvtide kaudu (väline host) Viitekujundus 750856 | 2022.11.14 AN 991:
    • Märkus.
    • a. Kui kopeerite faili external_host_pr_ip.ip file redigeerige faili blinking_led.qsf käsitsi pr-kataloogist file et lisada järgmine rida: set_global_assignment -name IP_FILE pr_ip.ip
    • b. Asetage IP_FILE määramine pärast SDC_FILE ülesanded (blinking_led. dc) failis blinking_led.qsf file. See järjestamine tagab osalise ümberkonfigureerimise kontrolleri IP-tuuma asjakohase piiramise.
    • Märkus. Kellade tuvastamiseks on .sdc file PR-i IP jaoks peab järgima mis tahes .sdc-d, mis loovad kellad, mida IP-tuum kasutab. Te hõlbustate seda tellimist, tagades, et .ip file PR-i IP-tuuma jaoks ilmub iga .ip järel files või .sdc files, mida kasutate nende kellade määratlemiseks failis .qsf file teie Intel Quartus Prime'i projekti versiooni jaoks. Lisateavet leiate osalise ümberkonfigureerimise IP-lahenduste kasutusjuhendist.

Tipptaseme kujunduse värskendamine

Top.sv värskendamiseks file PR_IP eksemplariga:

  1. Eksemplari external_host_pr_ip lisamiseks tipptaseme kujundusele tühjendage failis top.sv järgmised koodiplokid file:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (11)

Persoonide määratlemine
See võrdluskujundus määratleb ühe PR-sektsiooni jaoks kolm eraldi isiksust. Isikute määratlemiseks ja projekti kaasamiseks tehke järgmist.

  1. Looge kolm SystemVerilogi files, blinking_led.sv, blinking_led_slow.sv ja blinking_led_empty.sv teie kolme isiku töökataloogis.

Viitekujunduspersonadintel-750856-Agilex-FPGA-Development-Board-FIG-1 (12) intel-750856-Agilex-FPGA-Development-Board-FIG-1 (13)

Märkus.

  • blinking_led.sv on juba saadaval osana files kopeerite flat/ alamkataloogist. Saate seda lihtsalt uuesti kasutada file.
  • Kui loote SystemVerilog files Intel Quartus Prime'i tekstiredaktorist, keelake Lisa file praegusele projektivalikule, kui salvestate files.

Redaktsioonide loomine

PR-kujundusvoog kasutab Intel Quartus Prime'i tarkvara projekti versioonide funktsiooni. Teie esialgne kujundus on põhiversioon, kus määrate FPGA-s staatilised piirkonnapiirid ja ümberkonfigureeritavad piirkonnad. Põhiredaktsioonist loote mitu versiooni. Need muudatused sisaldavad PR-piirkondade erinevaid rakendusi. Kõik suhtekorralduse juurutamise versioonid kasutavad aga baasversioonis samu tipptaseme paigutuse ja marsruutimise tulemusi. PR-disaini koostamiseks peate iga isiku kohta looma PR-rakenduse versiooni. Lisaks peate iga redaktsiooni jaoks määrama redaktsioonitüübid. Saadaolevad redaktsioonitüübid on:

  • Osaline ümberseadistamine – alus
  • Osaline ümberseadistamine – Persona juurutamine

Järgmises tabelis on loetletud iga redaktsiooni redaktsiooni nimi ja redaktsiooni tüüp.

Redaktsioonide nimed ja tüübid

Redaktsiooni nimi Redaktsiooni tüüp
blinking_led.qsf Osaline ümberseadistamine – alus
blinking_led_default.qsf Osaline ümberseadistamine – Persona juurutamine
blinking_led_slow.qsf Osaline ümberseadistamine – Persona juurutamine
blinking_led_empty.qsf Osaline ümberseadistamine – Persona juurutamine

Põhirevisjoni tüübi määramine

  1. Klõpsake Projekt ➤ Redaktsioonid.
  2. Valige jaotises Redaktsiooni nimi redaktsioon blinking_led ja seejärel klõpsake nuppu Määra praegune.
  3. Klõpsake nuppu Rakenda. Vilkuv_tulega redaktsioon kuvatakse praeguse versioonina.
  4. Redaktsiooni tüübi määramiseks väärtusele blinking_led klõpsake valikul Ülesanded ➤ Seaded ➤ Üldine.
  5. Redaktsiooni tüübi jaoks valige Partial Reconfiguration – Base ja seejärel klõpsake nuppu OK.
  6. Veenduge, et fail blinking_led.qsf sisaldab nüüd järgmist määrangut: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

Rakendusmuudatuste loomine

  1. Dialoogiboksi Revisjonid avamiseks klõpsake nuppu Projekt ➤ Redaktsioonid.
  2. Uue redaktsiooni loomiseks topeltklõpsake < >.
  3. Määrake jaotises Redaktsiooni nimi blinking_led_default ja valige blinking_led väärtuseks Põhineb versiooni.
  4. Redaktsiooni tüübi jaoks valige Osaline ümberkonfigureerimine – PersonaImplementation.

Redaktsioonide loomineintel-750856-Agilex-FPGA-Development-Board-FIG-1 (14)

  1. Samamoodi määrake redaktsioonide tüüp redaktsioonide blinking_led_slow ja blinking_led_empty jaoks.
  2. Veenduge, et iga .qsf file sisaldab nüüd järgmist määrangut: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led, kus kohatäide on vastloodud PR-i juurutamise versiooni vaikeolemi nimi.

Projekti muudatusedintel-750856-Agilex-FPGA-Development-Board-FIG-1 (16)

Baasrevisjoni koostamine

  1. Põhiredaktsiooni kompileerimiseks klõpsake nuppu Töötlemine ➤ Alusta kompileerimist. Teise võimalusena kompileerib põhiredaktsiooni järgmine käsk: quartus_sh –flow kompileerimine blinking_led -c blinking_led
  2. Kontrollige bitivoogu files, mis genereerivad väljundis_files kataloog.

Loodud Files

Nimi Tüüp Kirjeldus
blinking_led.sof Baasprogrammeerimine file Kasutatakse täiskiibi baaskonfiguratsiooniks
blinking_led.pr_partition.rbf PR-bitivoog file baasisiku jaoks Kasutatakse baasisiku osaliseks ümberkonfigureerimiseks.
blinking_led_static.qdb .qdb andmebaas file Lõpetatud andmebaas file kasutatakse staatilise piirkonna importimiseks.

Seotud teave

  • Intel Quartus Prime Pro väljaande kasutusjuhendis „Osalise ümberkonfigureerimise kujundus” põrandaplaan: osaline ümberkonfigureerimine
  • „Põrandaplaani piirangute järkjärguline rakendamine” Intel Quartus Prime Pro väljaande kasutusjuhendis: osaline ümberkonfigureerimine

PR-rakenduse muudatuste ettevalmistamine
Enne PR-bitivoo kompileerimist ja genereerimist seadme programmeerimiseks peate ette valmistama PR-rakenduse versioonid. See seadistus hõlmab staatilise piirkonna .qdb lisamist file allikana file iga rakendamise läbivaatamise kohta. Lisaks peate määrama PR-piirkonna vastava olemi.

  1. Praeguse versiooni määramiseks klõpsake nuppu Projekt ➤ Redaktsioonid, valige redaktsiooni nimeks blinking_led_default ja seejärel klõpsake nuppu Määra praegune.
  2. Iga juurutusrevisjoni õige allika kontrollimiseks klõpsake nuppu Projekt ➤Lisa/Eemalda Files projektis. Blinking_led.sv file kuvatakse file nimekirja.

Files lehtintel-750856-Agilex-FPGA-Development-Board-FIG-1 (17)

  1. Teise rakenduse versiooni allika kontrollimiseks korrake samme 1 kuni 2 files:
Rakenduse versiooni nimi Allikas File
blinking_led_default blinking_led.sv
blinking_led_empty blinking_led_empty.sv
blinking_led_slow blinking_led_slow.sv
  1. .qdb kontrollimiseks file juurpartitsiooniga seotud, klõpsake valikul Ülesanded ➤ Partitsioonide kujundamise aken. Kinnitage, et partitsioonide andmebaas File määrab blinking_led_static.qdb filevõi topeltklõpsake jaotise andmebaasi File lahtrisse selle täpsustamiseks file. Teise võimalusena määrab selle järgmine käsk file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. Määrake lahtris Olemi uuesti sidumine olemi nimi igale PR-sektsioonile, mida juurutamise versioonis muudate. Rakenduse redaktsiooni blinking_led_default puhul on olemi nimi blinking_led. Selles õpetuses kirjutate u_blinking_led eksemplari põhiversiooni kompileerimisest üle uue olemiga blinking_led.

Märkus. Kohatäite olemi uuesti sidumise määrang lisatakse juurutamise versioonile automaatselt. Siiski peate määramise vaikeolemi nime muutma oma disaini jaoks sobivaks oleminimeks.

Rakenduse versiooni nimi Olemi uuesti sidumine
blinking_led_default blinking_led
blinking_led_slow blinking_led_slow
blinking_led_empty blinking_led_empty

Olemi uuesti sidumineintel-750856-Agilex-FPGA-Development-Board-FIG-1 (18)

  1. Kujunduse koostamiseks klõpsake nuppu Töötlemine ➤ Alusta kompileerimist. Teise võimalusena kompileerib selle projekti järgmine käsk: quartus_sh –flow kompileerimine blinking_led –c blinking_led_default
  2. Blinking_led_slow ja blinking_led_empty versioonide ettevalmistamiseks korrake ülaltoodud samme: quartus_sh –flow kompileerimine blinking_led –c blinking_led_slow quartus_sh –flow kompileerimine blinking_led –c blinking_led_empt

Märkus. Saate määrata mis tahes Fitter-spetsiifilised sätted, mida soovite PR-rakenduse koostamise ajal rakendada. Fitteri spetsiifilised sätted mõjutavad ainult isiku sobivust, ilma et see mõjutaks imporditud staatilist piirkonda.

Tahvli programmeerimine
See õpetus kasutab Intel Agilexi F-seeria FPGA arendusplaati, mis asub pingil väljaspool teie hostmasina PCIe* pesa. Enne plaadi programmeerimist veenduge, et olete täitnud järgmised sammud.

  1. Ühendage toiteplokk Intel Agilexi F-seeria FPGA arendusplaadiga.
  2. Ühendage Inteli FPGA allalaadimiskaabel arvuti USB-pordi ja arendusplaadi Inteli FPGA allalaadimiskaabli pordi vahel.

Kujunduse käivitamiseks Intel Agilexi F-seeria FPGA arendusplaadil tehke järgmist.

  1. Avage tarkvara Intel Quartus Prime ja klõpsake valikul Tööriistad ➤ Programmeerija.
  2. Programmeerijas klõpsake Hardware Setup ja valige USB-Blaster.
  3. Klõpsake nuppu Automaatne tuvastamine ja valige seade AGFB014R24AR0.
  4. Klõpsake nuppu OK. Tarkvara Intel Quartus Prime tuvastab ja värskendab programmeerijat plaadil oleva kolme FPGA-seadmega.
  5. Valige seade AGFB014R24AR0 ja klõpsake nuppu Muuda File ja laadige fail blinking_led_default.sof file.
  6. Luba programmeerimine/konfigureerimine faili blinking_led_default.sof jaoks file.
  7. Klõpsake nuppu Start ja oodake, kuni edenemisriba jõuab 100% -ni.
  8. Jälgige, et plaadil olevad LED-id vilguvad sama sagedusega kui algse lame kujunduse puhul.
  9. Ainult PR-piirkonna programmeerimiseks paremklõpsake failil blinking_led_default.sof file Programmeerijas ja klõpsake nuppu Lisa PR-programmeerimine File.
  10. Valige fail blinking_led_slow.pr_partition.rbf file.
  11. Keela programmeerimine/konfigureerimine faili blinking_led_default.sof jaoks file.
  12. Luba programmeerimine/konfigureerimine faili blinking_led_slow.pr_partition.rbf jaoks file ja klõpsake nuppu Start. Jälgige tahvlil, et LED[0] ja LED[1] jätkavad vilkumist. Kui edenemisriba jõuab 100% -ni, vilguvad LED[2] ja LED[3] aeglasemalt.
  13. PR-piirkonna ümberprogrammeerimiseks paremklõpsake failil .rbf file Programmeerijas ja klõpsake nuppu Muuda PR-programmeerimist File.
  14. Valige .rbf files et ülejäänud kaks isikut jälgiksid käitumist tahvlil. Blinking_led_default.rbf laadimine file põhjustab LED-ide vilkumise kindla sagedusega ja laadib faili blinking_led_empty.rbf file põhjustab LED-ide põlema jäämist.

Intel Agilexi F-seeria FPGA arendusplaadi programmeerimineintel-750856-Agilex-FPGA-Development-Board-FIG-1 (19)Riistvara testimise voog

Järgmised jadad kirjeldavad võrdlusdisaini riistvara testimise voogu.
Intel Agilexi seadme välise hosti riistvara häälestusintel-750856-Agilex-FPGA-Development-Board-FIG-1 (20)

Programmeerige abistaja FPGA (väline host)
Järgmine jada kirjeldab abistaja FPGA programmeerimist, mis töötab PR-protsessi välise hostina:

  1. Määrake Avaloni voogedastusliidese säte, mis vastab teie valitud režiimile (x8, x16 või x32).
  2. Alustage platvormi, programmeerides abistava FPGA, kasutades Intel Quartus Prime Programmerit ja ühendatud konfiguratsioonikaablit.
  3. Abistava FPGA abil lugege signaale CONF_DONE ja AVST_READY. CONF_DONE peaks olema 0, AVST_READY peaks olema 1. Kõrge loogika sellel viiul näitab, et SDM on valmis vastu võtma andmeid välisest hostist. See väljund on osa SDM I/O-st.

Märkus. PIN-kood CONF_DONE annab välisele hostile signaali, et bitivoo edastamine õnnestus. Kasutage neid signaale ainult kiibi täieliku seadistamise protsessi jälgimiseks. Selle tihvti kohta lisateabe saamiseks vaadake Intel Agilexi konfiguratsiooni kasutusjuhendit.

Programmeerige DUT FPGA täiskiibi SOF-iga välise hosti kaudu Järgmises järjestuses kirjeldatakse DUT FPGA programmeerimist täiskiibi SRAM-objektiga File (.sof), kasutades hosti Avaloni voogesituse liidest:

  1. Kirjutage kogu kiibi bitivoog abistaja FPGA (väline host) DDR4 välismällu.
  2. Konfigureerige DUT FPGA täiskiibiga .sof, kasutades Avaloni voogesituse liidest (x8, x16, x32).
  3. Lugege oleku DUT FPGA konfiguratsioonisignaale. CONF_DONE peaks olema 1, AVST_READY peaks olema 0.

Ajastuse spetsifikatsioonid: Osaline ümberkonfigureerimine Väline kontroller Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (21)

Programmeerige DUT FPGA esimese personaga välise hosti kaudu

  1. Tehke DUT FPGA sihtmärk PR-piirkonnale külmutamine.
  2. Intel Quartus Prime System Console'i ​​abil kinnitage osalise ümberkonfigureerimise alustamiseks pr_request. AVST_READY peaks olema 1.
  3. Kirjutage esimene PR persona bitivoog abistaja FPGA (väline host) DDR4 välismällu.
  4. Kasutades Avaloni voogedastusliidest (x8, x16, x32), konfigureerige DUT FPGA uuesti esimese persona bitivooga.
  5. Suhtekorralduse oleku jälgimiseks klõpsake System Console'i ​​käivitamiseks valikul Tööriistad ➤ Süsteemikonsool. Süsteemikonsoolis jälgige PR-olekut:
    • pr_error on 2 – ümberseadistamine on pooleli.
    • pr_error on 3 – ümberseadistamine on lõpule viidud.
  6. Kandke DUT FPGA PR-piirkonnale külmumisvabastus.

Märkus. Kui PR-toimingu ajal ilmneb tõrge, näiteks versioonikontrolli või autoriseerimise tõrge, siis PR-toiming katkeb.

Seotud teave

  • Intel Agilexi konfiguratsiooni kasutusjuhend
  • Intel Quartus Prime Pro Editioni kasutusjuhend: silumistööriistad

Dokumendi AN 991 läbivaatamise ajalugu: osaline ümberseadistamine konfiguratsioonitihvtide kaudu (väline host) Intel Agilexi F-seeria FPGA arendusplaadi viitekujundus

Dokumendi versioon Intel Quartus Prime versioon Muudatused
2022.11.14 22.3 • Esialgne väljalase.

AN 991: osaline ümberseadistamine konfiguratsioonitihvtide kaudu (väline host) viitekujundus: Intel Agilexi F-seeria FPGA arendusplaadi jaoks

Vastused populaarsetele KKK-dele:

  • Q Mis on PR konfiguratsioonitihvtide kaudu?
  • A Välise hosti konfiguratsioon lk 3
  • Q Mida ma selle võrdluskujunduse jaoks vajan?
  • A Viide kujundusnõuded lk ​​6
  • Q Kust saada võrdluskujundust?
  • A Viide kujundusnõuded lk ​​6
  • Q Kuidas teha PR-i välise konfiguratsiooni kaudu?
  • A Viidete disaini tutvustus leheküljel 6
  • Q Mis on PR-inimene?
  • A Personade määratlemine lk 11
  • Q Kuidas tahvlit programmeerida?
  • A Programmeerige tahvel lk 17
  • Q Millised on suhtekorralduse teadaolevad probleemid ja piirangud?
  • A Inteli FPGA tugifoorumid: PR
  • Q Kas teil on PR-alane koolitus?
  • A Inteli FPGA tehnilise koolituse kataloog

Veebiversioon Saada tagasisidet

  • ID: 750856
  • Versioon: 2022.11.14

Dokumendid / Ressursid

intel 750856 Agilexi FPGA arendusnõukogu [pdfKasutusjuhend
750856, 750857, 750856 Agilexi FPGA arendusnõukogu, Agilexi FPGA arendusnõukogu, FPGA arendusnõukogu, arendusamet, juhatus

Viited

Jäta kommentaar

Teie e-posti aadressi ei avaldata. Kohustuslikud väljad on märgitud *