intel-LOGO

intel 750856 Agilex FPGA өнүктүрүү кеңеши

intel-750856-Agilex-FPGA-Өнүгүү-кеңеши-ПРОДУКТ

Продукт маалыматы

Бул маалымдама дизайны Intel Agilex F-Series FPGA өнүктүрүү кеңешине арналган. Ал жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллерин Intel FPGA IP колдонот жана жөнөкөй PR аймагына ээ. Intel Agilex Device External Host Hardware Setup тышкы түзүлүштөн (Helper FPGA), DUT FPGA жана тышкы хост дизайныңыздан турат. Тышкы түзмөктөгү хост дизайны PR процессин өткөрүү үчүн жооптуу. PR төөнөгүчтөрү эки түзмөктү тең туташтыруу үчүн колдонулат жана каалаган колдонуучу I/O болушу мүмкүн.

Продукт колдонуу нускамалары

Тышкы хост конфигурациясы

Тышкы хост конфигурациясын аткаруу үчүн, төмөнкү кадамдарды аткарыңыз:

  1. PR процессин өткөрүү үчүн тышкы түзмөктө хост дизайнын түзүңүз.
  2. Тышкы түзмөктөн PR пиндерин DUT FPGA ичиндеги жарым-жартылай кайра конфигурациялоо тышкы конфигурация контроллерине Intel FPGA IP туташтырыңыз.
  3. Конфигурация маалыматтарын хост дизайнынан IPден PR кол алышуу сигналдарына туура келген Intel Agilex Avalon агымдык интерфейс пиндерине өткөрүңүз.

Конфигурация Pins операциясы аркылуу жарым-жартылай кайра конфигурациялоо

Төмөнкү ырааттуулук конфигурация пиндери аркылуу жарым-жартылай кайра конфигурациялоонун иштешин сүрөттөйт:

  1. Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллерине Intel FPGA IP туташкан pr_request пинди ырастаңыз.
  2. IP PR процесси жүрүп жатканын көрсөтүү үчүн бош эмес сигналды ырастайт (милдеттүү эмес).
  3. Эгерде конфигурация системасы PR операциясына даяр болсо, avst_ready пини ырасталат, бул ал маалыматтарды кабыл алууга даяр экенин көрсөтүүдө.
  4. PR конфигурациясынын берилиштерин avst_data пиндеринин жана avst_valid пининин үстүнөн, арткы басым менен берилиштерди өткөрүү үчүн Avalon агымынын спецификациясына ылайык өткөрүңүз.
  5. avst_ready пинси ырасталганда, агым токтойт.
  6. PR операциясы үчүн кошумча маалымат талап кылынбасын көрсөтүү үчүн avst_ready пинди өчүрүңүз.
  7. Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллери Intel FPGA IP процесстин аяктаганын көрсөтүү үчүн бош эмес сигналды жокко чыгарат (милдеттүү эмес).

Конфигурация Pins (Тышкы хост) Шилтеме дизайны аркылуу жарым-жартылай кайра конфигурациялоо

Бул колдонмо эскертүүсү Intel® Agilex® F-Series FPGA иштеп чыгуу тактасындагы конфигурациялоо пиндери (тышкы хост) аркылуу жарым-жартылай кайра конфигурациялоону көрсөтөт.

Шилтеме дизайны бүттүview

Жарым-жартылай кайра конфигурациялоо (PR) өзгөчөлүгү FPGAнын бир бөлүгүн динамикалык түрдө кайра конфигурациялоого мүмкүндүк берет, ал эми калган FPGA дизайны иштей берет. Дизайныңызда белгилүү бир аймак үчүн бир нече персоналды түзө аласыз, алар бул аймактан тышкаркы аймактарда иштөөгө таасирин тийгизбейт. Бул методология бир нече функциялар бирдей FPGA түзмөгүнүн ресурстарын бөлүштүргөн системаларда натыйжалуу. Intel Quartus® Prime Pro Edition программасынын учурдагы версиясы жарым-жартылай кайра конфигурациялоо үчүн жаңы жана жөнөкөйлөштүрүлгөн компиляция агымын сунуштайт. Бул Intel Agilex маалымдама дизайны жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллерин Intel FPGA IP колдонот жана жөнөкөй PR аймагына ээ.

Intel Agilex Device Тышкы хост аппараттык орнотууintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (1)

Тышкы хост конфигурациясы

Тышкы хост конфигурациясында, Intel Agilex Device External Host Hardware Setup көрсөткөндөй, адегенде PR процессин өткөрүү үчүн тышкы түзмөктө хост дизайнын түзүшүңүз керек. Хост дизайны конфигурация маалыматтарын Intel Agilex Avalon агымдык интерфейсинин пиндерине жөнөтөт, алар жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контролеру Intel FPGA IPден келген PR кол алышуу сигналдарына туура келет. Эки түзмөктү тең туташтыруу үчүн колдонгон PR пиндер ар кандай жеткиликтүү колдонуучу I/O болушу мүмкүн.

Төмөнкү ырааттуулук конфигурация пиндеринин иштеши аркылуу жарым-жартылай кайра конфигурациялоону сүрөттөйт:

  1. Адегенде жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллери Intel FPGA IP менен туташтырылган pr_request пинди ырастаңыз.
  2. IP PR процесси жүрүп жатканын көрсөтүү үчүн бош эмес сигналды ырастайт (милдеттүү эмес).
  3. Эгерде конфигурация системасы PR операциясынан өтүүгө даяр болсо, avst_ready пини ал маалыматтарды кабыл алууга даяр экендигин көрсөтүү менен ырасталат.
  4. PR конфигурациясынын берилиштерин avst_data пиндеринин жана avst_valid пининин үстүнөн агылып баштаңыз, ошол эле учурда арткы басым менен маалыматтарды өткөрүп берүү үчүн Avalon агымынын спецификациясын сактаңыз.
  5. Агым avst_ready пинси ырасталган сайын токтойт.
  6. Бардык конфигурация маалыматтарын агымдан кийин, avst_ready пин PR операциясы үчүн кошумча маалымат талап кылынбайт деп ырасталат.
  7. Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллери Intel FPGA IP процесстин аяктаганын көрсөтүү үчүн бош эмес сигналды десерт (милдеттүү эмес).
  8. PR операциясы ийгиликтүү аяктаганын тастыктоо үчүн pr_done жана pr_error пиндерин текшерсеңиз болот. Эгерде версияны текшерүүдө жана авторизацияны текшерүүдө ката пайда болсо, PR операциясы токтотулат.

Тиешелүү маалымат

  • Intel Agilex F-Series FPGA өнүктүрүү комплекти Web Page
  • Intel Agilex F-Series FPGA Development Kit Колдонуучунун колдонмосу
  • Intel Quartus Prime Pro Edition Колдонуучу колдонмосу: Жарым-жартылай кайра конфигурациялоо

Жарым-жартылай кайра конфигурациялоо Тышкы конфигурация контроллери Intel FPGA IP
Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллери PR операциясы үчүн PR маалыматтарын агым үчүн конфигурация пиндерин колдонуу үчүн талап кылынат. Коопсуз түзмөк башкаргычы (SDM) менен хосттун кол алышуусуна уруксат берүү үчүн Intel FPGA IP жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контролерунун бардык жогорку деңгээлдеги портторун pr_request пинине туташтырыңыз. SDM сиздин MSEL жөндөөңүзгө ылайык конфигурация төөнөгүчтөрүнүн кайсы түрлөрүн колдонуу керектигин аныктайт.

Жарым-жартылай кайра конфигурациялоо Тышкы конфигурация контроллери Intel FPGA IPintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (2)

Жарым-жартылай кайра конфигурациялоо Тышкы конфигурация контроллерунун Параметр орнотуулары

Параметр Нарк Description
Бош эмес интерфейсти иштетүү Иштетүү or

Өчүрүү

Тышкы конфигурация учурунда PR иштетүү жүрүп жатканын көрсөтүүчү сигналды ырастаган бош эмес интерфейсти иштетүүгө же өчүрүүгө мүмкүндүк берет.

Демейки жөндөө болуп саналат Өчүрүү.

Жарым-жартылай кайра конфигурациялоо тышкы конфигурация контроллер порттору

Порт аты Туурасы Багыт Функция
pr_request 1 Киргизүү PR процесси баштоого даяр экенин көрсөтөт. Сигнал эч кандай саат сигналына синхрондуу эмес өткөргүч болуп саналат.
pr_error 2 Чыгуу Жарым-жартылай кайра конфигурациялоо катасын көрсөтөт.:

• 2'b01 — жалпы PR катасы

• 2'b11 — бит агымынын туура келбеген катасы

Бул сигналдар эч кандай саат булагына синхрондуу эмес өткөргүчтөр.

pr_done 1 Чыгуу PR процесси аяктаганын көрсөтөт. Сигнал эч кандай саат сигналына синхрондуу эмес өткөргүч болуп саналат.
start_addr 1 Киргизүү Active Serial Flash ичиндеги PR маалыматтарынын баштапкы дарегин аныктайт. Бул сигналдын бирин тандоо менен иштетесиз Avalon®-ST or Активдүү сериал үчүн Avalon-ST Pins же Active Serial Pins иштетүү параметр. Сигнал эч кандай саат сигналына синхрондуу эмес өткөргүч болуп саналат.
баштапкы абалга келтирүү 1 Киргизүү Активдүү жогорку, синхрондуу баштапкы абалга келтирүү сигналы.
out_clk 1 Чыгуу Ички осциллятордон пайда болгон саат булагы.
бош эмес 1 Чыгуу IP бул сигналды PR маалыматтарды өткөрүп берүү жүрүп жатканын көрсөтүү үчүн ырастайт. Сиз бул сигналды тандоо менен иштетесиз Иштетүү үчүн Бош эмес интерфейсти иштетүү параметр.

Маалымдама дизайн талаптары

Бул маалымдама дизайнын колдонуу төмөнкүлөрдү талап кылат:

  • Intel Quartus Prime Pro Edition 22.3 версиясын орнотуу, Intel Agilex түзмөктөрүнүн үй-бүлөсүнүн колдоосу менен.
  • отургучта Intel Agilex F-Series FPGA өнүктүрүү тактасына туташуу.
  • Дизайн эксampтөмөнкү жерде жеткиликтүү: https://github.com/intel/fpga-partial-reconfig.

Дизайн экс жүктөө үчүнampле:

  1. Клондоо же жүктөө баскычын басыңыз.
  2. ZIP жүктөп алыңыз. fpga-partial-reconfig-master.zip файлын ачыңыз file.
  3. Маалымдама дизайнына жетүү үчүн tutorials/agilex_external_pr_configuration папкасына өтүңүз.

Маалымдама дизайн проспекти

Төмөнкү кадамдар Intel Agilex F-Series FPGA иштеп чыгуу тактасында конфигурациялоо пиндери (тышкы хост) аркылуу жарым-жартылай реконфигурацияны ишке ашырууну сүрөттөйт:

  • 1-кадам: Баштоо
  • 2-кадам: Дизайн бөлүмүн түзүү
  • 3-кадам: Жайгаштыруу жана багыттоо аймактарын бөлүштүрүү
  • 4-кадам: Жарым-жартылай кайра конфигурациялоонун тышкы конфигурациясын контролдоочу IP кошуу
  • 5-кадам: Персоналарды аныктоо
  • 6-кадам: Ревизияларды түзүү
  • 7-кадам: Негизги ревизияны түзүү
  • 8-кадам: PR ишке ашыруу боюнча оңдоолорду даярдоо
  • 9-кадам: Башкармалыкты программалоо

1-кадам: Баштоо
Маалымдама дизайнын көчүрүү үчүн files жумуш чөйрөңүзгө жана blinking_led жалпак дизайнын түзүңүз:

  1. Жумуш чөйрөңүздө каталог түзүңүз, agilex_pcie_devkit_blinking_led_pr.
  2. Жүктөлгөн окуу куралдарын/agilex_pcie_devkit_blinking_led/flat папкасын agilex_pcie_devkit_blinking_led_pr каталогуна көчүрүңүз.
  3. Intel Quartus Prime Pro Edition программасында чыкылдатыңыз File ➤ Долбоорду ачып, blinking_led.qpf тандаңыз.
  4. Жалпак дизайн иерархиясын иштеп чыгуу үчүн, Иштетүү ➤ Баштоо ➤ Анализди жана синтезди баштоону басыңыз. Же болбосо, буйрук сабында төмөнкү буйрукту аткарыңыз: quartus_syn blinking_led -c blinking_led

Дизайн бөлүмүн түзүү

Жарым-жартылай конфигурациялоону каалаган ар бир PR аймагы үчүн дизайн бөлүмдөрүн түзүшүңүз керек. Төмөнкү кадамдар u_blinking_led инстанциясы үчүн дизайн бөлүмүн түзөт.

Дизайн бөлүктөрүн түзүүintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (3)

  1. Долбоордун Навигаторунда u_blinking_led инстанциясын оң баскыч менен чыкылдатыңыз жана Дизайн бөлүмү ➤ Кайра конфигурациялануучуну басыңыз. Бөлүм катары орнотулган ар бир инстанциянын жанында дизайн бөлүгүнүн сөлөкөтү пайда болот.
  2. Тапшырмалар ➤ Дизайн бөлүмдөрү терезесин басыңыз. Терезе долбоордогу бардык дизайн бөлүктөрүн көрсөтөт.
  3. Бөлүмдүн атын Дизайн Бөлүмдөрү Терезесинде эки жолу чыкылдатуу менен түзөтүңүз. Бул маалымдама дизайны үчүн бөлүмдүн атын pr_partition деп өзгөртүңүз
    • Эскертүү: Бөлүктү түзгөнүңүздө, Intel Quartus Prime программасы инстанциянын аталышына жана иерархиялык жолдун негизинде автоматтык түрдө бөлүмдүн атын жаратат. Бул демейки бөлүмдүн аталышы ар бир инстанцияга жараша өзгөрүшү мүмкүн.
  4. Негизги кайра кароо компиляциясынан жыйынтыкталган статикалык аймакты экспорттоо үчүн, Пост-экспортто root_partition жазуусун эки жолу чыкылдатыңыз File тилкени тандаңыз жана blinking_led_static териңиз. gdb.

Дизайн бөлүмдөрүнүн терезесиндеги акыркы сүрөттү экспорттооintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (4)blinking_led.qsf сиздин кайра конфигурациялануучу дизайн бөлүмүңүзгө туура келген төмөнкү тапшырмаларды камтыганын текшериңиз:intel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (5)

Тиешелүү маалымат
Intel Quartus Prime Pro Edition Колдонуучу колдонмосунда “Дизайн бөлүктөрүн түзүү”: Жарым-жартылай кайра конфигурациялоо

PR бөлүмү үчүн жайгаштыруу жана багыттоо аймагын бөлүштүрүү
Сиз түзгөн ар бир базалык ревизия үчүн PR дизайн агымы PR бөлүү аймагыңызга тиешелүү персонанын өзөгүн жайгаштырат. Түзмөктүн планында PR чөлкөмүн табуу жана дайындоо үчүн:

  1. Долбоордун Навигаторунда u_blinking_led инстанциясын оң баскыч менен чыкылдатыңыз жана Логикалык кулпу аймагын басыңыз ➤ Жаңы логикалык кулпу аймагын түзүү. аймак Логикалык кулпу аймактар ​​терезесинде пайда болот.
  2. Сиздин жайгаштыруу аймагыңыз blinking_led логикасын камтышы керек. Чип пландоочудагы түйүндү табуу менен жайгаштыруу аймагын тандаңыз. Logic Lock Regions терезесинде u_blinking_led аймактын атын оң баскыч менен чыкылдатып, чыкылдатыңыз

Түйүндү жайгаштыруу ➤ Чип пландоочуга жайгаштыруу. u_blinking_led аймагы түс менен коддолгон

blinking_led үчүн Chip Planner түйүнүнүн жайгашкан жериintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (6)

  1. Logic Lock Regions терезесинде, Origin тилкесинде жайгаштыруу аймагынын координаттарын көрсөтүңүз. Баштапкы аймактын төмөнкү сол бурчуна туура келет. Мисалы үчүнample, (X1 Y1) координаттары (163 4) менен жайгаштыруу аймагын коюу үчүн, Origin X163_Y4 катары көрсөтүңүз. Intel Quartus Prime программасы сиз белгилеген бийиктикке жана тууралыкка жараша (X2 Y2) координаттарын (жогорку оң жакта) автоматтык түрдө эсептейт.
    • Эскертүү: Бул окуу куралы (X1 Y1) координаттарын – (163 4) жана жайгаштыруу аймагы үчүн бийиктиги жана туурасы 20 колдонот. Жайгаштыруу аймагы үчүн кандайдыр бир маанини аныктаңыз. Аймак blinking_led логикасын камтыганын текшериңиз.
  2. Камдалган жана Негизги гана параметрлерин иштетүү.
  3. Маршруттук аймак опциясын эки жолу чыкылдатыңыз. Logic Lock Routing Region Settings диалог кутусу пайда болот.
  4. Маршрутизация түрү үчүн Кеңейтүү менен Fixed тандаңыз. Бул параметрди тандоо автоматтык түрдө 2 кеңейтүү узундугун дайындайт.
    • Эскертүү: Маршруттук аймак жайгаштыруу аймагынан чоңураак болушу керек, бул кыймылдаткыч ар кандай адамдарды багыттаганда слесарь үчүн кошумча ийкемдүүлүктү камсыз кылуу.

Логикалык кулпу аймактар ​​терезесиintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (7)blinking_led.qsf сиздин кабатты пландооңузга ылайыктуу төмөнкү тапшырмаларды камтыганын текшериңиз:intel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (8)intel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (9)

Тиешелүү маалымат
Intel Quartus Prime Pro Edition Колдонуучу колдонмосундагы "Жарым-жартылай кайра конфигурациялоо дизайнын пол пландоо": Жарым-жартылай кайра конфигурациялоо

Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллерин Intel FPGA IP кошуу
Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллери Intel FPGA IP бит агымынын булагын башкаруу үчүн Intel Agilex PR башкаруу блогу менен интерфейстешет. Тышкы конфигурацияны ишке ашыруу үчүн бул IPди дизайныңызга кошушуңуз керек. Жарым-жартылай кайра конфигурациялоонун тышкы конфигурация контроллерин кошуу үчүн бул кадамдарды аткарыңыз
Долбооруңузга Intel FPGA IP:

  1. IP каталогун издөө талаасына Жарым-жартылай реконфигурацияны териңиз (Tools ➤ IP Catalog).
  2. Жарым-жартылай кайра конфигурациялоо тышкы конфигурация контроллери Intel FPGA IP эки жолу чыкылдатыңыз.
  3. IP Variant түзүү диалог кутусуна, тышкы_host_pr_ip катары териңиз File атын, анан түзүү чыкылдатыңыз. Параметр редактору пайда болот.
  4. Бош эмес интерфейсти иштетүү параметри үчүн Өчүрүүнү тандаңыз (демейки жөндөө). Бул сигналды колдонуу керек болгондо, сиз жөндөөнү иштетүүгө которсоңуз болот.

Параметр редакторунда Bosy Interface параметрин иштетүүintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (10)

  1. Click File ➤ Сактоо жана системаны жаратпастан параметр редакторунан чыгуу. Параметр редактору external_host_pr_ip.ip IP вариациясын жаратат file жана кошот file blinking_led долбооруна. AN 991: Конфигурация пиндери аркылуу жарым-жартылай кайра конфигурациялоо (тышкы хост) маалымдама дизайны 750856 | 2022.11.14 AN 991:
    • Эскертүү:
    • a. Эгер сиз external_host_pr_ip.ip көчүрүп жатсаңыз file pr каталогунан blinking_led.qsf кол менен түзөтүңүз file төмөнкү сапты кошуу үчүн: set_global_assignment -name IP_FILE pr_ip.ip
    • b. IP_ коюңузFILE SDC_ кийин тапшырмаFILE тапшырмалар (blinking_led. DC) сиздин blinking_led.qsf file. Бул иреттөө Жарым-жартылай кайра конфигурациялоо контроллерунун IP өзөгүн тийиштүү чектөөнү камсыздайт.
    • Эскертүү: Сааттарды аныктоо үчүн .sdc file PR IP үчүн IP өзөгү колдонгон сааттарды түзгөн каалаган .sdc ээрчиш керек. Сиз бул тартипти камсыз кылуу менен .ip file PR IP өзөгү үчүн ар кандай .ipден кийин пайда болот files же .sdc files бул сааттарды .qsf ичинде аныктоо үчүн колдоносуз file сиздин Intel Quartus Prime долбоорун кайра карап чыгуу үчүн. Көбүрөөк маалымат алуу үчүн, Жарым-жартылай кайра конфигурациялоо IP Solutions Колдонуучу колдонмосун караңыз.

Жогорку деңгээлдеги дизайнды жаңылоо

top.sv жаңыртуу үчүн file PR_IP инстанциясы менен:

  1. тышкы_host_pr_ip инстанциясын жогорку деңгээлдеги дизайнга кошуу үчүн, top.sv ичиндеги төмөнкү код блокторуна комментарий калтырыңыз file:intel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (11)

Персоналарды аныктоо
Бул маалымдама дизайны бирдиктүү PR бөлүмү үчүн үч өзүнчө персоналды аныктайт. Долбооруңузга инсандарды аныктоо жана киргизүү үчүн:

  1. Үч SystemVerilog түзүңүз files, blinking_led.sv, blinking_led_slow.sv жана blinking_led_empty.sv үч инсан үчүн жумушчу каталогуңузда.

Маалымдама Дизайн Персоналарыintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (12) intel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (13)

Эскертүү:

  • blinking_led.sv бир бөлүгү катары мурунтан эле жеткиликтүү files сиз жалпак/ подкаталогунан көчүрөсүз. Муну жөн гана кайра колдонсоңуз болот file.
  • Эгер сиз SystemVerilog түзсөңүз files Intel Quartus Prime Текст Редакторунан, Кошууну өчүрүңүз file сактоодо учурдагы долбоордун опциясына files.

Ревизияларды түзүү

PR-дизайн агымы Intel Quartus Prime программалык камсыздоосундагы долбоорду кайра кароо өзгөчөлүгүн колдонот. Сиздин баштапкы дизайныңыз базалык ревизия, анда сиз FPGAдагы статикалык аймактын чектерин жана кайра конфигурациялануучу аймактарды аныктайсыз. Негизги версиядан сиз бир нече ревизияларды түзөсүз. Бул өзгөртүүлөр PR аймактары үчүн ар кандай ишке ашырууларды камтыйт. Бирок, бардык PR ишке ашыруу кайра карап чыгуулар базалык кайра карап чыгуунун ошол эле жогорку деңгээлдеги жайгаштыруу жана багыттоо натыйжаларын колдонушат. PR дизайнын түзүү үчүн, ар бир персона үчүн PR ишке ашыруу ревизиясын түзүшүңүз керек. Мындан тышкары, ар бир оңдоп чыгуу үчүн кайра карап чыгуу түрлөрүн дайындоого тийишсиз. Ревизиянын жеткиликтүү түрлөрү болуп төмөнкүлөр саналат:

  • Жарым-жартылай кайра конфигурациялоо – База
  • Жарым-жартылай кайра конфигурациялоо - Персонаны ишке ашыруу

Төмөнкү таблицада ар бир оңдоп чыгуунун аталышы жана версиясынын түрү келтирилген:

Ревизиянын аталыштары жана түрлөрү

Ревизиянын аталышы Ревизия түрү
blinking_led.qsf Жарым-жартылай кайра конфигурациялоо – База
blinking_led_default.qsf Жарым-жартылай кайра конфигурациялоо - Персонаны ишке ашыруу
blinking_led_slow.qsf Жарым-жартылай кайра конфигурациялоо - Персонаны ишке ашыруу
blinking_led_empty.qsf Жарым-жартылай кайра конфигурациялоо - Персонаны ишке ашыруу

Негизги кайра кароо түрүн орнотуу

  1. Долбоор ➤ Ревизияларды басыңыз.
  2. Ревизиянын аталышында, blinking_led версиясын тандап, андан кийин "Учурду орнотуу" баскычын чыкылдатыңыз.
  3. Колдонуу дегенди басыңыз. Blinking_led версиясы учурдагы версия катары көрсөтүлөт.
  4. blinking_led үчүн Текшерүү түрүн коюу үчүн, Дайындамалар ➤ Орнотуулар ➤ Жалпы чыкылдатыңыз.
  5. Кайра карап чыгуу түрү үчүн Жарым-жартылай кайра конфигурациялоо – Базаны тандап, андан кийин OK чыкылдатыңыз.
  6. blinking_led.qsf азыр төмөнкү тапшырманы камтыганын текшериңиз: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

Ишке ашыруунун ревизияларын түзүү

  1. Түзөтүүлөр диалог кутусун ачуу үчүн Долбоор ➤ Ревизияларды басыңыз.
  2. Жаңы версияны түзүү үчүн < баскычын эки жолу басыңыз >.
  3. Ревизиянын аталышында, blinking_led_default белгилеңиз жана "Негизинен карап чыгуу" үчүн blinking_ledди тандаңыз.
  4. Кайра карап чыгуу түрү үчүн Жарым-жартылай кайра конфигурациялоо – PersonaImplementation тандаңыз.

Ревизияларды түзүүintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (14)

  1. Ошо сыяктуу эле, blinking_led_slow жана blinking_led_empty ревизиялары үчүн Revision түрүн коюңуз.
  2. Ар бир .qsf file азыр төмөнкү дайындоону камтыйт: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led мында, place_holder жаңы түзүлгөн PR ишке ашыруу ревизиясы үчүн демейки объект аты.

Долбоорду оңдооintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (16)

Базалык ревизияны түзүү

  1. Негизги версияны компиляциялоо үчүн, Иштетүү ➤ Компиляцияны баштоону басыңыз. Же болбосо, төмөнкү буйрук базалык ревизияны түзөт: quartus_sh –flow compile blinking_led -c blinking_led
  2. Бит агымын текшерүү files чыгарууда генерациялоо_files каталогу.

Түзүлгөн Files

аты Type Description
blinking_led.sof Базалык программалоо file Толук чиптин негизин конфигурациялоо үчүн колдонулат
blinking_led.pr_partition.rbf PR бит агымы file негизги адам үчүн Негизги персонаны жарым-жартылай кайра конфигурациялоо үчүн колдонулат.
blinking_led_static.qdb .qdb базасы file Аякталган маалымат базасы file статикалык аймакты импорттоо үчүн колдонулат.

Тиешелүү маалымат

  • Intel Quartus Prime Pro Edition Колдонуучу колдонмосундагы "Жарым-жартылай кайра конфигурациялоо дизайнын пол пландоо": Жарым-жартылай кайра конфигурациялоо
  • Intel Quartus Prime Pro Edition Колдонуучунун колдонмосунда "Флоорплан чектөөлөрүн кадам сайын колдонуу": Жарым-жартылай кайра конфигурациялоо

PR ишке ашыруу боюнча ревизияларды даярдоо
Түзмөктү программалоо үчүн PR бит агымын компиляциялоодон жана жаратуудан мурун, сиз PR ишке ашыруу оңдоолорун даярдашыңыз керек. Бул орнотуу статикалык аймакты кошууну камтыйт .qdb file булагы катары file ар бир ревизия үчүн. Мындан тышкары, сиз PR аймактын тиешелүү субъектин көрсөтүү керек.

  1. Учурдагы версияны коюу үчүн, Долбоор ➤ Ревизияларды чыкылдатыңыз, Ревизиянын аталышы катары blinking_led_default тандаңыз, андан кийин Учурдагы орнотууну басыңыз.
  2. Ар бир ревизия үчүн туура булагын текшерүү үчүн Долбоор ➤Кошуу/Өчүрүү баскычын басыңыз Files долбоордо. blinking_led.sv file ичинде пайда болот file тизме.

FilesPageintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (17)

  1. Башка ревизия булагын текшерүү үчүн 1ден 2ге чейинки кадамдарды кайталаңыз files:
Ишке ашыруунун ревизиясынын аталышы Булак File
blinking_led_default blinking_led.sv
blinking_led_empty blinking_led_empty.sv
blinking_led_slow blinking_led_slow.sv
  1. .qdb текшерүү үчүн file тамыр бөлүмү менен байланышкан, Тапшырмалар ➤ Дизайн Бөлүмдөр терезесин чыкылдатыңыз. Бөлүм маалымат базасы экенин ырастаңыз File blinking_led_static.qdb аныктайт file, же Бөлүм маалыматтар базасын эки жолу чыкылдатыңыз File муну аныктоо үчүн клетка file. Же болбосо, төмөнкү буйрук муну дайындайт file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. Объектти кайра байлоочу уячада ишке ашырууну кайра карап чыгууда өзгөрткөн ар бир PR бөлүгүнүн объектинин атын көрсөтүңүз. blinking_led_default ишке ашырууну кайра карап чыгуу үчүн объекттин аты blinking_led болуп саналат. Бул окуу куралында, сиз жаңы blinking_led объекти менен базалык версия компиляциясынан u_blinking_led инстанциясын кайра жазасыз.

Эскертүү: Толтуруучу объектти кайра байлоо тапшырмасы ишке ашырууну кайра карап чыгууга автоматтык түрдө кошулат. Бирок, сиз дайындоодогу демейки объекттин атын дизайныңызга ылайыктуу объекттин атына өзгөртүшүңүз керек.

Ишке ашыруунун ревизиясынын аталышы Объектти кайра байланыштыруу
blinking_led_default blinking_led
blinking_led_slow blinking_led_slow
blinking_led_empty blinking_led_empty

Объектти кайра байлооintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (18)

  1. Дизайнды компиляциялоо үчүн Иштетүү ➤ Компиляцияны баштоону басыңыз. Же болбосо, төмөнкү буйрук бул долбоорду түзөт: quartus_sh –flow compile blinking_led –c blinking_led_default
  2. blinking_led_led_empty жана blinking_led_empty ревизияларын даярдоо үчүн жогорудагы кадамдарды кайталаңыз: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt

Эскертүү: Сиз PR ишке ашыруу компиляциясында колдонгуңуз келген Фиттердин кандайдыр бир атайын орнотууларын көрсөтсөңүз болот. Монтаждоочунун атайын жөндөөлөрү импорттолгон статикалык аймакка таасир этпестен, персонанын ылайыктуулугуна гана таасир этет.

Башкармалыкты программалоо
Бул окуу куралы Intel Agilex F-Series FPGA иштеп чыгуу тактасын компьютериңиздеги PCIe* уячасынан тышкары отургучта колдонот. Тактаны программалоодон мурун, төмөнкү кадамдарды аткарганыңызды текшериңиз:

  1. Intel Agilex F-Series FPGA иштеп чыгуу тактасына электр менен жабдууну туташтырыңыз.
  2. Intel FPGA Download кабелин компьютериңиздин USB порту менен иштеп чыгуу тактасындагы Intel FPGA Download Cable портуна туташтырыңыз.

Дизайнды Intel Agilex F-Series FPGA иштеп чыгуу тактасында иштетүү үчүн:

  1. Intel Quartus Prime программасын ачып, Tools ➤ Programmer дегенди басыңыз.
  2. Программистте, Hardware Setup чыкылдатып, USB-Blaster тандаңыз.
  3. Auto Detect чыкылдатыңыз жана түзмөктү тандаңыз, AGFB014R24AR0.
  4. OK басыңыз. Intel Quartus Prime программалык камсыздоосу тактадагы үч FPGA аппараты менен Программистти аныктайт жана жаңыртат.
  5. AGFB014R24AR0 түзмөгүн тандап, Өзгөртүү баскычын чыкылдатыңыз File жана blinking_led_default.sof жүктөңүз file.
  6. blinking_led_default.sof үчүн Программа/конфигурацияны иштетүү file.
  7. Баштоо баскычын чыкылдатып, прогресс тилкеси 100% жеткенге чейин күтүңүз.
  8. Тактадагы жарык диоддор баштапкы жалпак дизайндагыдай жыштыкта ​​өчүп жатканын байкаңыз.
  9. PR аймагын гана программалоо үчүн, blinking_led_default.sof файлын оң баскыч менен чыкылдатыңыз file Программистте жана Add PR Programming баскычын чыкылдатыңыз File.
  10. blinking_led_slow.pr_partition.rbf тандаңыз file.
  11. blinking_led_default.sof үчүн программаны/конфигурацияны өчүрүү file.
  12. blinking_led_slow.pr_partition.rbf үчүн Программаны/конфигурацияны иштетүү file жана Start чыкылдатыңыз. Тактадан LED[0] жана LED[1] дагы эле жымыңдап жатканын байкаңыз. Прогресс тилкеси 100% жеткенде, LED[2] жана LED[3] жайыраак күйөт.
  13. PR аймагын кайра программалоо үчүн, .rbf баскычын оң баскыч менен чыкылдатыңыз file Программалоочудан жана PR программалоону өзгөртүүнү чыкылдатыңыз File.
  14. .rbf тандаңыз fileБашка эки персонага тактадагы жүрүм-турумга байкоо жүргүзүү. blinking_led_default.rbf жүктөлүүдө file светодиоддордун белгилүү бир жыштыкта ​​өчүп калышына алып келет жана blinking_led_empty.rbf жүктөлөт file светодиоддордун күйүп калышына алып келет.

Intel Agilex F-Series FPGA өнүктүрүү кеңешин программалооintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (19)Аппараттык тестирлөө агымы

Төмөнкү ырааттуулуктар шилтеме дизайн аппараттык тестирлөө агымын сүрөттөйт.
Intel Agilex Device Тышкы хост аппараттык орнотууintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (20)

Helper FPGA (Тышкы хост) программалоо
Төмөнкү ырааттуулук PR процессинин тышкы хосту катары иштеген жардамчы FPGA программалоону сүрөттөйт:

  1. Сиз тандаган режимге (x8, x16 же x32) туура келген Avalon агымдык интерфейсинин жөндөөсүн көрсөтүңүз.
  2. Intel Quartus Prime Programmer жана туташтырылган конфигурация кабелин колдонуу менен FPGA жардамчысын программалоо аркылуу платформаны инициализациялаңыз.
  3. FPGA жардамчысын колдонуп, CONF_DONE жана AVST_READY сигналдарын окуңуз. CONF_DONE 0, AVST_READY 1 болушу керек. Бул пиндеги логика жогорку SDM тышкы хосттон берилиштерди кабыл алууга даяр экенин көрсөтүп турат. Бул чыгаруу SDM I/O бөлүгү болуп саналат.

Эскертүү: CONF_DONE пин тышкы хостко бит агымын өткөрүү ийгиликтүү болгонун билдирет. Бул сигналдарды чиптин толук конфигурация процессин көзөмөлдөө үчүн гана колдонуңуз. Бул пин боюнча көбүрөөк маалымат алуу үчүн Intel Agilex Конфигурациясынын Колдонуучу колдонмосун караңыз.

DUT FPGAны тышкы хост аркылуу толук чип SOF менен программалаңыз Төмөнкү ырааттуулук DUT FPGAны толук чип SRAM объектиси менен программалоону сүрөттөйт. File (.sof) хостунун Avalon агымдык интерфейсин колдонуу менен:

  1. Толук чип бит агымын FPGA жардамчысынын (тышкы хост) DDR4 тышкы эс тутумуна жазыңыз.
  2. Avalon агымдык интерфейсин (x8, x16, x32) колдонуп, толук чип .sof менен DUT FPGA конфигурациялаңыз.
  3. DUT FPGA конфигурациясынын сигналдарын окуңуз. CONF_DONE 1, AVST_READY 0 болушу керек.

Убакыт спецификациялары: Жарым-жартылай кайра конфигурациялоочу тышкы контроллер Intel FPGA IPintel-750856-Agilex-FPGA-Өнүктүрүү Башкармалыгы-FIG-1 (21)

DUT FPGAны тышкы хост аркылуу Биринчи Персона менен программалаңыз

  1. DUT FPGAдагы максаттуу PR аймагында тоңдурууну колдонуңуз.
  2. Intel Quartus Prime система консолун колдонуп, жарым-жартылай кайра конфигурациялоону баштоо үчүн pr_request ырастаңыз. AVST_READY 1 болушу керек.
  3. Жардамчы FPGA (тышкы хост) DDR4 тышкы эс тутумуна биринчи PR персона бит агымын жазыңыз.
  4. Avalon агымдык интерфейсин (x8, x16, x32) колдонуп, DUT FPGAны биринчи персона бит агымы менен кайра конфигурациялаңыз.
  5. PR статусун көзөмөлдөө үчүн, System Console ишке киргизүү үчүн Tools ➤ System Console чыкылдатыңыз. Системанын консолунда PR абалына көз салыңыз:
    • pr_error 2 — кайра конфигурациялоо процессинде.
    • pr_error 3 — кайра конфигурациялоо аяктады.
  6. DUT FPGAдагы PR аймагына unfreeze колдонуңуз.

Эскертүү: Эгерде PR операциясы учурунда, версияны текшерүүдө же авторизацияны текшерүүдө ката пайда болсо, PR операциясы токтотулат.

Тиешелүү маалымат

  • Intel Agilex Configuration Колдонуучунун колдонмосу
  • Intel Quartus Prime Pro Edition Колдонуучунун колдонмосу: Мүчүлүштүктөрдү оңдоо куралдары

AN 991 үчүн документти кайра карап чыгуу тарыхы: Конфигурация пиндери (тышкы хост) аркылуу жарым-жартылай кайра конфигурациялоо Intel Agilex F-Series FPGA өнүктүрүү кеңеши үчүн маалымдама дизайны

Документтин версиясы Intel Quartus Prime Version Өзгөрүүлөр
2022.11.14 22.3 • Биринчи чыгарылыш.

AN 991: Конфигурация пиндери аркылуу жарым-жартылай кайра конфигурациялоо (тышкы хост) маалымдама дизайны: Intel Agilex F-Series FPGA өнүктүрүү кеңеши үчүн

Көп берилүүчү суроолорго жооптор:

  • Q Конфигурация пиндери аркылуу PR деген эмне?
  • A Тышкы хосттун конфигурациясы 3-бетте
  • Q Бул маалымдама дизайны үчүн мага эмне керек?
  • A Шилтеме дизайн талаптары 6-бетте
  • Q Маалымдама дизайнын кайдан алсам болот?
  • A Шилтеме дизайн талаптары 6-бетте
  • Q Тышкы конфигурация аркылуу PRды кантип аткарам?
  • A 6-беттеги Шилтеме дизайны
  • Q PR персона деген эмне?
  • A Персоналды аныктоо 11-бетте
  • Q Мен тактаны кантип программалайм?
  • A Башкарманын программасы 17-бетте
  • Q PR белгилүү маселелер жана чектөөлөр кандай?
  • A Intel FPGA колдоо форумдары: PR
  • Q Сизде PR боюнча тренинг барбы?
  • A Intel FPGA техникалык окутуу каталогу

Онлайн версия Пикир жөнөтүү

  • ID: 750856
  • Версия: 2022.11.14

Документтер / Ресурстар

intel 750856 Agilex FPGA өнүктүрүү кеңеши [pdf] Колдонуучунун колдонмосу
750856, 750857, 750856 Agilex FPGA өнүктүрүү кеңеши, Agilex FPGA өнүктүрүү кеңеши, FPGA өнүктүрүү кеңеши, өнүктүрүү кеңеши, башкармалык

Шилтемелер

Комментарий калтырыңыз

Сиздин электрондук почта дарегиңиз жарыяланбайт. Талап кылынган талаалар белгиленген *