Intel-LOGO

intel 750856 Agilex FPGA izstrādes padome

intel-750856-Agilex-FPGA-Development-Board-PRODUCT

Informācija par produktu

Šis atsauces dizains ir paredzēts Intel Agilex F sērijas FPGA izstrādes padomei. Tas izmanto daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleri Intel FPGA IP, un tam ir vienkāršs PR reģions. Intel Agilex ierīces ārējās resursdatora aparatūras iestatīšana sastāv no ārējās ierīces (Helper FPGA), DUT FPGA un jūsu ārējā resursdatora dizaina. Uzņēmēja dizains ārējā ierīcē ir atbildīgs par PR procesa mitināšanu. PR tapas tiek izmantotas, lai savienotu abas ierīces, un tās var būt jebkuras pieejamās lietotāja I/O.

Produkta lietošanas instrukcijas

Ārējā resursdatora konfigurācija

Lai veiktu ārējā resursdatora konfigurāciju, veiciet šīs darbības:

  1. Izveidojiet resursdatora dizainu ārējā ierīcē, lai mitinātu PR procesu.
  2. Savienojiet PR tapas no ārējās ierīces ar daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleri Intel FPGA IP DUT FPGA.
  3. Straumējiet konfigurācijas datus no resursdatora dizaina uz Intel Agilex Avalon straumēšanas interfeisa tapām, kas atbilst PR rokasspiediena signāliem no IP.

Daļēja pārkonfigurācija, izmantojot konfigurācijas tapu darbību

Šī secība apraksta daļējas pārkonfigurācijas darbību, izmantojot konfigurācijas tapas:

  1. Nostipriniet pr_request tapu, kas savienots ar daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleri Intel FPGA IP.
  2. IP apstiprina aizņemtības signālu, lai norādītu, ka notiek PR process (neobligāti).
  3. Ja konfigurācijas sistēma ir gatava PR darbībai, tiek apstiprināts avst_ready pin, kas norāda, ka tā ir gatava datu pieņemšanai.
  4. Straumējiet PR konfigurācijas datus pa avst_data tapām un avst_valid tapām, ievērojot Avalon straumēšanas specifikāciju datu pārsūtīšanai ar pretspiedienu.
  5. Straumēšana tiek pārtraukta, kad avst_ready tapa tiek atcelta.
  6. Atceliet avst_ready tapu, lai norādītu, ka PR darbībai vairs nav nepieciešami dati.
  7. Daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleris Intel FPGA IP deaktivizē aizņemtības signālu, lai norādītu uz procesa beigām (pēc izvēles).

Daļēja pārkonfigurācija, izmantojot konfigurācijas tapu (ārējā resursdatora) atsauces dizainu

Šajā lietojumprogrammas piezīmē ir parādīta daļēja pārkonfigurācija, izmantojot Intel® Agilex® F-Series FPGA izstrādes plates konfigurācijas tapas (ārējo resursdatoru).

Atsauces dizains beidziesview

Daļējas pārkonfigurācijas (PR) funkcija ļauj dinamiski pārkonfigurēt daļu FPGA, bet pārējais FPGA dizains turpina darboties. Varat izveidot vairākas personas konkrētam reģionam savā dizainā, kas neietekmē darbību apgabalos ārpus šī reģiona. Šī metodoloģija ir efektīva sistēmās, kurās vairākas funkcijas izmanto vienu un to pašu FPGA ierīces resursus. Pašreizējā Intel Quartus® Prime Pro Edition programmatūras versija ievieš jaunu un vienkāršotu kompilācijas plūsmu daļējai pārkonfigurācijai. Šajā Intel Agilex atsauces dizainā tiek izmantots daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleris Intel FPGA IP, un tam ir vienkāršs PR reģions.

Intel Agilex ierīces ārējās resursdatora aparatūras iestatīšanaintel-750856-Agilex-FPGA-Development-Board-FIG-1 (1)

Ārējā resursdatora konfigurācija

Ārējā resursdatora konfigurācijā vispirms ir jāizveido resursdatora dizains ārējā ierīcē, lai mitinātu PR procesu, kā parāda Intel Agilex ierīces ārējās resursdatora aparatūras iestatīšana. Resursdatora dizains straumē konfigurācijas datus uz Intel Agilex Avalon straumēšanas interfeisa tapām, kas atbilst PR rokasspiediena signāliem, kas nāk no daļējas pārkonfigurācijas ārējās konfigurācijas kontrollera Intel FPGA IP. PR tapas, ko izmantojat, lai savienotu abas ierīces, var būt jebkura pieejamā lietotāja I/O.

Šī secība apraksta daļēju pārkonfigurāciju, izmantojot konfigurācijas tapu darbību:

  1. Vispirms apstipriniet pr_request tapu, kas ir savienots ar daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleri Intel FPGA IP.
  2. IP apstiprina aizņemtības signālu, lai norādītu, ka notiek PR process (neobligāti).
  3. Ja konfigurācijas sistēma ir gatava PR operācijai, tiek apstiprināts avst_ready pin, kas norāda, ka tā ir gatava datu pieņemšanai.
  4. Sāciet straumēt PR konfigurācijas datus, izmantojot tapas avst_data un avst_valid tapu, vienlaikus ievērojot Avalon straumēšanas specifikāciju datu pārsūtīšanai ar pretspiedienu.
  5. Straumēšana tiek pārtraukta ikreiz, kad avst_ready tapa tiek atcelta.
  6. Pēc visu konfigurācijas datu straumēšanas avst_ready pin tiek atcelts, lai norādītu, ka PR darbībai nav nepieciešami vairāk datu.
  7. Daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleris Intel FPGA IP deaktivizē aizņemtības signālu, lai norādītu uz procesa beigām (pēc izvēles).
  8. Varat pārbaudīt tapas pr_done un pr_error, lai pārliecinātos, vai PR darbība ir veiksmīgi pabeigta. Ja rodas kļūda, piemēram, kļūme versiju pārbaudē un autorizācijas pārbaudē, PR darbība tiek pārtraukta.

Saistītā informācija

  • Intel Agilex F sērijas FPGA izstrādes komplekts Web Lapa
  • Intel Agilex F sērijas FPGA izstrādes komplekta lietotāja rokasgrāmata
  • Intel Quartus Prime Pro Edition lietotāja rokasgrāmata: daļēja pārkonfigurācija

Daļēja pārkonfigurācija Ārējās konfigurācijas kontrolleris Intel FPGA IP
Daļējas pārkonfigurācijas ārējās konfigurācijas kontrollerim ir nepieciešams izmantot konfigurācijas tapas PR datu straumēšanai PR darbībai. Visi daļējas pārkonfigurācijas ārējās konfigurācijas kontrollera Intel FPGA IP augstākā līmeņa porti ir jāsavieno ar pr_request tapu, lai nodrošinātu resursdatora rokasspiedienu ar drošo ierīču pārvaldnieku (SDM) no kodola. SDM nosaka, kādus konfigurācijas tapu veidus izmantot atbilstoši jūsu MSEL iestatījumam.

Daļēja pārkonfigurācija Ārējās konfigurācijas kontrolleris Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (2)

Daļēja pārkonfigurācija Ārējās konfigurācijas kontrollera parametru iestatījumi

Parametrs Vērtība Apraksts
Iespējot aizņemto interfeisu Iespējot or

Atspējot

Ļauj iespējot vai atspējot interfeisu Aizņemts, kas nodrošina signālu, kas norāda, ka ārējās konfigurācijas laikā notiek PR apstrāde.

Noklusējuma iestatījums ir Atspējot.

Daļēja pārkonfigurācija Ārējās konfigurācijas kontrollera porti

Ostas nosaukums Platums Virziens Funkcija
pr_request 1 Ievade Norāda, ka PR process ir gatavs sākšanai. Signāls ir kanāls, kas nav sinhrons nevienam pulksteņa signālam.
pr_error 2 Izvade Norāda uz daļēju pārkonfigurācijas kļūdu.:

• 2'b01 — vispārēja PR kļūda

• 2'b11 — nesaderīga bitu plūsmas kļūda

Šie signāli ir kanāli, kas nav sinhroni ne ar vienu pulksteņa avotu.

pr_done 1 Izvade Norāda, ka PR process ir pabeigts. Signāls ir kanāls, kas nav sinhrons nevienam pulksteņa signālam.
start_addr 1 Ievade Norāda PR datu sākuma adresi Active Serial Flash. Varat iespējot šo signālu, izvēloties kādu no tiem Avalon®-ST or Aktīvā sērija priekš Iespējot Avalon-ST Pins vai Active Serial Pins parametrs. Signāls ir kanāls, kas nav sinhrons nevienam pulksteņa signālam.
atiestatīt 1 Ievade Aktīvs augsts, sinhrons atiestatīšanas signāls.
out_clk 1 Izvade Pulksteņa avots, kas ģenerē no iekšējā oscilatora.
aizņemts 1 Izvade IP apstiprina šo signālu, lai norādītu, ka notiek PR datu pārsūtīšana. Varat iespējot šo signālu, atlasot Iespējot priekš Iespējot aizņemto saskarni parametrs.

Atsauces dizaina prasības

Lai izmantotu šo atsauces dizainu, ir nepieciešams:

  • Intel Quartus Prime Pro Edition versijas 22.3 instalēšana ar Intel Agilex ierīču saimes atbalstu.
  • Savienojums ar Intel Agilex F-Series FPGA izstrādes plati stendā.
  • Lejupielādēt dizainu examppieejams sekojošā vietā: https://github.com/intel/fpga-partial-reconfig.

Lai lejupielādētu dizainu example:

  1. Noklikšķiniet uz Klonēt vai lejupielādēt.
  2. Noklikšķiniet uz Lejupielādēt ZIP. Izpakojiet failu fpga-partial-reconfig-master.zip file.
  3. Pārejiet uz apakšmapi Tutorials/agilex_external_pr_configuration, lai piekļūtu atsauces noformējumam.

Reference Design Walkthrough

Tālāk norādītās darbības apraksta daļējas pārkonfigurācijas ieviešanu, izmantojot konfigurācijas tapas (ārējo resursdatoru) Intel Agilex F-Series FPGA izstrādes platē:

  • 1. darbība: Darba sākšana
  • 2. darbība: Dizaina nodalījuma izveide
  • 3. darbība: Izvietojuma un maršrutēšanas reģionu piešķiršana
  • 4. darbība: Daļējas pārkonfigurācijas ārējās konfigurācijas kontrollera IP pievienošana
  • 5. darbība: Personu definēšana
  • 6. darbība: Pārskatījumu izveide
  • 7. darbība: Bāzes versijas sastādīšana
  • 8. darbība: PR ieviešanas pārskatījumu sagatavošana
  • 9. darbība: Tāfeles programmēšana

1. darbība. Darba sākšana
Lai kopētu atsauces dizainu files uz jūsu darba vidi un apkopojiet blinking_led plakano dizainu:

  1. Izveidojiet direktoriju savā darba vidē agilex_pcie_devkit_blinking_led_pr.
  2. Kopējiet lejupielādētās apmācības/agilex_pcie_devkit_blinking_led/flat apakšmapi direktorijā agilex_pcie_devkit_blinking_led_pr.
  3. Programmatūrā Intel Quartus Prime Pro Edition noklikšķiniet uz File ➤ Atveriet projektu un atlasiet blinking_led.qpf.
  4. Lai precizētu plakanā dizaina hierarhiju, noklikšķiniet uz Apstrāde ➤ Sākt ➤ Sākt analīzi un sintēzi. Alternatīvi, komandrindā palaidiet šādu komandu: quartus_syn blinking_led -c blinking_led

Dizaina nodalījuma izveide

Katram PR reģionam, kuru vēlaties daļēji pārkonfigurēt, ir jāizveido dizaina nodalījumi. Tālāk norādītās darbības izveido noformējuma nodalījumu u_blinking_led instancei.

Dizaina starpsienu izveideintel-750856-Agilex-FPGA-Development-Board-FIG-1 (3)

  1. Ar peles labo pogu noklikšķiniet uz u_blinking_led instances programmā Project Navigator un noklikšķiniet uz Dizaina nodalījums ➤ Pārkonfigurējams. Dizaina nodalījuma ikona tiek parādīta blakus katrai instancei, kas ir iestatīta kā nodalījums.
  2. Noklikšķiniet uz Uzdevumi ➤ Noformēšanas nodalījumu logs. Logā tiek parādītas visas projektā esošās dizaina starpsienas.
  3. Rediģējiet nodalījuma nosaukumu plānošanas nodalījumu logā, veicot dubultklikšķi uz nosaukuma. Šim atsauces dizainam pārdēvējiet nodalījuma nosaukumu uz pr_partition
    • Piezīme: Kad veidojat nodalījumu, programmatūra Intel Quartus Prime automātiski ģenerē nodalījuma nosaukumu, pamatojoties uz gadījuma nosaukumu un hierarhijas ceļu. Šis noklusējuma nodalījuma nosaukums katrā gadījumā var atšķirties.
  4. Lai eksportētu pabeigto statisko reģionu no bāzes versiju kompilācijas, veiciet dubultklikšķi uz root_partition ieraksta sadaļā Post Final Export. File kolonnu un ierakstiet blinking_led_static. gdb.

Pēc pēdējā momentuzņēmuma eksportēšana noformēšanas starpsienu logāintel-750856-Agilex-FPGA-Development-Board-FIG-1 (4)Pārbaudiet, vai failā blinking_led.qsf ir ietverti šādi uzdevumi, kas atbilst jūsu pārkonfigurējamam dizaina nodalījumam:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (5)

Saistītā informācija
Intel Quartus Prime Pro izdevuma lietotāja rokasgrāmatā “Izveidot dizaina nodalījumus”: daļēja pārkonfigurācija

Izvietojuma un maršrutēšanas reģiona piešķiršana PR nodalījumam
Katrai jūsu izveidotajai bāzes versijai PR noformēšanas plūsma ievieto atbilstošo personas kodolu jūsu PR nodalījuma reģionā. Lai ierīces stāva plānā atrastu un piešķirtu PR reģionu jūsu bāzes versijai:

  1. Ar peles labo pogu noklikšķiniet uz u_blinking_led instances programmā Project Navigator un noklikšķiniet uz Loģiskās bloķēšanas reģions ➤ Izveidot jaunu loģikas bloķēšanas reģionu. Reģions tiek parādīts logā Logic Lock Regions.
  2. Jūsu izvietojuma apgabalam ir jāietver blinking_led loģika. Atlasiet izvietojuma reģionu, mikroshēmu plānotājā atrodot mezglu. Ar peles labo pogu noklikšķiniet uz u_blinking_led reģiona nosaukuma logā Logic Lock Regions un noklikšķiniet uz

Atrodiet mezglu ➤ Atrodiet mikroshēmu plānotājā. u_blinking_led apgabalam ir krāsu kods

Mikroshēmu plānotāja mezgla atrašanās vieta indikatoram blinking_ledintel-750856-Agilex-FPGA-Development-Board-FIG-1 (6)

  1. Logā Logic Lock Regions kolonnā Origin norādiet izvietojuma reģiona koordinātas. Izcelsme atbilst reģiona apakšējā kreisajā stūrī. Piemēram,ample, lai iestatītu izvietojuma reģionu ar (X1 Y1) koordinātēm kā (163 4), norādiet izcelsmi kā X163_Y4. Programmatūra Intel Quartus Prime automātiski aprēķina (X2 Y2) koordinātas (augšējā labajā pusē) izvietojuma apgabalam, pamatojoties uz jūsu norādīto augstumu un platumu.
    • Piezīme: Šajā apmācībā tiek izmantotas (X1 Y1) koordinātes — (163 4) un izvietojuma apgabala augstums un platums — 20. Definējiet jebkuru izvietojuma reģiona vērtību. Nodrošiniet, lai apgabals aptvertu blinking_led loģiku.
  2. Iespējojiet opcijas Rezervēts un Tikai pamata.
  3. Veiciet dubultklikšķi uz opcijas Routing Region. Tiek parādīts dialoglodziņš Logic Lock Routing Region Settings.
  4. Maršrutēšanas veidam atlasiet Fiksēts ar paplašināšanu. Atlasot šo opciju, tiek automātiski piešķirts paplašināšanas garums 2.
    • Piezīme: Maršrutēšanas apgabalam ir jābūt lielākam par izvietojuma reģionu, lai nodrošinātu papildu elastību uzstādītājam, kad programma maršrutē dažādas personas.

Loģikas bloķēšanas reģionu logsintel-750856-Agilex-FPGA-Development-Board-FIG-1 (7)Pārbaudiet, vai failā blinking_led.qsf ir šādi uzdevumi, kas atbilst jūsu grīdas plānojumam:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (8)intel-750856-Agilex-FPGA-Development-Board-FIG-1 (9)

Saistītā informācija
Intel Quartus Prime Pro izdevuma lietotāja rokasgrāmata: Daļēja pārkonfigurācija.

Daļējas pārkonfigurācijas ārējās konfigurācijas kontrollera pievienošana Intel FPGA IP
Daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleris Intel FPGA IP saskaras ar Intel Agilex PR vadības bloku, lai pārvaldītu bitu plūsmas avotu. Šis IP ir jāpievieno savam dizainam, lai ieviestu ārējo konfigurāciju. Veiciet šīs darbības, lai pievienotu daļējas pārkonfigurācijas ārējās konfigurācijas kontrolleri
Intel FPGA IP jūsu projektam:

  1. IP kataloga meklēšanas laukā (Rīki ➤ IP katalogs) ierakstiet Daļēja pārkonfigurācija.
  2. Veiciet dubultklikšķi uz Partial Reconfiguration External Configuration Controller Intel FPGA IP.
  3. Dialoglodziņā Izveidot IP variantu ierakstiet external_host_pr_ip kā File nosaukumu un pēc tam noklikšķiniet uz Izveidot. Parādās parametru redaktors.
  4. Parametram Iespējot aizņemto saskarni atlasiet Atspējot (noklusējuma iestatījums). Kad nepieciešams izmantot šo signālu, varat pārslēgt iestatījumu uz Iespējot.

Parametru redaktorā iespējojiet aizņemtās saskarnes parametruintel-750856-Agilex-FPGA-Development-Board-FIG-1 (10)

  1. Noklikšķiniet File ➤ Saglabājiet un izejiet no parametru redaktora, neģenerējot sistēmu. Parametru redaktors ģenerē external_host_pr_ip.ip IP variantu file un pievieno file uz blinking_led projektu. AN 991: Daļēja pārkonfigurācija, izmantojot konfigurācijas tapas (ārējais resursdators) Atsauces dizains 750856 | 2022.11.14 AN 991:
    • Piezīme:
    • a. Ja kopējat ārējo_host_pr_ip.ip file no pr direktorija manuāli rediģējiet blinking_led.qsf file iekļaut šādu rindiņu: set_global_assignment -name IP_FILE pr_ip.ip
    • b. Novietojiet IP_FILE uzdevums pēc SDC_FILE uzdevumi (blinking_led. dc) jūsu blinking_led.qsf file. Šī pasūtīšana nodrošina atbilstošu daļējas pārkonfigurācijas kontrollera IP kodola ierobežošanu.
    • Piezīme: Lai noteiktu pulksteņus, .sdc file PR IP ir jāseko jebkuram .sdc failam, kas rada IP kodola izmantotos pulksteņus. Jūs atvieglojat šo pasūtījumu, nodrošinot, ka .ip file PR IP kodolam parādās aiz jebkura .ip files vai .sdc files, ko izmantojat, lai definētu šos pulksteņus failā .qsf file jūsu Intel Quartus Prime projekta pārskatīšanai. Papildinformāciju skatiet daļējas pārkonfigurācijas IP risinājumu lietotāja rokasgrāmatā.

Augstākā līmeņa dizaina atjaunināšana

Lai atjauninātu top.sv file ar PR_IP gadījumu:

  1. Lai augstākā līmeņa noformējumam pievienotu ārējo_host_pr_ip gadījumu, atņemiet komentārus no tālāk norādītajiem koda blokiem top.sv. file:intel-750856-Agilex-FPGA-Development-Board-FIG-1 (11)

Personu definēšana
Šis atsauces dizains definē trīs atsevišķas personas vienam PR nodalījumam. Lai projektā definētu un iekļautu personas:

  1. Izveidojiet trīs SystemVerilog files, blinking_led.sv, blinking_led_slow.sv un blinking_led_empty.sv jūsu darba direktorijā trim personām.

Atsauces dizaina personasintel-750856-Agilex-FPGA-Development-Board-FIG-1 (12) intel-750856-Agilex-FPGA-Development-Board-FIG-1 (13)

Piezīme:

  • blinking_led.sv jau ir pieejams kā daļa no files jūs kopējat no plakanā/ apakšdirektorija. To var vienkārši izmantot atkārtoti file.
  • Ja izveidojat SystemVerilog files no Intel Quartus Prime teksta redaktora, atspējojiet Add file uz pašreizējo projekta opciju, saglabājot files.

Pārskatījumu izveide

PR dizaina plūsma izmanto Intel Quartus Prime programmatūras projekta pārskatīšanas līdzekli. Jūsu sākotnējais dizains ir bāzes versija, kurā jūs definējat statiskās reģiona robežas un pārkonfigurējamos reģionus FPGA. No bāzes pārskatīšanas jūs izveidojat vairākus pārskatījumus. Šajos labojumos ir ietvertas dažādas ieviešanas PR reģioniem. Tomēr visās PR ieviešanas versijās tiek izmantoti tie paši augstākā līmeņa izvietošanas un maršrutēšanas rezultāti no bāzes pārskatīšanas. Lai sastādītu PR dizainu, jums ir jāizveido PR ieviešanas pārskatīšana katrai personai. Turklāt katram pārskatījumam ir jāpiešķir pārskatījumu veidi. Pieejamie pārskatīšanas veidi ir:

  • Daļēja pārkonfigurācija – bāze
  • Daļēja pārkonfigurācija – Personas ieviešana

Šajā tabulā ir norādīts katras pārskatīšanas nosaukums un versijas veids:

Pārskatīšanas nosaukumi un veidi

Pārskatīšanas nosaukums Pārskatīšanas veids
blinking_led.qsf Daļēja pārkonfigurācija – bāze
blinking_led_default.qsf Daļēja pārkonfigurācija – Personas ieviešana
blinking_led_slow.qsf Daļēja pārkonfigurācija – Personas ieviešana
blinking_led_empty.qsf Daļēja pārkonfigurācija – Personas ieviešana

Pamata pārskatīšanas veida iestatīšana

  1. Noklikšķiniet uz Projekts ➤ Pārskatījumi.
  2. Sadaļā Revision Name atlasiet Blinking_led versiju un pēc tam noklikšķiniet uz Iestatīt pašreizējo.
  3. Noklikšķiniet uz Lietot. Blinking_led versija tiek parādīta kā pašreizējā versija.
  4. Lai iestatītu pārskatīšanas veidu blinking_led, noklikšķiniet uz Uzdevumi ➤ Iestatījumi ➤ Vispārīgi.
  5. Pārskatīšanas veidam atlasiet Daļēja pārkonfigurācija — bāze un pēc tam noklikšķiniet uz Labi.
  6. Pārbaudiet, vai failā blinking_led.qsf tagad ir ietverts šāds uzdevums: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE

Ieviešanas pārskatījumu izveide

  1. Lai atvērtu dialoglodziņu Revisions, noklikšķiniet uz Projekts ➤ Revisions.
  2. Lai izveidotu jaunu versiju, veiciet dubultklikšķi uz < >.
  3. Sadaļā Versijas nosaukums norādiet blinking_led_default un atlasiet blinking_led vienumam Pamatojoties uz pārskatīšanu.
  4. Pārskatīšanas veidam atlasiet Daļēja pārkonfigurācija — PersonaImplementation.

Pārskatījumu izveideintel-750856-Agilex-FPGA-Development-Board-FIG-1 (14)

  1. Līdzīgi iestatiet Revision veidu blinking_led_slow un blinking_led_empty versijām.
  2. Pārbaudiet, vai katrs .qsf file tagad satur šādu piešķiršanu: set_global_assignment -nosaukums REVISION_TYPE PR_IMPL set_instance_assignment -nosaukums ENTITY_REBINDING \ place_holder -to u_blinking_led kur vietturis ir noklusējuma entītijas nosaukums jaunizveidotajai PR ieviešanas versijai.

Projektu pārskatīšanaintel-750856-Agilex-FPGA-Development-Board-FIG-1 (16)

Bāzes redakcijas sastādīšana

  1. Lai apkopotu pamata versiju, noklikšķiniet uz Apstrāde ➤ Sākt kompilāciju. Alternatīvi, šī komanda apkopo pamata versiju: ​​quartus_sh –flow kompilēšana blinking_led -c blinking_led
  2. Pārbaudiet bitu plūsmu files, kas ģenerē izvadē_files direktoriju.

Ģenerēts Files

Vārds Tips Apraksts
blinking_led.sof Bāzes programmēšana file Izmanto pilnas mikroshēmas bāzes konfigurācijai
blinking_led.pr_partition.rbf PR bitu plūsma file pamata personai Izmanto bāzes personas daļējai pārkonfigurēšanai.
blinking_led_static.qdb .qdb datu bāze file Pabeigta datu bāze file izmanto statiskā reģiona importēšanai.

Saistītā informācija

  • Intel Quartus Prime Pro izdevuma lietotāja rokasgrāmata: Daļēja pārkonfigurācija.
  • Intel Quartus Prime Pro izdevuma lietotāja rokasgrāmata: “Stāvplāna ierobežojumu pakāpeniska piemērošana”: daļēja pārkonfigurācija

PR ieviešanas pārskatījumu sagatavošana
Lai varētu apkopot un ģenerēt PR bitu straumi ierīces programmēšanai, jums ir jāsagatavo PR ieviešanas pārskatījumi. Šī iestatīšana ietver statiskā reģiona .qdb pievienošanu file kā avots file par katru ieviešanas pārskatīšanu. Turklāt jums jānorāda atbilstošā PR reģiona entītija.

  1. Lai iestatītu pašreizējo versiju, noklikšķiniet uz Projekts ➤ Revīzijas, kā versijas nosaukumu atlasiet blinking_led_default un pēc tam noklikšķiniet uz Iestatīt pašreizējo.
  2. Lai pārbaudītu pareizo avotu katrai ieviešanas versijai, noklikšķiniet uz Projekts ➤Pievienot/noņemt Files projektā. Blinking_led.sv file parādās sadaļā file sarakstu.

Files Lapaintel-750856-Agilex-FPGA-Development-Board-FIG-1 (17)

  1. Atkārtojiet 1.–2. darbību, lai pārbaudītu citu ieviešanas pārskatīšanas avotu files:
Ieviešanas versijas nosaukums Avots File
blinking_led_default blinking_led.sv
blinking_led_empty blinking_led_empty.sv
blinking_led_slow blinking_led_slow.sv
  1. Lai pārbaudītu .qdb file kas saistīti ar saknes nodalījumu, noklikšķiniet uz Piešķīrumi ➤ Noformēšanas nodalījumu logs. Apstipriniet, ka nodalījuma datu bāze File norāda blinking_led_static.qdb filevai veiciet dubultklikšķi uz Partition Database File šūnu, lai to norādītu file. Alternatīvi, šī komanda to piešķir file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  2. Šūnā Entītijas atkārtota saistīšana norādiet katra PR nodalījuma entītijas nosaukumu, kuru maināt ieviešanas pārskatīšanā. Blinking_led_default ieviešanas pārskatīšanai entītijas nosaukums ir blinking_led. Šajā apmācībā jūs pārrakstāt u_blinking_led gadījumu no bāzes versijas kompilācijas ar jauno blinking_led entītiju.

Piezīme: Viettura entītijas atkārtotas saistīšanas uzdevums ieviešanas pārskatīšanai tiek pievienots automātiski. Tomēr jums ir jāmaina noklusējuma entītijas nosaukums uzdevumā uz atbilstošu entītijas nosaukumu jūsu dizainam.

Ieviešanas versijas nosaukums Entītijas atkārtota iesiešana
blinking_led_default blinking_led
blinking_led_slow blinking_led_slow
blinking_led_empty blinking_led_empty

Entītijas pārsaistīšanaintel-750856-Agilex-FPGA-Development-Board-FIG-1 (18)

  1. Lai apkopotu dizainu, noklikšķiniet uz Apstrāde ➤ Sākt kompilāciju. Alternatīvi, šī komanda kompilē šo projektu: quartus_sh –flow kompile blinking_led –c blinking_led_default
  2. Atkārtojiet iepriekš minētās darbības, lai sagatavotu blinking_led_slow un blinking_led_empty versijas: quartus_sh –flow kompilēšana blinking_led –c blinking_led_slow quartus_sh –flow kompilēšana blinking_led –c blinking_led_empt

Piezīme: Varat norādīt visus Fitter specifiskos iestatījumus, ko vēlaties lietot PR ieviešanas kompilācijas laikā. Fitter īpaši iestatījumi ietekmē tikai personas piemērotību, neietekmējot importēto statisko reģionu.

Tāfeles programmēšana
Šajā apmācībā tiek izmantota Intel Agilex F sērijas FPGA izstrādes plate, kas atrodas ārpus jūsu resursdatora PCIe* slota. Pirms tāfeles programmēšanas pārliecinieties, vai esat veicis šādas darbības:

  1. Pievienojiet barošanas bloku Intel Agilex F-Series FPGA izstrādes platei.
  2. Savienojiet Intel FPGA lejupielādes kabeli starp datora USB portu un Intel FPGA lejupielādes kabeļa portu izstrādes panelī.

Lai palaistu dizainu Intel Agilex F sērijas FPGA izstrādes panelī:

  1. Atveriet programmatūru Intel Quartus Prime un noklikšķiniet uz Rīki ➤ Programmētājs.
  2. Programmētājā noklikšķiniet uz Hardware Setup un atlasiet USB-Blaster.
  3. Noklikšķiniet uz Auto Detect un atlasiet ierīci AGFB014R24AR0.
  4. Noklikšķiniet uz Labi. Intel Quartus Prime programmatūra nosaka un atjaunina programmētāju ar trim FPGA ierīcēm uz tāfeles.
  5. Atlasiet ierīci AGFB014R24AR0, noklikšķiniet uz Mainīt File un ielādējiet blinking_led_default.sof file.
  6. Iespējot Programmēšanu/konfigurēšanu failam blinking_led_default.sof file.
  7. Noklikšķiniet uz Sākt un gaidiet, līdz progresa josla sasniegs 100%.
  8. Ievērojiet, kā gaismas diodes uz tāfeles mirgo tādā pašā frekvencē kā oriģinālais plakanais dizains.
  9. Lai programmētu tikai PR reģionu, ar peles labo pogu noklikšķiniet uz blinking_led_default.sof file Programmētājs un noklikšķiniet uz Pievienot PR programmēšanu File.
  10. Atlasiet blinking_led_slow.pr_partition.rbf file.
  11. Atspējot programmu/konfigurēšanu failam blinking_led_default.sof file.
  12. Iespējot Programmēšanu/konfigurēšanu failam blinking_led_slow.pr_partition.rbf file un noklikšķiniet uz Sākt. Uz paneļa novērojiet, kā LED[0] un LED[1] turpina mirgot. Kad progresa josla sasniedz 100%, LED[2] un LED[3] mirgo lēnāk.
  13. Lai pārprogrammētu PR reģionu, ar peles labo pogu noklikšķiniet uz .rbf file Programmētājs un noklikšķiniet uz Mainīt PR programmēšanu File.
  14. Izvēlieties .rbf files pārējām divām personām novērot uzvedību uz tāfeles. Notiek blinking_led_default.rbf ielāde file izraisa gaismas diožu mirgošanu noteiktā frekvencē, un tiek ielādēts blinking_led_empty.rbf file liek gaismas diodēm palikt ieslēgtām.

Intel Agilex F sērijas FPGA izstrādes padomes programmēšanaintel-750856-Agilex-FPGA-Development-Board-FIG-1 (19)Aparatūras testēšanas plūsma

Tālāk norādītās secības apraksta atsauces dizaina aparatūras testēšanas plūsmu.
Intel Agilex ierīces ārējās resursdatora aparatūras iestatīšanaintel-750856-Agilex-FPGA-Development-Board-FIG-1 (20)

Programmējiet palīgu FPGA (ārējais resursdators)
Šajā secībā ir aprakstīta palīga FPGA programmēšana, kas darbojas kā PR procesa ārējais resursdators:

  1. Norādiet Avalon straumēšanas interfeisa iestatījumu, kas atbilst jūsu atlasītajam režīmam (x8, x16 vai x32).
  2. Inicializējiet platformu, programmējot palīgprogrammu FPGA, izmantojot Intel Quartus Prime Programmer un pievienoto konfigurācijas kabeli.
  3. Izmantojot palīgprogrammu FPGA, nolasiet signālus CONF_DONE un AVST_READY. CONF_DONE ir jābūt 0, AVST_READY jābūt 1. Augsta loģika šajā tapā norāda, ka SDM ir gatavs pieņemt datus no ārējā resursdatora. Šī izeja ir daļa no SDM I/O.

Piezīme: CONF_DONE tapa signalizē ārējam saimniekdatoram, ka bitu plūsmas pārsūtīšana ir veiksmīga. Izmantojiet šos signālus tikai, lai uzraudzītu visu mikroshēmas konfigurācijas procesu. Plašāku informāciju par šo tapu skatiet Intel Agilex konfigurācijas lietotāja rokasgrāmatā.

Programmējiet DUT FPGA ar pilnu mikroshēmu SOF, izmantojot ārējo resursdatoru. Šī secība apraksta DUT FPGA programmēšanu ar pilnu mikroshēmu SRAM objektu File (.sof), izmantojot saimniekdatora Avalon straumēšanas saskarni:

  1. Ierakstiet pilnu mikroshēmas bitu plūsmu palīga FPGA (ārējā resursdatora) DDR4 ārējā atmiņā.
  2. Konfigurējiet DUT FPGA ar pilnu mikroshēmu .sof, izmantojot Avalon straumēšanas interfeisu (x8, x16, x32).
  3. Nolasīt statusa DUT FPGA konfigurācijas signālus. CONF_DONE ir jābūt 1, AVST_READY jābūt 0.

Laika specifikācijas: Daļēja pārkonfigurācija Ārējais kontrolieris Intel FPGA IPintel-750856-Agilex-FPGA-Development-Board-FIG-1 (21)

Programmējiet DUT FPGA ar pirmo personu, izmantojot ārējo resursdatoru

  1. Uzklājiet saldēšanu uz mērķa PR apgabalu DUT FPGA.
  2. Izmantojot Intel Quartus Prime sistēmas konsoli, apstipriniet pr_request, lai sāktu daļēju pārkonfigurāciju. AVST_READY jābūt 1.
  3. Ierakstiet pirmo PR persona bitu straumi palīga FPGA (ārējā resursdatora) DDR4 ārējā atmiņā.
  4. Izmantojot Avalon straumēšanas saskarni (x8, x16, x32), pārkonfigurējiet DUT FPGA ar pirmo personas bitu straumi.
  5. Lai pārraudzītu PR statusu, noklikšķiniet uz Rīki ➤ Sistēmas konsole, lai palaistu sistēmas konsoli. Sistēmas konsolē pārraugiet PR statusu:
    • pr_error ir 2 — notiek pārkonfigurācija.
    • pr_error ir 3 — pārkonfigurācija ir pabeigta.
  6. Uzklājiet atsaldēšanu PR apgabalā DUT FPGA.

Piezīme: Ja PR darbības laikā rodas kļūda, piemēram, kļūme versijas pārbaudē vai autorizācijas pārbaudē, PR darbība tiek pārtraukta.

Saistītā informācija

  • Intel Agilex konfigurācijas lietotāja rokasgrāmata
  • Intel Quartus Prime Pro Edition lietotāja rokasgrāmata: atkļūdošanas rīki

Dokumenta pārskatīšanas vēsture AN 991: daļēja pārkonfigurācija, izmantojot konfigurācijas tapas (ārējais resursdators) atsauces dizains Intel Agilex F sērijas FPGA izstrādes panelim

Dokumenta versija Intel Quartus Prime versija Izmaiņas
2022.11.14 22.3 • Sākotnējā izlaišana.

AN 991: daļēja pārkonfigurācija, izmantojot konfigurācijas tapas (ārējais resursdators) atsauces dizains: Intel Agilex F sērijas FPGA izstrādes panelim

Atbildes uz populārākajiem FAQ:

  • Q Kas ir PR, izmantojot konfigurācijas tapas?
  • A Ārējā resursdatora konfigurācija 3. lpp
  • Q Kas man ir nepieciešams šim atsauces dizainam?
  • A Atsauces dizaina prasības 6. lpp
  • Q Kur es varu iegūt atsauces dizainu?
  • A Atsauces dizaina prasības 6. lpp
  • Q Kā veikt PR, izmantojot ārējo konfigurāciju?
  • A Atsauces dizaina apskats 6. lpp
  • Q Kas ir PR persona?
  • A Personu definēšana 11. lpp
  • Q Kā ieprogrammēt dēli?
  • A Programmējiet dēli 17. lpp
  • Q Kādas ir zināmās PR problēmas un ierobežojumi?
  • A Intel FPGA atbalsta forumi: PR
  • Q Vai jums ir apmācība par PR?
  • A Intel FPGA tehnisko apmācību katalogs

Tiešsaistes versija Sūtīt atsauksmes

  • ID: 750856
  • Versija: 2022.11.14

Dokumenti / Resursi

intel 750856 Agilex FPGA izstrādes padome [pdfLietotāja rokasgrāmata
750856, 750857, 750856 Agilex FPGA Development Board, Agilex FPGA Development Board, FPGA Development Board, Development Board, Board

Atsauces

Atstājiet komentāru

Jūsu e-pasta adrese netiks publicēta. Obligātie lauki ir atzīmēti *