intel 750856 Bòrd Leasachaidh Agilex FPGA
Fiosrachadh toraidh
Tha an dealbhadh iomraidh seo airson Bòrd Leasachaidh FPGA Intel Agilex F-Series. Bidh e a’ cleachdadh an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP agus tha roinn PR sìmplidh aige. Tha suidheachadh bathar-cruaidh aoigheachd taobh a-muigh inneal Intel Agilex air a dhèanamh suas de inneal taobh a-muigh (Helper FPGA), DUT FPGA, agus an dealbhadh aoigheachd taobh a-muigh agad. Tha an dealbhadh aoigheachd anns an inneal taobh a-muigh an urra ri bhith a 'toirt aoigheachd don phròiseas PR. Bithear a’ cleachdadh na prìneachan PR gus an dà inneal a cheangal agus faodaidh iad a bhith mar I/Os neach-cleachdaidh sam bith.
Stiùireadh airson cleachdadh bathar
Suidheachadh aoigheachd taobh a-muigh
Gus rèiteachadh aoigheachd taobh a-muigh a dhèanamh, lean na ceumannan seo:
- Cruthaich dealbhadh aoigheachd ann an inneal taobh a-muigh gus aoigheachd a thoirt don phròiseas PR.
- Ceangail na prìneachan PR bhon inneal taobh a-muigh ris an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP anns an DUT FPGA.
- Sruth dàta rèiteachaidh bhon dealbhadh aoigheachd gu prìneachan eadar-aghaidh sruthadh Intel Agilex Avalon a tha a rèir comharran crathadh làimhe PR bhon IP.
Ath-dhealbhadh pàirt tro obrachadh prìneachan rèiteachaidh
Tha an t-sreath a leanas a’ toirt cunntas air obrachadh ath-dhealbhadh pàirt tro phrìneachan rèiteachaidh:
- Dearbhaich am prìne pr_request ceangailte ris an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP.
- Tha an IP ag ràdh gu bheil comharra trang a’ nochdadh gu bheil am pròiseas PR a’ dol air adhart (roghainneil).
- Ma tha an siostam rèiteachaidh deiseil airson gnìomhachd PR, tha am prìne avst_ready air a dhearbhadh, a 'sealltainn gu bheil e deiseil airson gabhail ri dàta.
- Sruth an dàta rèiteachaidh PR thairis air na prìnichean avst_data agus am prìne avst_valid, a’ leantainn sònrachadh sruthadh Avalon airson gluasad dàta le backpressure.
- Bidh sruthadh a’ stad nuair a thèid am prìne avst_ready a dhì-dhearbhadh.
- Dèan dì-dhearbhadh am prìne avst_ready gus innse nach eil feum air barrachd dàta airson an obair PR.
- Bidh an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP a ’toirt air falbh an comharra trang gus deireadh a’ phròiseis a chomharrachadh (roghainneil).
Ath-dhealbhadh pàirt tro Dealbhadh Iomraidh prìneachan rèiteachaidh (òstair a-muigh).
Tha an nota tagraidh seo a’ nochdadh ath-dhealbhadh pàirt tro phrìneachan rèiteachaidh (aoigheachd a-muigh) air bòrd leasachaidh FPGA Intel® Agilex® F-Series.
Dealbhadh iomraidh thairis airview
Leigidh am feart ath-dhealbhachaidh pàirt (PR) leat cuibhreann den FPGA ath-dhealbhadh gu dinamach, fhad ‘s a tha an dealbhadh FPGA a tha air fhàgail fhathast ag obair. Faodaidh tu grunn phearsachan a chruthachadh airson sgìre sònraichte nad dhealbhadh nach toir buaidh air gnìomhachd ann an sgìrean taobh a-muigh na roinne seo. Tha am modh-obrach seo èifeachdach ann an siostaman far am bi ioma-ghnìomhan a’ roinn na h-aon ghoireasan inneal FPGA. Tha an dreach gnàthach de bhathar-bog Intel Quartus® Prime Pro Edition a’ toirt a-steach sruth cruinneachaidh ùr is nas sìmplidhe airson ath-dhealbhadh pàirt. Bidh an dealbhadh iomraidh Intel Agilex seo a’ cleachdadh an Rianadair Configuration Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP agus tha roinn PR sìmplidh aige.
Suidheachadh bathar-cruaidh aoigheachd taobh a-muigh inneal Intel Agilex
Suidheachadh aoigheachd taobh a-muigh
Ann an rèiteachadh aoigheachd taobh a-muigh, feumaidh tu an toiseach dealbhadh aoigheachd a chruthachadh ann an inneal taobh a-muigh gus aoigheachd a thoirt don phròiseas PR, mar a tha Intel Agilex Device External Host Hardware Setup a ’sealltainn. Bidh an dealbhadh aoigheachd a ’sruthadh dàta rèiteachaidh gu prìneachan eadar-aghaidh sruthadh Intel Agilex Avalon a tha a’ freagairt ris na comharran crathadh làimhe PR a tha a ’tighinn bhon Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP. Faodaidh na prìneachan PR a chleachdas tu gus an dà inneal a cheangal a bhith mar I/Os neach-cleachdaidh sam bith.
Tha an t-sreath a leanas a’ toirt cunntas air an ath-dhealbhadh pàirt tro obrachadh prìneachan rèiteachaidh:
- An-toiseach dearbhaich am pr_request prìne a tha ceangailte ris an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP.
- Tha an IP ag ràdh gu bheil comharra trang a’ nochdadh gu bheil am pròiseas PR a’ dol air adhart (roghainneil).
- Ma tha an siostam rèiteachaidh deiseil airson a dhol tro ghnìomhachd PR, tha am prìne avst_ready ag ràdh gu bheil e deiseil airson gabhail ri dàta.
- Tòisich a’ sruthadh an dàta rèiteachaidh PR thairis air na prìneachan avst_data agus am prìne avst_valid, fhad ‘s a choimheadas tu air sònrachadh sruthadh Avalon airson gluasad dàta le backpressure.
- Bidh sruthadh a’ stad nuair a thèid am prìne avst_ready a dhì-dhearbhadh.
- Às deidh a h-uile dàta rèiteachaidh a shruthladh, thèid am prìne avst_ready a dhì-dhearbhadh gus innse nach eil feum air barrachd dàta airson gnìomhachd PR.
- Bidh an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP a’ dìoghaltas a ’chomharra trang gus deireadh a’ phròiseis a chomharrachadh (roghainneil).
- Faodaidh tu sùil a thoirt air pr_done agus pr_error prìneachan gus dearbhadh an do chrìochnaich an obair PR gu soirbheachail. Ma thachras mearachd, leithid fàilligeadh ann an sgrùdadh dreach agus sgrùdadh ùghdarrais, thig an gnìomhachd PR gu crìch.
Fiosrachadh Co-cheangailte
- Kit Leasachaidh FPGA Sreath-F Intel Agilex Web Duilleag
- Leabhar-iùil cleachdaiche Kit Leasachaidh FPGA Intel Agilex-Series
- Leabhar-iùil cleachdaiche Intel Quartus Prime Pro Edition: Ath-dhealbhadh pàirt
Rianadair rèiteachaidh taobh a-muigh ath-dhealbhadh pàirt Intel FPGA IP
Feumaidh an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach prìneachan rèiteachaidh a chleachdadh gus dàta PR a shruthladh airson gnìomhachd PR. Feumaidh tu a h-uile port àrd-ìre den Rianadair Rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP a cheangal ris a’ phrìne pr_request gus leigeil le crathadh làimhe an aoigh leis a’ mhanaidsear inneal tèarainte (SDM) bhon chridhe. Bidh an SDM a’ dearbhadh dè na seòrsaichean prìneachan rèiteachaidh a chleachdas tu, a rèir do shuidheachadh MSEL.
Rianadair rèiteachaidh taobh a-muigh ath-dhealbhadh pàirt Intel FPGA IP
Ath-dhealbhadh pàirt roghainnean paramadair rianadair rèiteachaidh taobh a-muigh
Paramadair | Luach | Tuairisgeul |
Dèan comas air Eadar-aghaidh Busy | Dèan comas or
Cuir à comas |
A’ leigeil leat an eadar-aghaidh Busy a chomasachadh no a chur à comas, a tha a’ dearbhadh comharra gus sealltainn gu bheil giullachd PR a’ dol air adhart rè rèiteachadh a-muigh.
Tha an suidheachadh bunaiteach Cuir à comas. |
Ath-dhealbhadh pàirt puirt rianadair rèiteachaidh taobh a-muigh
Ainm Port | Leud | Stiùir | Gnìomh |
pr_iarrtas | 1 | Cuir a-steach | A’ nochdadh gu bheil am pròiseas PR deiseil airson tòiseachadh. Tha an comharra na inneal nach eil co-chosmhail ri comharra cloc sam bith. |
pr_mearachd | 2 | Toradh | A’ nochdadh mearachd ath-rèiteachaidh pàirt.:
• 2'b01 - mearachd PR coitcheann • 2'b11 - mearachd bitstream neo-fhreagarrach Tha na comharran sin nan innealan nach eil co-chosmhail ri stòr cloc sam bith. |
dèante | 1 | Toradh | A’ nochdadh gu bheil am pròiseas PR crìochnaichte. Tha an comharra na inneal nach eil co-chosmhail ri comharra cloc sam bith. |
tòisich_addr | 1 | Cuir a-steach | Sònraich seòladh tòiseachaidh dàta PR ann an Active Serial Flash. Bheir thu comas don chomharra seo le bhith a’ taghadh an dàrna cuid Avalon®-ST or Sreath gnìomhach airson an Dèan comas air prìnichean Avalon-ST no prìneachan sreathach gnìomhach paramadair. Tha an comharra na inneal nach eil co-chosmhail ri comharra cloc sam bith. |
ath-shuidheachadh | 1 | Cuir a-steach | Comharra ath-shuidheachadh gnìomhach àrd, sioncronaich. |
a-mach_clk | 1 | Toradh | Stòr cloc a ghineadh bho oscillator a-staigh. |
trang | 1 | Toradh | Tha an IP ag ràdh gu bheil an comharra seo a’ comharrachadh gluasad dàta PR a’ dol air adhart. Bheir thu comas don chomharra seo le bhith a’ taghadh Dèan comas airson an Dèan comas air eadar-aghaidh trang paramadair. |
Riatanasan dealbhaidh iomraidh
Feumaidh cleachdadh an dealbhaidh iomraidh seo na leanas:
- Stàladh an Intel Quartus Prime Pro Edition dreach 22.3 le taic airson teaghlach inneal Intel Agilex.
- Ceangal ri bòrd leasachaidh Intel Agilex F-Series FPGA air a’ bheing.
- Luchdaich a-nuas dealbhadh exampri fhaighinn san àite a leanas: https://github.com/intel/fpga-partial-reconfig.
Luchdaich a-nuas dealbhadh example:
- Cliog Clone no luchdaich sìos.
- Cliog air Luchdaich sìos zip. Unzip am faidhle fpga-partial-reconfig-master.zip file.
- Seòl gu fo-phasgan clasaichean oideachaidh/agilex_external_pr_configuration gus faighinn chun dealbhadh iomraidh.
Dealbhadh iomraidh Walkthrough
Tha na ceumannan a leanas a’ toirt cunntas air buileachadh ath-dhealbhadh pàirt tro phrìneachan rèiteachaidh (aoigheachd a-muigh) air bòrd leasachaidh FPGA Intel Agilex F-Series:
- Ceum 1: Toiseach tòiseachaidh
- Ceum 2: A 'cruthachadh earrann dealbhaidh
- Ceum 3: A 'riarachadh Roinnean Greis Gnìomhachais agus Slighe
- Ceum 4: A’ cur ris an Rianadair Configuration Taobh a-muigh Ath-dhealbhadh Pàirteach IP
- Ceum 5: Pearsan a mhìneachadh
- Ceum 6: Cruthachadh Ath-sgrùdaidhean
- Ceum 7: A' cur ri chèile an Ath-bhreith Bhunait
- Ceum 8: Ag ullachadh Ath-sgrùdaidhean Buileachaidh PR
- Ceum 9: Prògramachadh a’ Bhùird
Ceum 1: Toiseach tòiseachaidh
Gus lethbhreac a dhèanamh den dealbhadh iomraidh files don àrainneachd obrach agad agus cuir ri chèile an dealbhadh còmhnard blinking_led:
- Cruthaich eòlaire san àrainneachd obrach agad, agilex_pcie_devkit_blinking_led_pr.
- Dèan lethbhreac den oideachadh a chaidh a luchdachadh sìos / agilex_pcie_devkit_blinking_led/flat fo-phasgan don eòlaire, agilex_pcie_devkit_blinking_led_pr.
- Ann am bathar-bog Intel Quartus Prime Pro Edition, cliog File ➤ Fosgail am Pròiseact agus tagh blinking_led.qpf.
- Gus rangachd an dealbhadh còmhnard a mhìneachadh, cliog Pròiseas ➤ Tòisich ➤ Tòisich Mion-sgrùdadh & Synthesis. Air neo, aig an loidhne-àithne, ruith an àithne a leanas: quartus_syn blinking_led -c blinking_led
A 'cruthachadh earrann dealbhaidh
Feumaidh tu pìosan dealbhaidh a chruthachadh airson gach roinn PR a tha thu airson ath-dhealbhadh gu ìre. Cruthaichidh na ceumannan a leanas sgaradh dealbhaidh airson an eisimpleir u_blinking_led.
A 'cruthachadh earrannan dealbhaidh
- Dèan briogadh deas air an eisimpleir u_blinking_led anns a’ Project Navigator agus cliog air Design Partition ➤ Reconfigurable. Bidh ìomhaigh sgaradh dealbhaidh a’ nochdadh ri taobh gach suidheachadh a tha air a shuidheachadh mar sgaradh.
- Cliog Sònrachaidhean ➤ Uinneag Pàirtean Dealbhaidh. Bidh an uinneag a’ taisbeanadh a h-uile pàirt dealbhaidh sa phròiseact.
- Deasaich ainm an sgaradh ann an uinneag nam pàirtean dealbhaidh le bhith a’ briogadh dùbailte air an ainm. Airson an dealbhadh iomraidh seo, ath-ainmich ainm an sgaradh gu pr_partition
- Thoir an aire: Nuair a chruthaicheas tu sgaradh, bidh am bathar-bog Intel Quartus Prime gu fèin-ghluasadach a’ gineadh ainm sgaradh, stèidhichte air ainm an eisimpleir agus slighe rangachd. Faodaidh an t-ainm sgaradh bunaiteach seo atharrachadh a rèir gach suidheachadh.
- Gus an roinn statach deireannach às-mhalairt bhon bhun-stèidh ath-sgrùdaidh, dèan briogadh dùbailte air an inntrig airson root_partition anns an Post Final Export File colbh, agus dèan seòrsa blinking_led_static. gdb.
Às-mhalairt dealbh deireannach Post ann an Uinneag Pàirtean DealbhaidhDèan cinnteach gu bheil na sònrachaidhean a leanas ann am blinking_led.qsf, a’ freagairt air an roinn dealbhaidh ath-rèiteachaidh agad:
Fiosrachadh Co-cheangailte
“Cruthaich Pàirtean Dealbhaidh” ann an Stiùireadh Cleachdaiche Intel Quartus Prime Pro Edition: Ath-dhealbhadh pàirt
A 'riarachadh sgìre greis gnìomhachais agus slighe airson sgaradh PR
Airson gach ath-sgrùdadh bunaiteach a chruthaicheas tu, bidh an sruth dealbhaidh PR a’ cur a’ chridhe pearsa co-fhreagarrach anns an roinn roinn PR agad. Gus an roinn PR a lorg agus a shònrachadh ann an làr an uidheim airson an ath-sgrùdadh bunaiteach agad:
- Dèan briogadh deas air an eisimpleir u_blinking_led anns a’ Project Navigator agus cliog air Logic Lock Region ➤ Cruthaich Roinn Lock Logic Ùr. Tha an roinn a’ nochdadh air an uinneag Logic Lock Regions.
- Feumaidh an roinn suidheachaidh agad an loidsig blinking_led a chuartachadh. Tagh an roinn suidheachaidh le bhith a’ lorg an nód ann an Chip Planner. Dèan briogadh deas air an ainm sgìre u_blinking_led ann an uinneag Logic Lock Regions agus cliog
Lorg Node ➤ Lorg ann an Chip Planner. Tha còd dath air an roinn u_blinking_led
Suidheachadh Node Planner Chip airson blinking_led
- Anns an uinneag Logic Lock Regions, sònraich na co-chomharran sgìre suidheachaidh sa cholbh Origin. Tha an tùs a 'freagairt ris an oisean gu h-ìosal air an taobh chlì den roinn. Airson example, gus roinn suidheachaidh a shuidheachadh le (X1 Y1) co-chomharran mar (163 4), sònraich an Tùs mar X163_Y4. Bidh bathar-bog Intel Quartus Prime gu fèin-obrachail a’ tomhas na co-chomharran (X2 Y2) (gu h-àrd air an làimh dheis) airson na sgìre suidheachaidh, stèidhichte air an àirde agus an leud a shònraich thu.
- Thoir an aire: Bidh an oideachadh seo a’ cleachdadh na co-chomharran (X1 Y1) – (163 4), agus àirde is leud 20 airson an roinn suidheachaidh. Mìnich luach sam bith airson na sgìre suidheachaidh. Dèan cinnteach gu bheil an roinn a’ còmhdach an loidsig blinking_led.
- Dèan comas air na roghainnean Glèidhte agus Core-Only.
- Dèan briogadh dùbailte air an roghainn Routing Region. Nochdaidh bogsa deasbaid Logic Lock Routing Region Settings.
- Tagh Fixed le leudachadh airson an seòrsa Routing. Le bhith a’ taghadh an roghainn seo gu fèin-obrachail a’ sònrachadh fad leudachaidh de 2.
- Thoir an aire: Feumaidh an roinn slighe a bhith nas motha na an roinn suidheachaidh, gus sùbailteachd a bharrachd a thoirt don Fhùirneis nuair a bhios an einnsean a’ ruith diofar dhaoine.
Uinneag Sgìrean Lock LogicDèan cinnteach gu bheil na sònrachaidhean a leanas ann am blinking_led.qsf, a rèir do phlanadh-làr:
Fiosrachadh Co-cheangailte
“Floorplan an Dealbhadh Ath-dhealbhachaidh Pàirteach” ann an Stiùireadh Cleachdaiche Intel Quartus Prime Pro Edition: Ath-dhealbhadh pàirt
A’ cur ris an Rianadair rèiteachaidh taobh a-muigh ath-dhealbhadh pàirt Intel FPGA IP
Bidh an Rianadair Co-rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach Intel FPGA IP ag eadar-aghaidh le bloc smachd Intel Agilex PR gus stòr bitstream a riaghladh. Feumaidh tu an IP seo a chur ris an dealbhadh agad gus rèiteachadh taobh a-muigh a chuir an gnìomh. Lean na ceumannan seo gus an Rianadair Rèiteachaidh Taobh a-muigh Ath-dhealbhadh Pàirteach a chuir ris
Intel FPGA IP don phròiseact agad:
- Seòrsa Ath-dhealbhadh Pàirteach ann an raon sgrùdaidh Catalog IP (Innealan ➤ IP Catalog).
- Dèan briogadh dùbailte air Ath-dhealbhadh Pàirteach Rianadair rèiteachaidh taobh a-muigh Intel FPGA IP.
- Anns a’ bhogsa deasbaireachd Create IP Variant, dèan seòrsa external_host_pr_ip mar an File ainm, agus an uairsin cliog Cruthaich. Nochdaidh deasaiche paramadair.
- Airson an Dèan comas air paramadair eadar-aghaidh trang, tagh Disable (an suidheachadh bunaiteach). Nuair a dh'fheumas tu an comharra seo a chleachdadh, faodaidh tu an suidheachadh atharrachadh gu Dèan comas.
Dèan comas air paramadair eadar-aghaidh trang ann an deasaiche paramadair
- Cliog File ➤ Sàbhail agus fàg an deasaiche paramadair gun a bhith a’ gineadh an t-siostam. Bidh an deasaiche paramadair a’ gineadh an tionndadh IP external_host_pr_ip.ip file agus a' cur ris an file dhan phròiseact blinking_led. AN 991: Ath-dhealbhadh pàirt tro phrìneachan rèiteachaidh (òstair a-muigh) Dealbhadh Iomraidh 750856 | 2022.11.14 AN 991:
- Thoir an aire:
- a. Ma tha thu a’ dèanamh lethbhreac dhen external_host_pr_ip.ip file bhon eòlaire pr, deasaich am blinking_led.qsf le làimh file gus an loidhne a leanas a ghabhail a-steach: set_global_assignment -name IP_FILE pr_ip.ip
- b. Cuir an IP_FILE obair às dèidh an SDC_FILE sònrachaidhean (blinking_led. dc) nad blinking_led.qsf file. Bidh an t-òrdugh seo a’ dèanamh cinnteach gu bheil cuingealachadh iomchaidh air cridhe IP an Rianadair Ath-dhealbhachaidh Pàirteach.
- Thoir an aire: Gus na clocaichean a lorg, tha an .sdc file oir feumaidh an IP PR leantainn .sdc sam bith a chruthaicheas na clocaichean a bhios cridhe an IP a’ cleachdadh. Bidh thu a’ comasachadh an òrdugh seo le bhith a’ dèanamh cinnteach gu bheil an .ip file oir tha cridhe PR IP a’ nochdadh às deidh .ip sam bith files o .sdc files a chleachdas tu gus na clocaichean seo a mhìneachadh san .qsf file airson an ath-sgrùdadh pròiseact Intel Quartus Prime agad. Airson tuilleadh fiosrachaidh, thoir sùil air an Stiùireadh Cleachdaiche Fuasglaidhean IP Ath-dhealbhadh Pàirteach.
Luchdaich a-nuas an dealbhadh àrd-ìre
Gus an ùraich thu an top.sv file leis an eisimpleir PR_IP:
- Gus an t-eisimpleir external_host_pr_ip a chur ris an dealbhadh àrd-ìre, cuir às do na blocaichean còd a leanas anns an top.sv file:
A' mìneachadh dhaoine
Tha an dealbhadh iomraidh seo a’ mìneachadh trì pearsachan fa leth airson an aon sgaradh PR. Gus na daoine sa phròiseact agad a mhìneachadh agus a thoirt a-steach:
- Cruthaich trì SystemVerilog files, blinking_led.sv, blinking_led_slow.sv, agus blinking_led_empty.sv anns an eòlaire obrach agad airson na trì pearsaichean.
Pearsan dealbhaidh iomraidh
Thoir an aire:
- tha blinking_led.sv ri fhaighinn mar-thà mar phàirt den files gun dèan thu leth-bhreac bhon flat/fo-eòlaire. Faodaidh tu dìreach seo ath-chleachdadh file.
- Ma chruthaicheas tu am faidhle SystemVerilog files bho neach-deasachaidh Intel Quartus Prime Text, cuir à comas an Add file gu roghainn pròiseict gnàthach, nuair a shàbhalas tu am faidhle files.
Cruthachadh Ath-sgrùdaidhean
Bidh an sruth dealbhaidh PR a’ cleachdadh feart ath-sgrùdaidhean pròiseict ann am bathar-bog Intel Quartus Prime. Is e an dealbhadh tùsail agad an ath-sgrùdadh bunaiteach, far am bi thu a’ mìneachadh crìochan sgìre statach agus roinnean ath-rèiteachaidh air an FPGA. Bhon ath-sgrùdadh bunaiteach, cruthaichidh tu grunn ath-sgrùdaidhean. Anns na h-ath-sgrùdaidhean sin tha na diofar ghnìomhan airson na roinnean PR. Ach, bidh a h-uile ath-sgrùdadh buileachaidh PR a’ cleachdadh an aon suidheachadh àrd-ìre agus toraidhean slighe bhon ath-sgrùdadh bunaiteach. Gus dealbhadh PR a chur ri chèile, feumaidh tu ath-sgrùdadh buileachaidh PR a chruthachadh airson gach pearsa. A bharrachd air an sin, feumaidh tu seòrsaichean ath-sgrùdaidh a shònrachadh airson gach aon de na h-ath-sgrùdaidhean. Is iad na seòrsaichean ath-sgrùdaidhean a tha rim faighinn:
- Ath-dhealbhadh pàirt - Bunait
- Ath-dhealbhadh pàirt - Cur an gnìomh Pearsa
Tha an clàr a leanas a’ liostadh an ainm mùthaidh agus an seòrsa mùthaidh airson gach aon de na mùthaidhean:
Ainmean agus Seòrsan Ath-sgrùdaidh
Ainm Ath-sgrùdaidh | Seòrsa Ath-sgrùdaidh |
a' priobadh_led.qsf | Ath-dhealbhadh pàirt - Bunait |
blinking_led_default.qsf | Ath-dhealbhadh pàirt - Cur an gnìomh Pearsa |
blinking_led_slow.qsf | Ath-dhealbhadh pàirt - Cur an gnìomh Pearsa |
a' priobadh_led_falamh.qsf | Ath-dhealbhadh pàirt - Cur an gnìomh Pearsa |
A 'suidheachadh an t-seòrsa ath-sgrùdaidh bunaiteach
- Cliog air Pròiseact ➤ Ath-sgrùdaidhean.
- Ann an Ainm Ath-sgrùdaidh, tagh am mùthadh blinking_led, agus an uairsin cliog Suidhich an-dràsta.
- Cliog air Cuir a-steach. Tha am mùthadh blinking_led a' sealltainn mar am mùthadh làithreach.
- Gus an Seòrsa Ath-sgrùdaidh a shuidheachadh airson blinking_led, cliog Sònrachaidhean ➤ Settings ➤ Coitcheann.
- Airson Seòrsa Ath-sgrùdaidh, tagh Ath-dhealbhadh Pàirteach - Bunait, agus an uairsin cliog air OK.
- Dèan cinnteach gu bheil an obair a leanas anns a' blinking_led.qsf a-nis: ##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
Cruthachadh Ath-sgrùdaidhean Buileachaidh
- Gus am bogsa deasbaid Ath-sgrùdaidhean fhosgladh, cliog air Pròiseact ➤ Ath-sgrùdaidhean.
- Gus mùthadh ùr a chruthachadh, dèan briogadh dùbailte < >.
- Ann an ainm Ath-sgrùdaidh, sònraich blinking_led_default agus tagh blinking_led airson Stèidhichte air ath-sgrùdadh.
- Airson an seòrsa Ath-sgrùdaidh, tagh Ath-dhealbhadh Pàirteach - PersonaImplementation.
Cruthachadh Ath-sgrùdaidhean
- San aon dòigh, suidhich an seòrsa Ath-sgrùdaidh airson mùthaidhean blinking_led_slow agus blinking_led_empty.
- Dearbhaich gu bheil gach .qsf file a-nis tha an obair a leanas ann: set_global_assignment -name REVISION_TYPE PR_IMPL set_instance_assignment -name ENTITY_REBINDING \ place_holder -to u_blinking_led far a bheil, place_holder an t-ainm bunaiteach eintiteas airson an ath-sgrùdaidh gnìomhachaidh PR a chaidh a chruthachadh às ùr.
Ath-sgrùdaidhean pròiseict
A’ cur ri chèile an Ath-sgrùdadh Bunait
- Gus an ath-sgrùdadh bunaiteach a chuir ri chèile, cliog Pròiseas ➤ Tòisich Cruinneachadh. Air an làimh eile, tha an àithne a leanas a 'cur ri chèile an ath-sgrùdadh bunaiteach: quartus_sh -flow compile blinking_led -c blinking_led
- Dèan sgrùdadh air bitstream files a ghineadh san toradh_files eòlaire.
Gineadh Files
Ainm | Seòrsa | Tuairisgeul |
blinking_led.sof | Prògramachadh bunasach file | Air a chleachdadh airson rèiteachadh bunait làn-chip |
blinking_led.pr_partition.rbf | PR bitstream file airson persona bunaiteach | Air a chleachdadh airson ath-dhealbhadh pàirt den neach bunaiteach. |
blinking_led_static.qdb | Stòr-dàta .qdb file | Stòr-dàta crìochnaichte file air a chleachdadh gus an sgìre statach a thoirt a-steach. |
Fiosrachadh Co-cheangailte
- “Floorplan an Dealbhadh Ath-dhealbhachaidh Pàirteach” ann an Stiùireadh Cleachdaiche Intel Quartus Prime Pro Edition: Ath-dhealbhadh pàirt
- “A’ cur an sàs cuingeadan Floorplan mean air mhean” ann an Intel Quartus Prime Pro Edition Stiùireadh Cleachdaiche: Ath-dhealbhadh pàirt
Ag ullachadh Ath-sgrùdaidhean Buileachaidh PR
Feumaidh tu na h-ath-sgrùdaidhean buileachaidh PR ullachadh mus urrainn dhut an bitstream PR a chur ri chèile agus a ghineadh airson prògramadh innealan. Tha an suidheachadh seo a’ toirt a-steach cur ris an roinn statach .qdb file mar an tùs file airson gach ath-sgrùdadh buileachaidh. A bharrachd air an sin, feumaidh tu an eintiteas co-fhreagarrach den roinn PR a shònrachadh.
- Gus am mùthadh làithreach a shuidheachadh, cliog air Pròiseact ➤ Ath-sgrùdaidhean, tagh blinking_led_default mar ainm an Ath-sgrùdaidh, agus an uairsin cliog Suidhich an-dràsta.
- Gus an stòr ceart airson gach mùthadh buileachaidh a dhearbhadh, cliog air Pròiseact ➤Cuir ris/Thoir air falbh Files ann am Pròiseact. Tha am blinking_led.sv file nochdadh anns an file liosta.
Files Duilleag
- Dèan a-rithist ceumannan 1 tro 2 gus an stòr ath-sgrùdaidh gnìomhachaidh eile a dhearbhadh files:
Ainm Ath-sgrùdaidh Gnìomhachaidh | Stòr File |
blinking_led_default | a' priobadh_led.sv |
a' priobadh_led_falamh | blinking_led_empty.sv |
blinking_led_slow | blinking_led_slow.sv |
- Gus dearbhadh a dhèanamh air an .qdb file co-cheangailte ris an sgaradh freumh, cliog Sònrachaidhean ➤ Dealbhadh Pàirtean Uinneag. Dearbhaich gu bheil an Stòr-dàta Partition File a' sònrachadh am blinking_led_static.qdb file, no briogadh dùbailte air an Stòr-dàta Pàirtidh File cealla airson seo a shònrachadh file. Air neo, tha an àithne a leanas a’ sònrachadh seo file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
- Anns a’ chill Ath-cheangail Entity, sònraich ainm eintiteas gach sgaradh PR a dh’ atharraicheas tu san ath-sgrùdadh buileachaidh. Airson an ath-sgrùdadh buileachaidh blinking_led_default, tha ainm an eintiteas blinking_led. San oideachadh seo, bidh thu a’ sgrìobhadh thairis air an eisimpleir u_blinking_led bhon ath-sgrùdadh bunaiteach leis an eintiteas ùr blinking_led.
Thoir an aire: Thèid sònrachadh ath-cheangail eintiteas neach-àite a chuir ris an ath-sgrùdadh buileachaidh gu fèin-ghluasadach. Ach, feumaidh tu ainm bunaiteach an eintiteas san t-sònrachadh atharrachadh gu ainm eintiteas iomchaidh airson do dhealbhadh.
Ainm Ath-sgrùdaidh Gnìomhachaidh | Ath-cheangal eintiteas |
blinking_led_default | blinking_led |
blinking_led_slow | blinking_led_slow |
a' priobadh_led_falamh | a' priobadh_led_falamh |
Ath-cheangal eintiteas
- Gus an dealbhadh a chuir ri chèile, cliog Pròiseas ➤ Start Compilation. Air neo, tha an àithne a leanas a’ cur ri chèile a’ phròiseact seo: quartus_sh –flow compile blinking_led –c blinking_led_default
- Dèan ath-aithris air na ceumannan gu h-àrd gus ath-sgrùdaidhean blinking_led_slow agus blinking_led_empty ullachadh: quartus_sh –flow compile blinking_led –c blinking_led_slow quartus_sh –flow compile blinking_led –c blinking_led_empt
Thoir an aire: Faodaidh tu roghainnean sònraichte Fitter sam bith a shònrachadh a tha thu airson a chuir an sàs rè co-chruinneachadh buileachaidh PR. Chan eil buaidh aig suidheachaidhean sònraichte an neach-gleidhidh ach air iomchaidheachd an neach, gun a bhith a’ toirt buaidh air an roinn statach a chaidh a thoirt a-steach.
Prògramachadh a’ Bhùird
Bidh an oideachadh seo a’ cleachdadh bòrd leasachaidh Intel Agilex F-Series FPGA air a’ bheing, taobh a-muigh an t-slot PCIe * san inneal aoigheachd agad. Mus dèan thu prògram air a’ bhòrd, dèan cinnteach gu bheil thu air na ceumannan a leanas a choileanadh:
- Ceangail an solar cumhachd ri bòrd leasachaidh Intel Agilex F-Series FPGA.
- Ceangail Càball Luchdaich sìos Intel FPGA eadar port USB a ’PC agad agus port Càball Luchdaich sìos Intel FPGA air a’ bhòrd leasachaidh.
Gus an dealbhadh a ruith air bòrd leasachaidh Intel Agilex F-Series FPGA:
- Fosgail am bathar-bog Intel Quartus Prime agus cliog Innealan ➤ Prògramadair.
- Anns a 'Phrògramaiche, briog air Hardware Setup agus tagh USB-Blaster.
- Cliog air Auto Detect agus tagh an inneal, AGFB014R24AR0.
- Cliog air OK. Bidh bathar-bog Intel Quartus Prime a’ lorg agus ag ùrachadh a’ Phrògramadair leis na trì innealan FPGA air a’ bhòrd.
- Tagh an inneal AGFB014R24AR0, cliog Atharraich File agus luchdaich am blinking_led_default.sof file.
- Dèan comas air Prògram/Configure airson blinking_led_default.sof file.
- Cliog air Start agus feitheamh gus an ruig am bàr adhartais 100%.
- Thoir sùil air na LEDan air a 'bhòrd a' priobadh aig an aon tricead ris an dealbhadh còmhnard tùsail.
- Gus an roinn PR a-mhàin a phrògramadh, dèan briogadh deas air blinking_led_default.sof file anns a’ Phrògramadair agus cliog Cuir PR Programming ris File.
- Tagh am blinking_led_slow.pr_partition.rbf file.
- Cuir à comas am prògram / rèiteachadh airson blinking_led_default.sof file.
- Dèan comas air Prògram / Dèan rèiteachadh airson blinking_led_slow.pr_partition.rbf file agus cliog Tòisich. Air a’ bhòrd, faic LED[0] agus LED[1] a’ leantainn orra a’ priobadh. Nuair a ruigeas am bàr adhartais 100%, bidh LED[2] agus LED[3] a’ priobadh nas slaodaiche.
- Gus an roinn PR ath-chlàradh, cliog deas air an .rbf file anns a’ Phrògramadair agus cliog Atharraich Prògramadh PR File.
- Tagh am faidhle .rbf files gum faic an dithis eile an giùlan air a’ bhòrd. A’ luchdachadh am blinking_led_default.rbf file ag adhbhrachadh gum bi na LEDan a’ priobadh aig tricead sònraichte, agus a’ luchdachadh am blinking_led_empty.rbf file ag adhbhrachadh gum fuirich na LEDs ON.
A’ prògramadh Bòrd Leasachaidh FPGA Intel Agilex F-Series
Sruth deuchainn bathar-cruaidh
Tha na sreathan a leanas a’ toirt cunntas air sruth deuchainn bathar-cruaidh dealbhaidh iomraidh.
Suidheachadh bathar-cruaidh aoigheachd taobh a-muigh inneal Intel Agilex
Prògram an Neach-cuideachaidh FPGA (Neach-aoigheachd a-muigh)
Tha an t-sreath a leanas a’ toirt cunntas air prògramadh an neach-cuideachaidh FPGA a bhios ag obair mar phròiseas PR aoigheachd taobh a-muigh:
- Sònraich suidheachadh eadar-aghaidh sruthadh Avalon a tha a rèir a’ mhodh a thaghas tu (x8, x16, no x32).
- Tòisich an àrd-ùrlar le bhith a’ prògramadh an neach-cuideachaidh FPGA a’ cleachdadh an Intel Quartus Prime Programr agus càball rèiteachaidh ceangailte.
- A’ cleachdadh an neach-cuideachaidh FPGA, leugh na comharran CONF_DONE agus AVST_READY. Bu chòir do CONF_DONE a bhith 0, bu chòir gum biodh AVST_READY 1. Tha loidsig àrd air a’ phrìne seo a’ sealltainn gu bheil an SDM deiseil airson gabhail ri dàta bho òstair bhon taobh a-muigh. Tha an toradh seo mar phàirt den SDM I/O.
Thoir an aire: Tha am prìne CONF_DONE a’ comharrachadh aoigheachd bhon taobh a-muigh gu bheil gluasad bitstream soirbheachail. Cleachd na comharran sin a-mhàin gus sùil a chumail air a’ phròiseas rèiteachaidh làn chip. Thoir sùil air an Intel Agilex Configuration User Guide airson tuilleadh fiosrachaidh mun phrìne seo.
Prògram an DUT FPGA le Full Chip SOF tro External Host Tha an t-sreath a leanas a’ toirt cunntas air prògramadh an DUT FPGA leis an làn chip SRAM Object File (.sof) a’ cleachdadh eadar-aghaidh sruthadh Avalon aoigheachd:
- Sgrìobh an làn-chip bitstream a-steach do chuimhne taobh a-muigh DDR4 an neach-cuideachaidh FPGA (aoigheachd a-muigh).
- Dèan rèiteachadh air an DUT FPGA leis an làn chip .sof a’ cleachdadh eadar-aghaidh sruthadh Avalon (x8, x16, x32).
- Leugh na comharran rèiteachaidh inbhe DUT FPGA. Bu chòir do CONF_DONE a bhith 1, bu chòir dha AVST_READY a bhith 0.
Sònrachaidhean ùine: Ath-dhealbhadh pàirt Rianadair Taobh a-muigh Intel FPGA IP
Prògram an DUT FPGA leis a’ Chiad Phearsa tro Neach-aoigheachd Taobh a-muigh
- Cuir an reothadh air an roinn PR targaid anns an DUT FPGA.
- A’ cleachdadh an Intel Quartus Prime System Console, dearbhaich pr_request gus an ath-dhealbhadh pàirt a thòiseachadh. Bu chòir gum biodh AVST_READY 1.
- Sgrìobh a’ chiad neach PR a-steach do chuimhne taobh a-muigh DDR4 den neach-cuideachaidh FPGA (aoigheachd a-muigh).
- A’ cleachdadh eadar-aghaidh sruthadh Avalon (x8, x16, x32), ath-dhealbhaich an DUT FPGA leis a’ chiad persona bitstream.
- Gus sùil a chumail air inbhe PR, cliog Innealan ➤ System Console gus System Console a chuir air bhog. Ann an System Console, cùm sùil air inbhe PR:
- Is e pr_error 2 - ath-dhealbhadh sa phròiseas.
- tha pr_error 3 - tha an ath-dhealbhadh deiseil.
- Cuir a-steach neo-reothadh air an roinn PR anns an DUT FPGA.
Thoir an aire: Ma thachras mearachd rè obrachadh PR, leithid fàilligeadh ann an sgrùdadh dreach no sgrùdadh ùghdarrais, thig an gnìomhachd PR gu crìch.
Fiosrachadh Co-cheangailte
- Stiùireadh cleachdaiche rèiteachaidh Intel Agilex
- Stiùireadh cleachdaiche Intel Quartus Prime Pro Edition: Innealan Debug
Eachdraidh Ath-sgrùdadh Sgrìobhainn airson AN 991: Ath-dhealbhadh pàirt tro Phìneachan Configuration (Taigh a-muigh) Dealbhadh Iomraidh airson Bòrd Leasachaidh FPGA Intel Agilex F-Series
Tionndadh Sgrìobhainn | Intel Quartus Prìomh Tionndadh | Atharrachaidhean |
2022.11.14 | 22.3 | • Sgaoileadh tùsail. |
AN 991: Ath-dhealbhadh pàirt tro phionnaichean rèiteachaidh (aoigheachd a-muigh) Dealbhadh Iomraidh: airson Bòrd Leasachaidh FPGA Intel Agilex-Series F
Freagairtean do na Ceistean Cumanta as Fheàrr:
- Q Dè a th’ ann an PR tro phrìneachan rèiteachaidh?
- A Rèiteachadh aoigheachd taobh a-muigh air duilleag 3
- Q Dè a dh'fheumas mi airson an dealbhadh iomraidh seo?
- A Riatanasan dealbhaidh iomraidh air duilleag 6
- Q Càite am faigh mi an dealbhadh iomraidh?
- A Riatanasan dealbhaidh iomraidh air duilleag 6
- Q Ciamar a nì mi PR tro rèiteachadh taobh a-muigh?
- A Tadhail air Dealbhadh Iomraidh air duilleag 6
- Q Dè a th’ ann am pearsa PR?
- A A’ mìneachadh dhaoine air duilleag 11
- Q Ciamar a nì mi prògramadh air a’ bhòrd?
- A Luchdaich a-nuas am Bòrd air duilleag 17
- Q Dè na cùisean agus cuingeadan PR aithnichte?
- A Fòraman Taic Intel FPGA: PR
- Q A bheil trèanadh agad air PR?
- A Catalog Trèanaidh Teicnigeach Intel FPGA
Tionndadh air-loidhne Cuir fios air ais
- ID: 750856
- Tionndadh: 2022.11.14
Sgrìobhainnean/Goireasan
![]() |
intel 750856 Bòrd Leasachaidh Agilex FPGA [pdfStiùireadh Cleachdaiche 750856, 750857, 750856 Bòrd Leasachaidh Agilex FPGA, Bòrd Leasachaidh Agilex FPGA, Bòrd Leasachaidh FPGA, Bòrd Leasachaidh, Bòrd |