മൈക്രോചിപ്പ് - ലോഗോ പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ ഉപയോക്തൃ ഗൈഡ്
ലിബറോ SoC v2024.2

ആമുഖം (ഒരു ചോദ്യം ചോദിക്കുക)

ലിബറോ സിസ്റ്റം-ഓൺ-ചിപ്പ് (SoC) സോഫ്റ്റ്‌വെയർ പൂർണ്ണമായും സംയോജിപ്പിച്ച ഒരു ഫീൽഡ് പ്രോഗ്രാമബിൾ ഗേറ്റ് അറേ (FPGA) ഡിസൈൻ പരിതസ്ഥിതി നൽകുന്നു. എന്നിരുന്നാലും, കുറച്ച് ഉപയോക്താക്കൾ ലിബറോ SoC പരിതസ്ഥിതിക്ക് പുറത്തുള്ള മൂന്നാം കക്ഷി സിന്തസിസും സിമുലേഷൻ ഉപകരണങ്ങളും ഉപയോഗിക്കാൻ ആഗ്രഹിച്ചേക്കാം. ലിബറോ ഇപ്പോൾ FPGA ഡിസൈൻ പരിതസ്ഥിതിയിലേക്ക് സംയോജിപ്പിക്കാൻ കഴിയും. മുഴുവൻ FPGA ഡിസൈൻ ഫ്ലോയും കൈകാര്യം ചെയ്യുന്നതിന് ലിബറോ SoC ഉപയോഗിക്കാൻ ശുപാർശ ചെയ്യുന്നു.
ഈ ഉപയോക്തൃ ഗൈഡ് പോളാർഫയർ, പോളാർഫയർ SoC ഫാമിലി ഉപകരണങ്ങൾക്കായുള്ള കസ്റ്റം ഫ്ലോ വിവരിക്കുന്നു, ഇത് വലിയ FPGA ഡിസൈൻ ഫ്ലോയുടെ ഭാഗമായി ലിബറോയെ സംയോജിപ്പിക്കുന്നതിനുള്ള ഒരു പ്രക്രിയയാണ്. പിന്തുണയ്ക്കുന്ന ഉപകരണ കുടുംബങ്ങൾ® ലിബറോ SoC പിന്തുണയ്ക്കുന്ന ഉപകരണ കുടുംബങ്ങളെ ഇനിപ്പറയുന്ന പട്ടിക പട്ടികപ്പെടുത്തുന്നു. എന്നിരുന്നാലും, ഈ ഗൈഡിലെ ചില വിവരങ്ങൾ ഒരു പ്രത്യേക ഉപകരണ കുടുംബത്തിന് മാത്രമേ ബാധകമാകൂ. ഈ സാഹചര്യത്തിൽ, അത്തരം വിവരങ്ങൾ വ്യക്തമായി തിരിച്ചറിയാൻ കഴിയും.
പട്ടിക 1. ലിബറോ SoC പിന്തുണയ്ക്കുന്ന ഉപകരണ കുടുംബങ്ങൾ

ഉപകരണ കുടുംബം വിവരണം
PolarFire® പോളാർഫയർ FPGA-കൾ ഇടത്തരം സാന്ദ്രതയിൽ, അസാധാരണമായ സുരക്ഷയും വിശ്വാസ്യതയും ഉള്ള വ്യവസായത്തിലെ ഏറ്റവും കുറഞ്ഞ വൈദ്യുതി നൽകുന്നു.
PolarFire SoC പോളാർഫയർ SoC എന്നത് ഒരു ഡിറ്റർമിനിസ്റ്റിക്, കോഹെറന്റ് RISC-V CPU ക്ലസ്റ്ററും, Linux® ഉം റിയൽ-ടൈം ആപ്ലിക്കേഷനുകളും പ്രാപ്തമാക്കുന്ന ഒരു ഡിറ്റർമിനിസ്റ്റിക് L2 മെമ്മറി സബ്സിസ്റ്റവും ഉള്ള ആദ്യത്തെ SoC FPGA ആണ്.

കഴിഞ്ഞുview (ഒരു ചോദ്യം ചോദിക്കുക)

SoC, FPGA ഡിസൈനുകൾ വികസിപ്പിക്കുന്നതിന് ലിബറോ SoC പൂർണ്ണമായും സംയോജിപ്പിച്ച എൻഡ്-ടു-എൻഡ് ഡിസൈൻ പരിതസ്ഥിതി നൽകുമ്പോൾ, ലിബറോ SoC പരിതസ്ഥിതിക്ക് പുറത്ത് മൂന്നാം കക്ഷി ഉപകരണങ്ങൾ ഉപയോഗിച്ച് സിന്തസിസും സിമുലേഷനും പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള വഴക്കവും ഇത് നൽകുന്നു. എന്നിരുന്നാലും, ചില ഡിസൈൻ ഘട്ടങ്ങൾ ലിബറോ SoC പരിതസ്ഥിതിയിൽ തന്നെ തുടരണം.
താഴെ കൊടുത്തിരിക്കുന്ന പട്ടിക FPGA ഡിസൈൻ ഫ്ലോയിലെ പ്രധാന ഘട്ടങ്ങൾ പട്ടികപ്പെടുത്തുകയും Libero SoC ഉപയോഗിക്കേണ്ട ഘട്ടങ്ങൾ സൂചിപ്പിക്കുകയും ചെയ്യുന്നു.
പട്ടിക 1-1. FPGA ഡിസൈൻ ഫ്ലോ

ഡിസൈൻ ഫ്ലോ സ്റ്റെപ്പ് ലിബറോ ഉപയോഗിക്കണം വിവരണം
ഡിസൈൻ എൻട്രി: എച്ച്ഡിഎൽ ഇല്ല ആവശ്യമെങ്കിൽ Libero® SoC-ക്ക് പുറത്തുള്ള തേർഡ്-പാർട്ടി HDL എഡിറ്റർ/ചെക്കർ ടൂൾ ഉപയോഗിക്കുക.
ഡിസൈൻ എൻട്രി: കോൺഫിഗറേറ്ററുകൾ അതെ ഐപി കാറ്റലോഗ് കോർ കമ്പോണന്റ് ജനറേഷനായി ആദ്യത്തെ ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക.
ഓട്ടോമാറ്റിക് പിഡിസി/എസ്ഡിസി കൺസ്ട്രൈന്റ് ജനറേഷൻ ഇല്ല ഡിറൈവ്ഡ് കൺസ്ട്രൈന്റ്‌സിന് എല്ലാ HDL ഉം ആവശ്യമാണ് fileഅനുബന്ധം C—Derive Constraints-ൽ വിവരിച്ചിരിക്കുന്നതുപോലെ, Libero SoC-ക്ക് പുറത്ത് നടപ്പിലാക്കുമ്പോൾ s-ഉം ഒരു derive_constraints യൂട്ടിലിറ്റിയും.
സിമുലേഷൻ ഇല്ല ആവശ്യമെങ്കിൽ, ലിബറോ SoC-ക്ക് പുറത്തുള്ള മൂന്നാം കക്ഷി ഉപകരണം ഉപയോഗിക്കുക. ടാർഗെറ്റ് ഉപകരണം, ടാർഗെറ്റ് സിമുലേറ്റർ, ബാക്കെൻഡ് ഇംപ്ലിമെന്റേഷനായി ഉപയോഗിക്കുന്ന ടാർഗെറ്റ് ലിബറോ പതിപ്പ് എന്നിവയ്ക്കായി മുൻകൂട്ടി കംപൈൽ ചെയ്ത സിമുലേഷൻ ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യേണ്ടതുണ്ട്.
സിന്തസിസ് ഇല്ല ആവശ്യമെങ്കിൽ ലിബറോ SoC-ക്ക് പുറത്തുള്ള മൂന്നാം കക്ഷി ഉപകരണം ഉപയോഗിക്കുക.
ഡിസൈൻ നടപ്പിലാക്കൽ: നിയന്ത്രണങ്ങൾ കൈകാര്യം ചെയ്യുക, നെറ്റ്‌ലിസ്റ്റ് കംപൈൽ ചെയ്യുക, സ്ഥലം-വഴി-കാണുക (മുകളിൽ കാണുക)view) അതെ ബാക്കെൻഡ് ഇംപ്ലിമെന്റേഷനായി രണ്ടാമത്തെ ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക.
സമയവും പവർ പരിശോധനയും അതെ രണ്ടാമത്തെ ലിബറോ പ്രോജക്റ്റിൽ തുടരുക.
ഡിസൈൻ ഇനീഷ്യലൈസേഷൻ ഡാറ്റയും മെമ്മറികളും കോൺഫിഗർ ചെയ്യുക അതെ ഉപകരണത്തിലെ വ്യത്യസ്ത തരം മെമ്മറികൾ കൈകാര്യം ചെയ്യുന്നതിനും ഡിസൈൻ ഇനീഷ്യലൈസേഷൻ ചെയ്യുന്നതിനും ഈ ഉപകരണം ഉപയോഗിക്കുക. രണ്ടാമത്തെ പ്രോജക്റ്റിൽ തുടരുക.
പ്രോഗ്രാമിംഗ് File തലമുറ അതെ രണ്ടാമത്തെ പ്രോജക്റ്റിൽ തുടരുക.

മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ പ്രധാനം: നിങ്ങൾ ൽ ലഭ്യമായ പ്രീ കംപൈൽ ചെയ്ത ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യണം മുൻകൂട്ടി തയ്യാറാക്കിയ സിമുലേഷൻ ലൈബ്രറികൾ ഒരു മൂന്നാം കക്ഷി സിമുലേറ്റർ ഉപയോഗിക്കുന്നതിനുള്ള പേജ്.
ശുദ്ധമായ ഒരു ഫാബ്രിക് FPGA ഫ്ലോയിൽ, HDL അല്ലെങ്കിൽ സ്കീമാറ്റിക് എൻട്രി ഉപയോഗിച്ച് നിങ്ങളുടെ ഡിസൈൻ നൽകി അത് നേരിട്ട് നൽകുക
സിന്തസിസ് ടൂളുകളിലേക്ക്. ഫ്ലോ ഇപ്പോഴും പിന്തുണയ്ക്കുന്നു. പോളാർഫയറും പോളാർഫയർ SoC FPGA-കളും ഗണ്യമായ
ലിബറോ SoC ഐപിയിൽ നിന്നുള്ള കോൺഫിഗറേഷൻ കോറുകൾ (SgCores) ഉപയോഗിക്കേണ്ട പ്രൊപ്രൈറ്ററി ഹാർഡ് ഐപി ബ്ലോക്കുകൾ
SoC പ്രവർത്തനം ഉൾക്കൊള്ളുന്ന ഏതൊരു ബ്ലോക്കിനും പ്രത്യേക കൈകാര്യം ചെയ്യൽ ആവശ്യമാണ്:

  • പോളാർഫയർ
    – PF_UPROM
    – പിഎഫ്_സിസ്റ്റം_സർവീസസ്
    – പിഎഫ്_സിസിസി
    – പിഎഫ് സിഎൽകെ ഡിഐവി
    – പിഎഫ്_ക്രിപ്റ്റോ
    – പിഎഫ്_ഡിആർഐ
    – PF_INIT_മോണിറ്റർ
    – പിഎഫ്_എൻജിഎംയുഎക്സ്
    – പിഎഫ്_ഒഎസ്സി
    – റാമുകൾ (TPSRAM, DPSRAM, URAM)
    – പിഎഫ്_എസ്ആർഎഎം_എഎച്ച്ബിഎൽ_എഎക്സി
    – പിഎഫ്_എക്സ്സിവിആർ_ഇആർഎം
    – പിഎഫ്_എക്സ്സിവിആർ_ആർഇഎഫ്_സിഎൽകെ
    – പിഎഫ്_ടിഎക്സ്_പിഎൽഎൽ
    – പിഎഫ്_പിസിഐഇ
    – പിഎഫ്_ഐഒ
    – പിഎഫ്_ഐഒഡി_സിഡിആർ
    – പിഎഫ്_ഐഒഡി_സിഡിആർ_സിസിസി
    – പിഎഫ്_ഐഒഡി_ജെനറിക്_ആർഎക്സ്
    – പിഎഫ്_ഐഒഡി_ജെനറിക്_ടിഎക്സ്
    – പിഎഫ്_ഐഒഡി_ജെനറിക്_ടിഎക്സ്_സിസിസി
    – പിഎഫ്_ആർജിഎംഐ_ടിഒ_ജിഎംഐഐ
    – പിഎഫ്_ഐഒഡി_ഒക്ടൽ_ഡിഡിആർ
    – പിഎഫ്_ഡിഡിആർ3
    – പിഎഫ്_ഡിഡിആർ4
    – പിഎഫ്_എൽപിഡിഡിആർ3
    – പിഎഫ്_ക്യുഡിആർ
    – പിഎഫ്_കോർസ്മാർട്ട്ബെർട്ട്
    – പിഎഫ്_ടിAMPER
    – PF_TVS, തുടങ്ങിയവ.

മുമ്പ് ലിസ്റ്റുചെയ്തിരിക്കുന്ന SgCore-കൾക്ക് പുറമേ, Libero SoC കാറ്റലോഗിൽ FPGA ഫാബ്രിക് ഉറവിടങ്ങൾ ഉപയോഗിക്കുന്ന PolarFire, PolarFire SoC ഉപകരണ കുടുംബങ്ങൾക്കായി നിരവധി DirectCore സോഫ്റ്റ് IP-കൾ ലഭ്യമാണ്.
ഡിസൈൻ എൻട്രിക്ക്, നിങ്ങൾ മുമ്പത്തെ ഏതെങ്കിലും ഘടകങ്ങൾ ഉപയോഗിക്കുകയാണെങ്കിൽ, ഡിസൈൻ എൻട്രിയുടെ (കോമ്പോണന്റ് കോൺഫിഗറേഷൻ) ഒരു ഭാഗത്തിന് നിങ്ങൾ Libero SoC ഉപയോഗിക്കണം, എന്നാൽ നിങ്ങളുടെ ഡിസൈൻ എൻട്രിയുടെ ബാക്കി ഭാഗം (HDL എൻട്രി, മുതലായവ) Libero-യ്ക്ക് പുറത്ത് തുടരാം. Libero-യ്ക്ക് പുറത്തുള്ള FPGA ഡിസൈൻ ഫ്ലോ കൈകാര്യം ചെയ്യുന്നതിന്, ഈ ഗൈഡിന്റെ ബാക്കി ഭാഗങ്ങളിൽ നൽകിയിരിക്കുന്ന ഘട്ടങ്ങൾ പാലിക്കുക.
1.1 ഘടക ജീവിത ചക്രം (ഒരു ചോദ്യം ചോദിക്കുക)
താഴെ പറയുന്ന ഘട്ടങ്ങൾ ഒരു SoC ഘടകത്തിന്റെ ജീവിതചക്രം വിവരിക്കുകയും ഡാറ്റ എങ്ങനെ കൈകാര്യം ചെയ്യണമെന്നതിനുള്ള നിർദ്ദേശങ്ങൾ നൽകുകയും ചെയ്യുന്നു.

  1. ലിബറോ SoC-യിലെ കോൺഫിഗറേറ്റർ ഉപയോഗിച്ച് ഘടകം സൃഷ്ടിക്കുക. ഇത് ഇനിപ്പറയുന്ന തരത്തിലുള്ള ഡാറ്റ സൃഷ്ടിക്കുന്നു:
    - എച്ച്ഡിഎൽ files
    - മെമ്മറി files
    – ഉത്തേജനവും സിമുലേഷനും files
    – ഘടക SDC file
  2. എച്ച്ഡിഎല്ലിന് fileകൾ, ബാഹ്യ ഡിസൈൻ എൻട്രി ടൂൾ/പ്രക്രിയ ഉപയോഗിച്ച് ബാക്കിയുള്ള HDL ഡിസൈനിൽ അവയെ ഇൻസ്റ്റന്റിയേറ്റ് ചെയ്ത് സംയോജിപ്പിക്കുക.
  3. മെമ്മറി വിതരണം ചെയ്യുക files ഉം ഉത്തേജനവും fileനിങ്ങളുടെ സിമുലേഷൻ ടൂളിലേക്ക് s ചേർക്കുക.
  4. സപ്ലൈ കമ്പോണന്റ് എസ്ഡിസി file കൺസ്ട്രെയിൻറ്റ് ജനറേഷനുള്ള കൺസ്ട്രെയിൻറ്റ് ടൂൾ ഡെറിവ് ചെയ്യാൻ. കൂടുതൽ വിവരങ്ങൾക്ക് അനുബന്ധം സി—ഡെറിവ് കൺസ്ട്രെയിൻറ്റുകൾ കാണുക.
  5. നിങ്ങൾ രണ്ടാമത്തെ ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കണം, അവിടെ നിങ്ങൾ പോസ്റ്റ്-സിന്തസിസ് നെറ്റ്‌ലിസ്റ്റും നിങ്ങളുടെ ഘടക മെറ്റാഡാറ്റയും ഇറക്കുമതി ചെയ്യണം, അങ്ങനെ നിങ്ങൾ സൃഷ്ടിച്ചതും നിങ്ങൾ പ്രോഗ്രാം ചെയ്യുന്നതും തമ്മിലുള്ള ബന്ധം പൂർത്തിയാക്കുന്നു.

1.2 ലിബറോ SoC പ്രോജക്റ്റ് ക്രിയേഷൻ (ഒരു ചോദ്യം ചോദിക്കുക)
ചില ഡിസൈൻ ഘട്ടങ്ങൾ ലിബറോ SoC പരിതസ്ഥിതിയിൽ പ്രവർത്തിപ്പിക്കണം (പട്ടിക 1-1). ഈ ഘട്ടങ്ങൾ പ്രവർത്തിപ്പിക്കുന്നതിന്, നിങ്ങൾ രണ്ട് ലിബറോ SoC പ്രോജക്റ്റുകൾ സൃഷ്ടിക്കണം. ആദ്യ പ്രോജക്റ്റ് ഡിസൈൻ ഘടക കോൺഫിഗറേഷനും ജനറേഷനും ഉപയോഗിക്കുന്നു, രണ്ടാമത്തെ പ്രോജക്റ്റ് ടോപ്പ്-ലെവൽ ഡിസൈനിന്റെ ഭൗതിക നടപ്പാക്കലിനാണ്.
1.3 കസ്റ്റം ഫ്ലോ (ഒരു ചോദ്യം ചോദിക്കുക)
താഴെ കൊടുത്തിരിക്കുന്ന ചിത്രം കാണിക്കുന്നു:

  • ലിബറോ SoC പരിതസ്ഥിതിക്ക് പുറത്തുള്ള തേർഡ്-പാർട്ടി സിന്തസിസ്, സിമുലേഷൻ ടൂളുകൾക്കൊപ്പം വലിയ FPGA ഡിസൈൻ ഫ്ലോയുടെ ഭാഗമായി ലിബറോ SoC സംയോജിപ്പിക്കാൻ കഴിയും.
  • ഡിസൈൻ സൃഷ്ടിക്കൽ, സ്റ്റിച്ചിംഗ് എന്നിവ മുതൽ ഉപകരണം പ്രോഗ്രാമിംഗ് വരെ, ഈ പ്രവാഹത്തിൽ ഉൾപ്പെട്ടിരിക്കുന്ന വിവിധ ഘട്ടങ്ങൾ.
  • ഓരോ ഡിസൈൻ ഫ്ലോ ഘട്ടത്തിലും സംഭവിക്കേണ്ട ഡാറ്റാ കൈമാറ്റം (ഇൻപുട്ടുകളും ഔട്ട്പുട്ടുകളും).

മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - കസ്റ്റം ഫ്ലോ ഓവർviewമൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ 1 നുറുങ്ങ്:

  1. SNVM.cfg, UPROM.cfg
  2. *.മെം file സിമുലേഷനായുള്ള ജനറേഷൻ: pa4rtupromgen.exe UPROM.cfg ഇൻപുട്ടായി എടുത്ത് UPROM.mem ജനറേറ്റ് ചെയ്യുന്നു.

ഇച്ഛാനുസൃത ഫ്ലോയിലെ ഘട്ടങ്ങൾ ഇവയാണ്:

  1. ഘടക കോൺഫിഗറേഷനും ജനറേഷനും:
    a. റഫറൻസ് പ്രോജക്റ്റായി പ്രവർത്തിക്കുന്നതിന് ആദ്യത്തെ ഒരു ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക.
    b. കാറ്റലോഗിൽ നിന്ന് കോർ തിരഞ്ഞെടുക്കുക. കോറിന് ഒരു ഘടകനാമം നൽകാനും ഘടകത്തെ കോൺഫിഗർ ചെയ്യാനും അതിൽ ഇരട്ട-ക്ലിക്കുചെയ്യുക.
    ഇത് ഘടക ഡാറ്റ സ്വയമേവ കയറ്റുമതി ചെയ്യുന്നു കൂടാതെ files. ഒരു കമ്പോണന്റ് മാനിഫെസ്റ്റുകളും സൃഷ്ടിക്കപ്പെടുന്നു. വിശദാംശങ്ങൾക്ക് കമ്പോണന്റ് മാനിഫെസ്റ്റുകൾ കാണുക. കൂടുതൽ വിവരങ്ങൾക്ക്, കമ്പോണന്റ് കോൺഫിഗറേഷൻ കാണുക.
  2. ലിബറോയ്ക്ക് പുറത്ത് നിങ്ങളുടെ ആർ‌ടി‌എൽ ഡിസൈൻ പൂർത്തിയാക്കുക:
    a. HDL എന്ന ഘടകം ഇൻസ്റ്റന്റിയേറ്റ് ചെയ്യുക files.
    ബി. എച്ച്ഡിഎല്ലിന്റെ സ്ഥാനം fileഘടക മാനിഫെസ്റ്റുകളിൽ s ലിസ്റ്റ് ചെയ്തിരിക്കുന്നു. files.
  3. ഘടകങ്ങൾക്കായി SDC കൺസ്ട്രെയിന്റ്‌സ് ജനറേറ്റ് ചെയ്യുക. സമയ നിയന്ത്രണം സൃഷ്ടിക്കാൻ Derive Constraients യൂട്ടിലിറ്റി ഉപയോഗിക്കുക. file(SDC) അടിസ്ഥാനമാക്കി:
    എ. ഘടകം എച്ച്ഡിഎൽ files
    ബി. കമ്പോണന്റ് എസ്‌ഡിസി files
    സി. എച്ച്ഡിഎൽ ഉപയോഗിക്കുക files
    കൂടുതൽ വിവരങ്ങൾക്ക്, അനുബന്ധം സി കാണുക—ഡെറിവ് നിയന്ത്രണങ്ങൾ.
  4. സിന്തസിസ് ടൂൾ/സിമുലേഷൻ ടൂൾ:
    എ. എച്ച്ഡിഎൽ നേടുക files, ഉത്തേജനം fileകൾ, ഘടക മാനിഫെസ്റ്റുകളിൽ സൂചിപ്പിച്ചിരിക്കുന്നതുപോലെ നിർദ്ദിഷ്ട സ്ഥാനങ്ങളിൽ നിന്നുള്ള ഘടക ഡാറ്റ എന്നിവ.
    ബി. ലിബറോ SoC-ക്ക് പുറത്തുള്ള മൂന്നാം കക്ഷി ഉപകരണങ്ങൾ ഉപയോഗിച്ച് ഡിസൈൻ സമന്വയിപ്പിക്കുകയും അനുകരിക്കുകയും ചെയ്യുക.
  5. നിങ്ങളുടെ രണ്ടാമത്തെ (നടപ്പിലാക്കൽ) ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക.
  6. ഡിസൈൻ ഫ്ലോ ടൂൾ ചെയിനിൽ നിന്ന് സിന്തസിസ് നീക്കം ചെയ്യുക (പ്രോജക്റ്റ് > പ്രോജക്റ്റ് സെറ്റിംഗ്സ് > ഡിസൈൻ ഫ്ലോ > എനേബിൾ സിന്തസിസ് ചെക്ക് ബോക്സ് മായ്ക്കുക).
  7. ഡിസൈൻ ഉറവിടം ഇറക്കുമതി ചെയ്യുക files (സിന്തസിസ് ടൂളിൽ നിന്നുള്ള പോസ്റ്റ്-സിന്തസിസ് *.vm നെറ്റ്‌ലിസ്റ്റ്):
    – പോസ്റ്റ്-സിന്തസിസ് ഇറക്കുമതി ചെയ്യുക *.vm നെറ്റ്‌ലിസ്റ്റ് (File>ഇംപോർട്ട്> സിന്തസൈസ്ഡ് വെരിലോഗ് നെറ്റ്‌ലിസ്റ്റ് (VM)).
    – ഘടക മെറ്റാഡാറ്റ *.cfg fileuPROM കൂടാതെ/അല്ലെങ്കിൽ sNVM-നുള്ള s.
  8. ഏതെങ്കിലും ലിബറോ SoC ബ്ലോക്ക് ഘടകം ഇറക്കുമതി ചെയ്യുക fileബ്ലോക്ക് files *.cxz-ൽ ആയിരിക്കണം file ഫോർമാറ്റ്.
    ഒരു ബ്ലോക്ക് എങ്ങനെ സൃഷ്ടിക്കാം എന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്, കാണുക പോളാർഫയർ ബ്ലോക്ക് ഫ്ലോ ഉപയോക്തൃ ഗൈഡ്.
  9. ഡിസൈൻ നിയന്ത്രണങ്ങൾ ഇറക്കുമതി ചെയ്യുക:
    – ഇറക്കുമതി I/O നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > I/OAttributes > Import).
    – ഫ്ലോർപ്ലാനിംഗ് ഇറക്കുമതി ചെയ്യുക *.pdc files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > ഫ്ലോർ പ്ലാനർ > ഇറക്കുമതി).
    – ഇറക്കുമതി *.sdc സമയ നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > ടൈമിംഗ് >ഇംപോർട്ട്). SDC ഇറക്കുമതി ചെയ്യുക file Derive Constraint ടൂൾ വഴി സൃഷ്ടിച്ചത്.
    – ഇറക്കുമതി *.ndc നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > നെറ്റ്‌ലിസ്റ്റ് ആട്രിബ്യൂട്ടുകൾ > ഇറക്കുമതി), എന്തെങ്കിലും ഉണ്ടെങ്കിൽ.
  10. പരിമിതി file ഉപകരണ അസോസിയേഷനും
    – കൺസ്ട്രെയിൻറ്റ് മാനേജറിൽ, *.pdc ബന്ധിപ്പിക്കുക fileസ്ഥാപിക്കാനും റൂട്ട് ചെയ്യാനും, *.sdc fileസ്ഥലവും റൂട്ടും സമയ പരിശോധനകളും, *.ndc fileനെറ്റ്‌ലിസ്റ്റ് കംപൈൽ ചെയ്യാൻ s ഉപയോഗിക്കുക.
  11. പൂർണ്ണമായ ഡിസൈൻ നടപ്പിലാക്കൽ
    - സ്ഥലവും റൂട്ടും, സമയവും ശക്തിയും പരിശോധിക്കുക, ഡിസൈൻ ഇനീഷ്യലൈസേഷൻ ഡാറ്റയും മെമ്മറികളും കോൺഫിഗർ ചെയ്യുക, പ്രോഗ്രാമിംഗ് ചെയ്യുക. file തലമുറ.
  12. ഡിസൈൻ സാധൂകരിക്കുക
    – ലിബറോ SoC ഡിസൈൻ സ്യൂട്ടിനൊപ്പം നൽകിയിരിക്കുന്ന ഡിസൈൻ ടൂളുകൾ ഉപയോഗിച്ച് FPGA-യിൽ ഡിസൈൻ സാധൂകരിക്കുകയും ആവശ്യാനുസരണം ഡീബഗ് ചെയ്യുകയും ചെയ്യുക.

ഘടക കോൺഫിഗറേഷൻ (ഒരു ചോദ്യം ചോദിക്കുക)

കസ്റ്റം ഫ്ലോയിലെ ആദ്യ ഘട്ടം ഒരു ലിബറോ റഫറൻസ് പ്രോജക്റ്റ് ഉപയോഗിച്ച് നിങ്ങളുടെ ഘടകങ്ങൾ കോൺഫിഗർ ചെയ്യുക എന്നതാണ് (പട്ടിക 1-1 ലെ ആദ്യത്തെ ലിബറോ പ്രോജക്റ്റ് എന്നും ഇതിനെ വിളിക്കുന്നു). തുടർന്നുള്ള ഘട്ടങ്ങളിൽ, നിങ്ങൾ ഈ റഫറൻസ് പ്രോജക്റ്റിൽ നിന്നുള്ള ഡാറ്റ ഉപയോഗിക്കുന്നു.
നിങ്ങൾ മുമ്പ് ലിസ്റ്റുചെയ്തിരിക്കുന്ന ഏതെങ്കിലും ഘടകങ്ങൾ ഉപയോഗിക്കുകയാണെങ്കിൽ, ഓവറിന് കീഴിൽview നിങ്ങളുടെ രൂപകൽപ്പനയിൽ, ഈ വിഭാഗത്തിൽ വിവരിച്ചിരിക്കുന്ന ഘട്ടങ്ങൾ നടപ്പിലാക്കുക.
മുകളിൽ പറഞ്ഞ ഘടകങ്ങളൊന്നും നിങ്ങൾ ഉപയോഗിക്കുന്നില്ലെങ്കിൽ, നിങ്ങൾക്ക് ലിബറോയ്ക്ക് പുറത്ത് നിങ്ങളുടെ ആർ‌ടി‌എൽ എഴുതാനും അത് നിങ്ങളുടെ സിന്തസിസ്, സിമുലേഷൻ ടൂളുകളിലേക്ക് നേരിട്ട് ഇറക്കുമതി ചെയ്യാനും കഴിയും. തുടർന്ന് നിങ്ങൾക്ക് പോസ്റ്റ്-സിന്തസിസ് വിഭാഗത്തിലേക്ക് പോയി നിങ്ങളുടെ പോസ്റ്റ്-സിന്തസിസ് *.vm നെറ്റ്‌ലിസ്റ്റ് മാത്രം നിങ്ങളുടെ അന്തിമ ലിബറോ ഇംപ്ലിമെന്റേഷൻ പ്രോജക്റ്റിലേക്ക് ഇറക്കുമതി ചെയ്യാൻ കഴിയും (പട്ടിക 1-1 ൽ രണ്ടാമത്തെ ലിബറോ പ്രോജക്റ്റ് എന്നും ഇതിനെ വിളിക്കുന്നു).
2.1 ലിബറോ ഉപയോഗിച്ചുള്ള ഘടക കോൺഫിഗറേഷൻ (ഒരു ചോദ്യം ചോദിക്കുക)
മുമ്പത്തെ പട്ടികയിൽ നിന്ന് ഉപയോഗിക്കേണ്ട ഘടകങ്ങൾ തിരഞ്ഞെടുത്ത ശേഷം, ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ നടപ്പിലാക്കുക:

  1. ഒരു പുതിയ ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക (കോർ കോൺഫിഗറേഷനും ജനറേഷനും): നിങ്ങളുടെ അന്തിമ രൂപകൽപ്പന ലക്ഷ്യമിടുന്ന ഉപകരണവും കുടുംബവും തിരഞ്ഞെടുക്കുക.
  2. കസ്റ്റം ഫ്ലോയിൽ പരാമർശിച്ചിരിക്കുന്ന ഒന്നോ അതിലധികമോ കോറുകൾ ഉപയോഗിക്കുക.
    a. ഒരു SmartDesign സൃഷ്ടിച്ച് ആവശ്യമുള്ള കോർ കോൺഫിഗർ ചെയ്ത് SmartDesign ഘടകത്തിൽ ഇൻസ്റ്റന്റൈറ്റ് ചെയ്യുക.
    ബി. എല്ലാ പിന്നുകളും ഉയർന്ന തലത്തിലേക്ക് ഉയർത്തുക.
    സി. സ്മാർട്ട് ഡിസൈൻ സൃഷ്ടിക്കുക.
    d. സിമുലേറ്റർ ഇൻവോക്ക് ചെയ്യുന്നതിന് സിമുലേറ്റ് ടൂളിൽ (പ്രീ-സിന്തസിസ്, പോസ്റ്റ്-സിന്തസിസ് അല്ലെങ്കിൽ പോസ്റ്റ്-ലേഔട്ട് ഓപ്ഷനുകളിൽ ഏതെങ്കിലും) ഡബിൾ ക്ലിക്ക് ചെയ്യുക. ഇൻവോക്ക് ചെയ്ത ശേഷം നിങ്ങൾക്ക് സിമുലേറ്ററിൽ നിന്ന് പുറത്തുകടക്കാൻ കഴിയും. ഈ ഘട്ടം സിമുലേഷൻ സൃഷ്ടിക്കുന്നു. fileനിങ്ങളുടെ പ്രോജക്റ്റിന് അത്യാവശ്യമാണ്.

മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ 1 നുറുങ്ങ്: നിങ്ങൾ ലിബറോയ്ക്ക് പുറത്ത് നിങ്ങളുടെ ഡിസൈൻ സിമുലേറ്റ് ചെയ്യണമെങ്കിൽ ഈ ഘട്ടം നടപ്പിലാക്കണം.
കൂടുതൽ വിവരങ്ങൾക്ക്, നിങ്ങളുടെ ഡിസൈൻ സിമുലേറ്റ് ചെയ്യുന്നത് കാണുക.
e. നിങ്ങളുടെ പ്രോജക്റ്റ് സേവ് ചെയ്യുക—ഇത് നിങ്ങളുടെ റഫറൻസ് പ്രോജക്റ്റാണ്.
2.2 ഘടക മാനിഫെസ്റ്റുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
നിങ്ങൾ ഘടകങ്ങൾ സൃഷ്ടിക്കുമ്പോൾ, ഒരു സെറ്റ് fileഓരോ ഘടകത്തിനും വേണ്ടി s ജനറേറ്റ് ചെയ്യപ്പെടുന്നു. കമ്പോണന്റ് മാനിഫെസ്റ്റ് റിപ്പോർട്ട് ഇതിന്റെ സെറ്റിനെ വിശദമാക്കുന്നു fileതുടർന്നുള്ള ഓരോ ഘട്ടത്തിലും (സിന്തസിസ്, സിമുലേഷൻ, ഫേംവെയർ ജനറേഷൻ, മുതലായവ) ജനറേറ്റ് ചെയ്യുകയും ഉപയോഗിക്കുകയും ചെയ്യുന്നു. ജനറേറ്റ് ചെയ്ത എല്ലാത്തിന്റെയും സ്ഥാനങ്ങൾ ഈ റിപ്പോർട്ട് നിങ്ങൾക്ക് നൽകുന്നു. fileകസ്റ്റം ഫ്ലോയുമായി മുന്നോട്ട് പോകാൻ കൾ ആവശ്യമാണ്. നിങ്ങൾക്ക് റിപ്പോർട്ട്സ് ഏരിയയിൽ കമ്പോണന്റ് മാനിഫെസ്റ്റ് ആക്സസ് ചെയ്യാൻ കഴിയും: റിപ്പോർട്ട്സ് ടാബ് തുറക്കാൻ ഡിസൈൻ > റിപ്പോർട്ടുകൾ ക്ലിക്ക് ചെയ്യുക. റിപ്പോർട്ട്സ് ടാബിൽ, നിങ്ങൾക്ക് manifest.txt ന്റെ ഒരു സെറ്റ് കാണാം. files (കഴിഞ്ഞുview), നിങ്ങൾ സൃഷ്ടിച്ച ഓരോ ഘടകത്തിനും ഒന്ന്.
സൂചന: കമ്പോണന്റ് മാനിഫെസ്റ്റ് കാണുന്നതിന് നിങ്ങൾ ഒരു കമ്പോണന്റോ മൊഡ്യൂളോ '”റൂട്ട്” ആയി സജ്ജീകരിക്കണം. file റിപ്പോർട്ടുകൾ ടാബിലെ ഉള്ളടക്കങ്ങൾ.
പകരമായി, നിങ്ങൾക്ക് വ്യക്തിഗത മാനിഫെസ്റ്റ് റിപ്പോർട്ട് ആക്‌സസ് ചെയ്യാൻ കഴിയും fileഓരോ കോർ ഘടകത്തിനും അല്ലെങ്കിൽ സ്മാർട്ട് ഡിസൈൻ ഘടകത്തിനും /ഘടകം/കൃതി/ / / _manifest.txt അല്ലെങ്കിൽ /ഘടകം/കൃതി/ / _manifest.txt. നിങ്ങൾക്ക് മാനിഫെസ്റ്റും ആക്‌സസ് ചെയ്യാൻ കഴിയും file ലിബറോയിലെ പുതിയ കമ്പോണന്റ്സ് ടാബിൽ നിന്ന് ജനറേറ്റ് ചെയ്യുന്ന ഓരോ ഘടകത്തിന്റെയും ഉള്ളടക്കങ്ങൾ, അവിടെ file പ്രോജക്റ്റ് ഡയറക്ടറിയുമായി ബന്ധപ്പെട്ട് സ്ഥലങ്ങൾ പരാമർശിച്ചിരിക്കുന്നു.മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ലിബറോ റിപ്പോർട്ട്സ് ടാബ്ഇനിപ്പറയുന്ന കമ്പോണന്റ് മാനിഫെസ്റ്റ് റിപ്പോർട്ടുകളിൽ ശ്രദ്ധ കേന്ദ്രീകരിക്കുക:

  • നിങ്ങൾ കോറുകൾ ഒരു SmartDesign-ലേക്ക് മാറ്റിയിട്ടുണ്ടെങ്കിൽ, വായിക്കുക file _മാനിഫെസ്റ്റ്.txt.
  • നിങ്ങൾ കോറുകൾക്കായി ഘടകങ്ങൾ സൃഷ്ടിച്ചിട്ടുണ്ടെങ്കിൽ, വായിക്കുക _മാനിഫെസ്റ്റ്.txt.

നിങ്ങളുടെ ഡിസൈനിന് ബാധകമായ എല്ലാ കമ്പോണന്റ് മാനിഫെസ്റ്റ് റിപ്പോർട്ടുകളും നിങ്ങൾ ഉപയോഗിക്കണം. ഉദാ.ampഅല്ലെങ്കിൽ, നിങ്ങളുടെ പ്രോജക്റ്റിൽ ഒന്നോ അതിലധികമോ കോർ ഘടകങ്ങൾ ഇൻസ്റ്റന്റൈസ് ചെയ്ത ഒരു സ്മാർട്ട് ഡിസൈൻ ഉണ്ടെങ്കിൽ, നിങ്ങളുടെ അന്തിമ രൂപകൽപ്പനയിൽ അവയെല്ലാം ഉപയോഗിക്കാൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുവെങ്കിൽ, നിങ്ങൾ തിരഞ്ഞെടുക്കണം fileനിങ്ങളുടെ ഡിസൈൻ ഫ്ലോയിൽ ഉപയോഗിക്കുന്നതിനുള്ള എല്ലാ ഘടകങ്ങളുടെയും കമ്പോണന്റ് മാനിഫെസ്റ്റ് റിപ്പോർട്ടുകളിൽ ലിസ്റ്റ് ചെയ്തിട്ടുള്ളവ.
2.3 മാനിഫെസ്റ്റിനെ വ്യാഖ്യാനിക്കൽ Files (ഒരു ചോദ്യം ചോദിക്കുക)
നിങ്ങൾ ഒരു കമ്പോണന്റ് മാനിഫെസ്റ്റ് തുറക്കുമ്പോൾ file, നിങ്ങൾ വഴികൾ കാണുന്നു fileനിങ്ങളുടെ ലിബറോ പ്രോജക്റ്റിലെ s-കളും അവ ഡിസൈൻ ഫ്ലോയിൽ എവിടെ ഉപയോഗിക്കണമെന്നതിനെക്കുറിച്ചുള്ള സൂചനകളും. ഇനിപ്പറയുന്ന തരങ്ങൾ നിങ്ങൾ കണ്ടേക്കാം fileമാനിഫെസ്റ്റിൽ file:

  • എച്ച്ഡിഎൽ ഉറവിടം fileഎല്ലാ സിന്തസിസ്, സിമുലേഷൻ ഉപകരണങ്ങൾക്കും വേണ്ടിയുള്ളത്
  • ഉത്തേജനം fileഎല്ലാ സിമുലേഷൻ ഉപകരണങ്ങൾക്കും വേണ്ടിയുള്ള s
  • പരിമിതി files

പോളാർഫയർ കോർ ഘടകത്തിന്റെ ഘടക മാനിഫെസ്റ്റ് താഴെ കൊടുക്കുന്നു.മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - കമ്പോണന്റ് മാനിഫെസ്റ്റ്ഓരോ തരത്തിലുമുള്ള file നിങ്ങളുടെ ഡിസൈൻ ഫ്ലോയിൽ താഴെത്തട്ടിൽ അത്യാവശ്യമാണ്. തുടർന്നുള്ള വിഭാഗങ്ങൾ സംയോജനത്തെ വിവരിക്കുന്നു fileമാനിഫെസ്റ്റിൽ നിന്ന് നിങ്ങളുടെ ഡിസൈൻ ഫ്ലോയിലേക്ക്.

നിയന്ത്രണ ജനറേഷൻ (ഒരു ചോദ്യം ചോദിക്കുക)

കോൺഫിഗറേഷനും ജനറേഷനും നടത്തുമ്പോൾ, SDC/PDC/NDC കൺസ്ട്രൈന്റ് എഴുതുക/ജനറേറ്റ് ചെയ്യുക എന്ന് ഉറപ്പാക്കുക. fileസിന്തസിസ്, പ്ലേസ്-ആൻഡ്-റൂട്ട്, വെരിഫൈ ടൈമിംഗ് ടൂളുകൾ എന്നിവയിലേക്ക് ഡിസൈൻ കൈമാറുന്നതിനുള്ള ആവശ്യകതകൾ.
ലിബറോ പരിതസ്ഥിതിക്ക് പുറത്ത് ഡെറിവ് കൺസ്ട്രെയിൻറ്റ്സ് യൂട്ടിലിറ്റി ഉപയോഗിച്ച് കൺസ്ട്രെയിന്റ്സ് സൃഷ്ടിക്കുക, അവ സ്വമേധയാ എഴുതുന്നതിനുപകരം. ലിബറോ പരിതസ്ഥിതിക്ക് പുറത്ത് ഡെറിവ് കൺസ്ട്രെയിൻറ്റ് യൂട്ടിലിറ്റി ഉപയോഗിക്കുന്നതിന്, നിങ്ങൾ:

  • ഉപയോക്തൃ HDL, കമ്പോണന്റ് HDL, കമ്പോണന്റ് SDC എന്നിവയുടെ വിതരണ നിയന്ത്രണം files
  • ടോപ്പ് ലെവൽ മൊഡ്യൂൾ വ്യക്തമാക്കുക
  • ഉരുത്തിരിഞ്ഞ നിയന്ത്രണം സൃഷ്ടിക്കേണ്ട സ്ഥലം വ്യക്തമാക്കുക files

SDC ഘടക നിയന്ത്രണങ്ങൾ താഴെ ലഭ്യമാണ് /ഘടകം/കൃതി/ / ഘടക കോൺഫിഗറേഷനും ജനറേഷനും ശേഷം / ഡയറക്ടറി.
നിങ്ങളുടെ ഡിസൈനിനായി നിയന്ത്രണങ്ങൾ എങ്ങനെ സൃഷ്ടിക്കാം എന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്, അനുബന്ധം സി—ഡെറിവ് നിയന്ത്രണങ്ങൾ കാണുക.

നിങ്ങളുടെ ഡിസൈൻ സമന്വയിപ്പിക്കുന്നു (ഒരു ചോദ്യം ചോദിക്കുക)

കസ്റ്റം ഫ്ലോയുടെ പ്രാഥമിക സവിശേഷതകളിലൊന്ന്, ഒരു മൂന്നാം കക്ഷി സിന്തസിസ് ഉപയോഗിക്കാൻ നിങ്ങളെ അനുവദിക്കുക എന്നതാണ്
ലിബറോയ്ക്ക് പുറത്തുള്ള ഉപകരണം. കസ്റ്റം ഫ്ലോ സിനോപ്സിസ് സിൻപ്ലിഫൈപ്രോയുടെ ഉപയോഗത്തെ പിന്തുണയ്ക്കുന്നു. നിങ്ങളുടെ സമന്വയിപ്പിക്കാൻ
പ്രോജക്റ്റ്, ഇനിപ്പറയുന്ന നടപടിക്രമം ഉപയോഗിക്കുക:

  1. നിങ്ങളുടെ സിന്തസിസ് ടൂളിൽ, നിങ്ങൾ സൃഷ്ടിച്ച ലിബറോ പ്രോജക്റ്റിന്റെ അതേ ഉപകരണ കുടുംബം, ഡൈ, പാക്കേജ് എന്നിവ ലക്ഷ്യമാക്കി ഒരു പുതിയ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക.
    a. നിങ്ങളുടെ സ്വന്തം RTL ഇറക്കുമതി ചെയ്യുക fileനിങ്ങൾ സാധാരണ ചെയ്യുന്നതുപോലെ.
    b. സിന്തസിസ് ഔട്ട്‌പുട്ട് സ്ട്രക്ചറൽ വെരിലോഗ് (.vm) ആയി സജ്ജമാക്കുക.
    നുറുങ്ങ്: ഘടനാപരമായത് പോളാർഫയറിൽ പിന്തുണയ്ക്കുന്ന ഏക സിന്തസിസ് ഔട്ട്‌പുട്ട് ഫോർമാറ്റ് വെരിലോഗ് (.vm) ആണ്.
  2. HDL ഘടകം ഇറക്കുമതി ചെയ്യുക fileനിങ്ങളുടെ സിന്തസിസ് പ്രോജക്റ്റിലേക്ക്:
    a. ഓരോ ഘടകത്തിനും മാനിഫെസ്റ്റുകൾ റിപ്പോർട്ട്: ഓരോന്നിനും file HDL സ്രോതസ്സിൽ fileഎല്ലാ സിന്തസിസ്, സിമുലേഷൻ ഉപകരണങ്ങൾക്കും, ഇറക്കുമതി ചെയ്യുക file നിങ്ങളുടെ സിന്തസിസ് പ്രോജക്റ്റിലേക്ക്.
  3. ഇറക്കുമതി ചെയ്യുക file polarfire_syn_comps.v (Synopsys Synplify ഉപയോഗിക്കുകയാണെങ്കിൽ) എന്നതിൽ നിന്ന്
    നിങ്ങളുടെ സിന്തസിസ് പ്രോജക്റ്റിലേക്കുള്ള ഇൻസ്റ്റലേഷൻ സ്ഥലം>/data/aPA5M.
  4. മുമ്പ് സൃഷ്ടിച്ച SDC ഇറക്കുമതി ചെയ്യുക file ഡെറിവേറ്റഡ് കൺസ്ട്രെയിൻറ്റ് ടൂൾ വഴി (അനുബന്ധം കാണുക)
    എ—എസ്ampസിന്തസിസ് ടൂളിലേക്ക് SDC നിയന്ത്രണങ്ങൾ) ചേർക്കുക. ഈ നിയന്ത്രണത്തിലേക്ക് file കുറഞ്ഞ പരിശ്രമവും കുറഞ്ഞ ഡിസൈൻ ആവർത്തനങ്ങളും ഉപയോഗിച്ച് ടൈമിംഗ് ക്ലോഷർ നേടുന്നതിന് സിന്തസിസ് ടൂളിനെ നിയന്ത്രിക്കുന്നു.

മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ പ്രധാനപ്പെട്ടത്: 

  • നിങ്ങൾ അതേ *.sdc ഉപയോഗിക്കാൻ പദ്ധതിയിടുകയാണെങ്കിൽ file ഡിസൈൻ ഇംപ്ലിമെന്റേഷൻ ഘട്ടത്തിൽ പ്ലേസ്-ആൻഡ്-റൂട്ടിനെ നിയന്ത്രിക്കുന്നതിന്, നിങ്ങൾ ഈ *.sdc സിന്തസിസ് പ്രോജക്റ്റിലേക്ക് ഇറക്കുമതി ചെയ്യണം. ഡിസൈൻ പ്രക്രിയയുടെ ഇംപ്ലിമെന്റേഷൻ ഘട്ടത്തിൽ സിന്തസൈസ് ചെയ്ത നെറ്റ്‌ലിസ്റ്റിലും പ്ലേസ്-ആൻഡ്-റൂട്ട് കൺസ്ട്രെയിന്റുകളിലും ഡിസൈൻ ഒബ്ജക്റ്റ് നാമ പൊരുത്തക്കേടുകൾ ഇല്ലെന്ന് ഉറപ്പാക്കാനാണ് ഇത്. നിങ്ങൾ ഈ *.sdc ഉൾപ്പെടുത്തിയിട്ടില്ലെങ്കിൽ file സിന്തസിസ് ഘട്ടത്തിൽ, സിന്തസിസിൽ നിന്ന് ജനറേറ്റ് ചെയ്യുന്ന നെറ്റ്‌ലിസ്റ്റ്, ഡിസൈൻ ഒബ്‌ജക്റ്റ് നാമ പൊരുത്തക്കേടുകൾ കാരണം പ്ലേസ് ആൻഡ് റൂട്ട് സ്റ്റെപ്പിൽ പരാജയപ്പെടാം.
    a. നെറ്റ്‌ലിസ്റ്റ് ആട്രിബ്യൂട്ടുകൾ *.ndc, എന്തെങ്കിലും ഉണ്ടെങ്കിൽ, സിന്തസിസ് ടൂളിലേക്ക് ഇറക്കുമതി ചെയ്യുക.
    ബി. സിന്തസിസ് പ്രവർത്തിപ്പിക്കുക.
  • നിങ്ങളുടെ സിന്തസിസ് ടൂൾ ഔട്ട്‌പുട്ടിന്റെ സ്ഥാനത്ത് *.vm നെറ്റ്‌ലിസ്റ്റ് ഉണ്ട്. file സിന്തസിസിനു ശേഷം ജനറേറ്റ് ചെയ്‌തു. ഡിസൈൻ പ്രക്രിയ തുടരുന്നതിന് നിങ്ങൾ ലിബറോ ഇംപ്ലിമെന്റേഷൻ പ്രോജക്റ്റിലേക്ക് നെറ്റ്‌ലിസ്റ്റ് ഇറക്കുമതി ചെയ്യണം.

നിങ്ങളുടെ ഡിസൈൻ സിമുലേറ്റ് ചെയ്യുന്നു (ഒരു ചോദ്യം ചോദിക്കുക)

ലിബറോയ്ക്ക് പുറത്ത് നിങ്ങളുടെ ഡിസൈൻ സിമുലേറ്റ് ചെയ്യാൻ (അതായത്, നിങ്ങളുടെ സ്വന്തം സിമുലേഷൻ പരിതസ്ഥിതിയും സിമുലേറ്ററും ഉപയോഗിച്ച്), ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ ചെയ്യുക:

  1. ഡിസൈൻ Files:
    എ. പ്രീ-സിന്തസിസ് സിമുലേഷൻ:
    • നിങ്ങളുടെ സിമുലേഷൻ പ്രോജക്റ്റിലേക്ക് നിങ്ങളുടെ RTL ഇറക്കുമതി ചെയ്യുക.
    • ഓരോ ഘടക മാനിഫെസ്റ്റുകളുടെയും റിപ്പോർട്ടിന്.
    – ഓരോന്നും ഇറക്കുമതി ചെയ്യുക file HDL സ്രോതസ്സിൽ fileനിങ്ങളുടെ സിമുലേഷൻ പ്രോജക്റ്റിലെ എല്ലാ സിന്തസിസ്, സിമുലേഷൻ ടൂളുകൾക്കുമുള്ള ങ്ങൾ.
    • ഇവ സമാഹരിക്കുക fileനിങ്ങളുടെ സിമുലേറ്ററിന്റെ നിർദ്ദേശങ്ങൾ അനുസരിച്ച്.
    ബി. പോസ്റ്റ്-സിന്തസിസ് സിമുലേഷൻ:
    • നിങ്ങളുടെ സിമുലേഷൻ പ്രോജക്റ്റിലേക്ക് പോസ്റ്റ്-സിന്തസിസ് *.vm നെറ്റ്‌ലിസ്റ്റ് (സിന്തസൈസിംഗ് യുവർ ഡിസൈൻ എന്നതിൽ ജനറേറ്റ് ചെയ്‌തത്) ഇറക്കുമതി ചെയ്ത് കംപൈൽ ചെയ്യുക.
    സി. പോസ്റ്റ്-ലേഔട്ട് സിമുലേഷൻ:
    • ആദ്യം, നിങ്ങളുടെ ഡിസൈൻ നടപ്പിലാക്കുന്നത് പൂർത്തിയാക്കുക (നിങ്ങളുടെ ഡിസൈൻ നടപ്പിലാക്കൽ കാണുക). നിങ്ങളുടെ അന്തിമ ലിബറോ പ്രോജക്റ്റ് പോസ്റ്റ്-ലേഔട്ട് അവസ്ഥയിലാണെന്ന് ഉറപ്പാക്കുക.
    • ജനറേറ്റ് ബാക്ക്അനോട്ടേറ്റഡ് ഡബിൾ ക്ലിക്ക് ചെയ്യുക Fileലിബറോ ഡിസൈൻ ഫ്ലോ വിൻഡോയിൽ s. ഇത് രണ്ടെണ്ണം സൃഷ്ടിക്കുന്നു files:
    /ഡിസൈനർ/ / _ba.v/vhd_ ൽ /ഡിസൈനർ/
    / _ba.sdf_t
    • ഇവ രണ്ടും ഇറക്കുമതി ചെയ്യുക fileനിങ്ങളുടെ സിമുലേഷൻ ടൂളിലേക്ക് ചേർക്കുക.
  2. ഉത്തേജനവും കോൺഫിഗറേഷനും files:
    a. ഓരോ ഘടക മാനിഫെസ്റ്റുകളുടെയും റിപ്പോർട്ടിന്:
    • എല്ലാം പകർത്തുക fileഉത്തേജനത്തിന് കീഴിൽ Fileനിങ്ങളുടെ സിമുലേഷൻ പ്രോജക്റ്റിന്റെ റൂട്ട് ഡയറക്ടറിയിലേക്ക് എല്ലാ സിമുലേഷൻ ടൂൾസ് വിഭാഗങ്ങൾക്കും s.
    ബി. ഏതെങ്കിലും Tcl ഉറപ്പാക്കുക fileമുമ്പത്തെ ലിസ്റ്റുകളിലെ (ഘട്ടം 2.a-യിൽ) s, സിമുലേഷൻ ആരംഭിക്കുന്നതിന് മുമ്പ് ആദ്യം നടപ്പിലാക്കുന്നു.
    c. UPROM.mem: നിങ്ങളുടെ ഡിസൈനിൽ UPROM കോർ ഉപയോഗിക്കുമ്പോൾ, നിങ്ങൾ സിമുലേറ്റ് ചെയ്യാൻ ആഗ്രഹിക്കുന്ന ഒന്നോ അതിലധികമോ ഡാറ്റ സ്റ്റോറേജ് ക്ലയന്റുകൾക്ക് 'Use content for simulation enabled' എന്ന ഓപ്ഷൻ ഉപയോഗിക്കുകയാണെങ്കിൽ, UPROM.mem സൃഷ്ടിക്കാൻ എക്സിക്യൂട്ടബിൾ pa4rtupromgen (വിൻഡോസിലെ pa4rtupromgen.exe) ഉപയോഗിക്കണം. file. pa4rtupromgen എക്സിക്യൂട്ടബിൾ UPROM.cfg എടുക്കുന്നു. file ഒരു Tcl സ്ക്രിപ്റ്റിലൂടെ ഇൻപുട്ടുകളായി file കൂടാതെ UPROM.mem ഔട്ട്പുട്ട് ചെയ്യുന്നു file സിമുലേഷനുകൾക്ക് ആവശ്യമാണ്. ഈ UPROM.mem file സിമുലേഷൻ റൺ ചെയ്യുന്നതിന് മുമ്പ് സിമുലേഷൻ ഫോൾഡറിലേക്ക് പകർത്തണം. ഒരു ഉദാamppa4rtupromgen എക്സിക്യൂട്ടബിൾ ഉപയോഗം കാണിക്കുന്നത് ഇനിപ്പറയുന്ന ഘട്ടങ്ങളിൽ നൽകിയിരിക്കുന്നു. file ഡയറക്ടറിയിൽ ലഭ്യമാണ് /ഘടകം/കൃതി/ / നിങ്ങൾ UPROM ഘടകം സൃഷ്ടിക്കാൻ ഉപയോഗിച്ച ലിബറോ പ്രോജക്റ്റിൽ.
    d. snvm.mem: നിങ്ങളുടെ ഡിസൈനിൽ സിസ്റ്റം സർവീസസ് കോർ ഉപയോഗിക്കുകയും നിങ്ങൾ സിമുലേറ്റ് ചെയ്യാൻ ആഗ്രഹിക്കുന്ന ഒന്നോ അതിലധികമോ ക്ലയന്റുകൾക്ക് 'Use content for simulation enabled' എന്ന ഓപ്ഷൻ ഉപയോഗിച്ച് കോറിലെ sNVM ടാബ് കോൺഫിഗർ ചെയ്യുകയും ചെയ്താൽ, ഒരു snvm.mem file സ്വയമേവ സൃഷ്ടിക്കപ്പെടുന്നു
    ഡയറക്ടറി /ഘടകം/കൃതി/ / സിസ്റ്റം സർവീസസ് ഘടകം സൃഷ്ടിക്കാൻ നിങ്ങൾ ഉപയോഗിച്ച ലിബറോ പ്രോജക്റ്റിൽ. ഈ snvm.mem file സിമുലേഷൻ റൺ ചെയ്യുന്നതിന് മുമ്പ് സിമുലേഷൻ ഫോൾഡറിലേക്ക് പകർത്തണം.
  3. പ്രവർത്തിക്കുന്ന ഫോൾഡറിന് കീഴിൽ ഒരു പ്രവർത്തിക്കുന്ന ഫോൾഡറും സിമുലേഷൻ എന്ന പേരിൽ ഒരു സബ്-ഫോൾഡറും സൃഷ്ടിക്കുക.
    pa4rtupromgen എക്സിക്യൂട്ടബിൾ പ്രവർത്തിക്കുന്ന ഫോൾഡറിൽ സിമുലേഷൻ സബ് ഫോൾഡറിന്റെ സാന്നിധ്യം പ്രതീക്ഷിക്കുന്നു, കൂടാതെ *.tcl സ്ക്രിപ്റ്റ് സിമുലേഷൻ സബ് ഫോൾഡറിൽ സ്ഥാപിക്കുകയും ചെയ്യുന്നു.
  4. UPROM.cfg പകർത്തുക file പ്രവർത്തിക്കുന്ന ഫോൾഡറിലേക്ക് ഘടകങ്ങൾ സൃഷ്ടിക്കുന്നതിനായി സൃഷ്ടിച്ച ആദ്യത്തെ ലിബറോ പ്രോജക്റ്റിൽ നിന്ന്.
  5. താഴെ പറയുന്ന കമാൻഡുകൾ ഒരു *.tcl സ്ക്രിപ്റ്റിൽ ഒട്ടിച്ച്, ഘട്ടം 3-ൽ സൃഷ്ടിച്ച സിമുലേഷൻ ഫോൾഡറിൽ സ്ഥാപിക്കുക.
    SampURPOM.mem ജനറേറ്റ് ചെയ്യുന്നതിനായി PolarFire, PolarFire Soc ഫാമിലി ഉപകരണങ്ങൾക്കായി le *.tcl file
    UPROM.cfg-ൽ നിന്ന്
    സെറ്റ്_ഡിവൈസ് -ഫാം -മരിക്കുക -പികെജി
    സെറ്റ്_ഇൻപുട്ട്_സിഎഫ്ജി -പാത്ത്
    സെറ്റ്_സിം_മെം -പാത്ത്File/UPROM.mem>
    gen_sim -use_init തെറ്റ്
    ഡൈയ്ക്കും പാക്കേജിനും ഉപയോഗിക്കേണ്ട ശരിയായ ആന്തരിക നാമത്തിന്, *.prjx കാണുക. file ആദ്യത്തെ ലിബറോ പ്രോജക്റ്റിന്റെ (ഘടക നിർമ്മാണത്തിനായി ഉപയോഗിക്കുന്നു).
    use_init എന്ന വാദം തെറ്റ് ആയി സജ്ജീകരിക്കണം.
    ഔട്ട്പുട്ടിലേക്കുള്ള പാത വ്യക്തമാക്കാൻ set_sim_mem കമാൻഡ് ഉപയോഗിക്കുക. file UPROM.mem അതാണ്
    സ്ക്രിപ്റ്റ് നടപ്പിലാക്കുമ്പോൾ സൃഷ്ടിക്കപ്പെടുന്നു file pa4rtupromgen എക്സിക്യൂട്ടബിൾ ഉപയോഗിച്ച്.
  6. കമാൻഡ് പ്രോംപ്റ്റിലോ സിഗ്വിൻ ടെർമിനലിലോ, ഘട്ടം 3-ൽ സൃഷ്ടിച്ച വർക്കിംഗ് ഡയറക്ടറിയിലേക്ക് പോകുക.
    –script ഓപ്ഷൻ ഉപയോഗിച്ച് pa4rtupromgen കമാൻഡ് എക്സിക്യൂട്ട് ചെയ്യുക, മുമ്പത്തെ ഘട്ടത്തിൽ സൃഷ്ടിച്ച *.tcl സ്ക്രിപ്റ്റ് അതിലേക്ക് കൈമാറുക.
    വിൻഡോസിനായി
    /ഡിസൈനർ/ബിൻ/pa4rtupromgen.exe \
    –സ്ക്രിപ്റ്റ്./സിമുലേഷൻ/ .ടിസിഎൽ
    Linux-ന്:
    /ബിൻ/പാ4ർട്ടുപ്രോംജെൻ
    –സ്ക്രിപ്റ്റ്./സിമുലേഷൻ/ .ടിസിഎൽ
  7. pa4rtupromgen എക്സിക്യൂട്ടബിൾ വിജയകരമായി നടപ്പിലാക്കിയ ശേഷം, UPROM.mem പരിശോധിക്കുക file *.tcl സ്ക്രിപ്റ്റിലെ set_sim_mem കമാൻഡിൽ വ്യക്തമാക്കിയിരിക്കുന്ന സ്ഥാനത്ത് ജനറേറ്റ് ചെയ്യുന്നു.
  8. sNVM സിമുലേറ്റ് ചെയ്യാൻ, snvm.mem പകർത്തുക. file നിങ്ങളുടെ ആദ്യത്തെ ലിബറോ പ്രോജക്റ്റിൽ നിന്ന് (ഘടക കോൺഫിഗറേഷനായി ഉപയോഗിക്കുന്നു) സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുന്നതിനായി നിങ്ങളുടെ സിമുലേഷൻ പ്രോജക്റ്റിന്റെ ഉയർന്ന ലെവൽ സിമുലേഷൻ ഫോൾഡറിലേക്ക് (ലിബറോ SoC ന് പുറത്ത്). UPROM ഉള്ളടക്കങ്ങൾ സിമുലേറ്റ് ചെയ്യാൻ, ജനറേറ്റ് ചെയ്‌ത UPROM.mem പകർത്തുക. file നിങ്ങളുടെ സിമുലേഷൻ പ്രോജക്റ്റിന്റെ ഉയർന്ന തലത്തിലുള്ള സിമുലേഷൻ ഫോൾഡറിലേക്ക് സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുന്നതിന് (ലിബറോ SoC-ക്ക് പുറത്ത്).

മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ പ്രധാനം: വരെ SoC ഘടകങ്ങളുടെ പ്രവർത്തനക്ഷമത സിമുലേറ്റ് ചെയ്യാൻ, മുൻകൂട്ടി തയ്യാറാക്കിയ പോളാർഫയർ സിമുലേഷൻ ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്ത് ഇവിടെ വിവരിച്ചിരിക്കുന്നതുപോലെ നിങ്ങളുടെ സിമുലേഷൻ പരിതസ്ഥിതിയിലേക്ക് ഇറക്കുമതി ചെയ്യുക. കൂടുതൽ വിവരങ്ങൾക്ക്, അനുബന്ധം B—സിമുലേഷൻ പരിസ്ഥിതിയിലേക്ക് സിമുലേഷൻ ലൈബ്രറികൾ ഇറക്കുമതി ചെയ്യൽ കാണുക.

നിങ്ങളുടെ ഡിസൈൻ നടപ്പിലാക്കൽ (ഒരു ചോദ്യം ചോദിക്കുക)

നിങ്ങളുടെ പരിതസ്ഥിതിയിൽ സിന്തസിസും പോസ്റ്റ്-സിന്തസിസും സിമുലേഷൻ പൂർത്തിയാക്കിയ ശേഷം, നിങ്ങളുടെ ഡിസൈൻ, റൺ ടൈമിംഗ്, പവർ വിശകലനം എന്നിവ ഭൗതികമായി നടപ്പിലാക്കുന്നതിനും നിങ്ങളുടെ പ്രോഗ്രാമിംഗ് സൃഷ്ടിക്കുന്നതിനും നിങ്ങൾ വീണ്ടും ലിബറോ ഉപയോഗിക്കണം. file.

  1. ഡിസൈനിന്റെ ഭൗതിക നിർവ്വഹണത്തിനും ലേഔട്ടിനുമായി ഒരു പുതിയ ലിബറോ പ്രോജക്റ്റ് സൃഷ്ടിക്കുക. കമ്പോണന്റ് കോൺഫിഗറേഷനിൽ നിങ്ങൾ സൃഷ്ടിച്ച റഫറൻസ് പ്രോജക്റ്റിലെ അതേ ഉപകരണം ടാർഗെറ്റുചെയ്യുന്നുവെന്ന് ഉറപ്പാക്കുക.
  2. പ്രോജക്റ്റ് സൃഷ്ടിച്ചതിനുശേഷം, ഡിസൈൻ ഫ്ലോ വിൻഡോയിലെ ടൂൾ ചെയിനിൽ നിന്ന് സിന്തസിസ് നീക്കം ചെയ്യുക (പ്രോജക്റ്റ് > പ്രോജക്റ്റ് ക്രമീകരണങ്ങൾ > ഡിസൈൻ ഫ്ലോ > സിന്തസിസ് പ്രാപ്തമാക്കുക എന്നത് അൺചെക്ക് ചെയ്യുക).
  3.  നിങ്ങളുടെ പോസ്റ്റ്-സിന്തസിസ് *.vm ഇറക്കുമതി ചെയ്യുക file ഈ പ്രോജക്റ്റിലേക്ക്, (File > ഇറക്കുമതി > സിന്തസൈസ്ഡ് വെരിലോഗ് നെറ്റ്‌ലിസ്റ്റ് (VM)).
    മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ 1 സൂചന: ഇതിലേക്ക് ഒരു ലിങ്ക് സൃഷ്ടിക്കാൻ ശുപാർശ ചെയ്യുന്നു file, അതിനാൽ നിങ്ങൾ നിങ്ങളുടെ ഡിസൈൻ വീണ്ടും സമന്വയിപ്പിക്കുകയാണെങ്കിൽ, ലിബറോ എല്ലായ്‌പ്പോഴും ഏറ്റവും പുതിയ പോസ്റ്റ്-സിന്തസിസ് നെറ്റ്‌ലിസ്റ്റ് ഉപയോഗിക്കുന്നു.
    a. ഡിസൈൻ ഹൈരാർക്കി വിൻഡോയിൽ, റൂട്ട് മൊഡ്യൂളിന്റെ പേര് ശ്രദ്ധിക്കുക.മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഡിസൈൻ ശ്രേണി
  4. ലിബറോ പ്രോജക്റ്റിലേക്ക് കൺസ്ട്രെയിന്റ്സ് ഇറക്കുമതി ചെയ്യുക. *.pdc/*.sdc/*.ndc കൺസ്ട്രെയിന്റ്സ് ഇറക്കുമതി ചെയ്യാൻ കൺസ്ട്രയിന്റ് മാനേജർ ഉപയോഗിക്കുക.
    a. ഇറക്കുമതി I/O *.pdc നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > I/O ആട്രിബ്യൂട്ടുകൾ >ഇറക്കുമതി).
    ബി. ഇറക്കുമതി ഫ്ലോർപ്ലാനിംഗ് *.pdc നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > ഫ്ലോർ പ്ലാനർ >ഇറക്കുമതി).
    സി. ഇറക്കുമതി *.sdc സമയ നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > ടൈമിംഗ് > ഇംപോർട്ട്). നിങ്ങളുടെ ഡിസൈനിൽ ഓവറിൽ ലിസ്റ്റ് ചെയ്തിരിക്കുന്ന ഏതെങ്കിലും കോറുകൾ ഉണ്ടെങ്കിൽview, SDC ഇറക്കുമതി ചെയ്യുന്നത് ഉറപ്പാക്കുക file ഡെറിവ് കൺസ്ട്രൈന്റ് ടൂൾ വഴി ജനറേറ്റ് ചെയ്‌തത്.
    d. ഇറക്കുമതി *.ndc നിയന്ത്രണം files (കൺസ്ട്രെയിൻട്സ് മാനേജർ > നെറ്റ്‌ലിസ്റ്റ് ആട്രിബ്യൂട്ടുകൾ > ഇറക്കുമതി).
  5. അനുബന്ധ നിയന്ത്രണങ്ങൾ Fileഉപകരണങ്ങൾ രൂപകൽപ്പന ചെയ്യാൻ.
    a. കൺസ്ട്രെയിൻറ്റ് മാനേജർ തുറക്കുക (കൺസ്ട്രെയിൻറ്റുകൾ നിയന്ത്രിക്കുക > കൺസ്ട്രെയിൻറ്റുകൾ നിയന്ത്രിക്കുക തുറക്കുക View).
    നിയന്ത്രണത്തിന് അടുത്തുള്ള സ്ഥല-വഴിയും സമയ പരിശോധനയും എന്ന ചെക്ക് ബോക്സിൽ ചെക്ക് മാർക്കിടുക. file നിയന്ത്രണം സ്ഥാപിക്കാൻ file ടൂൾ അസോസിയേഷനും. *.pdc കൺസ്ട്രൈന്റ് Place-andRoute-മായും *.sdc-യെ Place-and-Route, Timing Verification എന്നിവയുമായും ബന്ധപ്പെടുത്തുക. *.ndc-യെ ബന്ധപ്പെടുത്തുക. file നെറ്റ്‌ലിസ്റ്റ് കംപൈൽ ചെയ്യാൻ.
    മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ 1 നുറുങ്ങ്: എങ്കിൽ ഈ *.sdc കൺസ്ട്രൈന്റ് ഉപയോഗിച്ച് പ്ലേസും റൂട്ടും പരാജയപ്പെടുന്നു. file, തുടർന്ന് ഇതേ *.sdc ഇറക്കുമതി ചെയ്യുക file സിന്തസിസ് ചെയ്യാനും വീണ്ടും സിന്തസിസ് നടത്താനും.
  6. ലേഔട്ട് ഘട്ടം പൂർത്തിയാക്കാൻ കംപൈൽ നെറ്റ്‌ലിസ്റ്റ് ക്ലിക്ക് ചെയ്യുക, തുടർന്ന് പ്ലേസ് ആൻഡ് റൂട്ട് ക്ലിക്ക് ചെയ്യുക.
  7. കോൺഫിഗർ ഡിസൈൻ ഇനീഷ്യലൈസേഷൻ ഡാറ്റ ആൻഡ് മെമ്മറീസ് ടൂൾ, നോൺ-വോളറ്റൈൽ µPROM, sNVM, അല്ലെങ്കിൽ എക്സ്റ്റേണൽ SPI ഫ്ലാഷ് സ്റ്റോറേജ് മെമ്മറിയിൽ സംഭരിച്ചിരിക്കുന്ന ഡാറ്റ ഉപയോഗിച്ച് LSRAM, µSRAM, XCVR (ട്രാൻസ്‌സീവറുകൾ), PCIe എന്നിവ പോലുള്ള ഡിസൈൻ ബ്ലോക്കുകൾ ഇനീഷ്യലൈസ് ചെയ്യാൻ നിങ്ങളെ അനുവദിക്കുന്നു. ഡിസൈൻ ഇനീഷ്യലൈസേഷൻ സീക്വൻസിന്റെ സ്പെസിഫിക്കേഷൻ, ഇനീഷ്യലൈസേഷൻ ക്ലയന്റുകളുടെ സ്പെസിഫിക്കേഷൻ, ഉപയോക്തൃ ഡാറ്റ ക്ലയന്റുകൾ എന്നിവ നിർവചിക്കുന്നതിന് ടൂളിന് ഇനിപ്പറയുന്ന ടാബുകൾ ഉണ്ട്.
    – ഡിസൈൻ ഇനിഷ്യലൈസേഷൻ ടാബ്
    – µPROM ടാബ്
    – sNVM ടാബ്
    – SPI ഫ്ലാഷ് ടാബ്
    – ഫാബ്രിക് റാമുകൾ ടാബ്
    ഡിസൈൻ ഇനിഷ്യലൈസേഷൻ ഡാറ്റയും മെമ്മറികളും കോൺഫിഗർ ചെയ്യുന്നതിന് ടൂളിലെ ടാബുകൾ ഉപയോഗിക്കുക.മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഡാറ്റയും മെമ്മറികളുംകോൺഫിഗറേഷൻ പൂർത്തിയാക്കിയ ശേഷം, ഇനിഷ്യലൈസേഷൻ ഡാറ്റ പ്രോഗ്രാം ചെയ്യുന്നതിന് ഇനിപ്പറയുന്ന ഘട്ടങ്ങൾ നടപ്പിലാക്കുക:
    • ഇനിഷ്യലൈസേഷൻ ക്ലയന്റുകൾ സൃഷ്ടിക്കുക
    • ബിറ്റ്സ്ട്രീം സൃഷ്ടിക്കുക അല്ലെങ്കിൽ കയറ്റുമതി ചെയ്യുക
    • ഉപകരണം പ്രോഗ്രാം ചെയ്യുക
    ഈ ഉപകരണം എങ്ങനെ ഉപയോഗിക്കണം എന്നതിനെക്കുറിച്ചുള്ള വിശദമായ വിവരങ്ങൾക്ക്, ലിബറോ SoC ഡിസൈൻ ഫ്ലോ ഉപയോക്തൃ ഗൈഡ് കാണുക. ഉപകരണത്തിലെ വിവിധ ടാബുകൾ കോൺഫിഗർ ചെയ്യുന്നതിനും മെമ്മറി കോൺഫിഗറേഷൻ വ്യക്തമാക്കുന്നതിനും ഉപയോഗിക്കുന്ന Tcl കമാൻഡുകളെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക്. files (*.cfg), കാണുക Tcl കമാൻഡുകൾ റഫറൻസ് ഗൈഡ്.
  8. ഒരു പ്രോഗ്രാമിംഗ് സൃഷ്ടിക്കുക File ഈ പ്രോജക്റ്റിൽ നിന്ന് നിങ്ങളുടെ FPGA പ്രോഗ്രാം ചെയ്യാൻ ഇത് ഉപയോഗിക്കുക.

അനുബന്ധം എ—എസ്ampഎസ്ഡിസി നിയന്ത്രണങ്ങൾ (ഒരു ചോദ്യം ചോദിക്കുക

CCC, OSC, ട്രാൻസ്‌സീവർ തുടങ്ങിയ ചില IP കോറുകൾക്ക് ലിബറോ SoC SDC സമയ നിയന്ത്രണങ്ങൾ സൃഷ്ടിക്കുന്നു. ഡിസൈൻ ടൂളുകളിലേക്ക് SDC നിയന്ത്രണങ്ങൾ കൈമാറുന്നത് കുറഞ്ഞ പരിശ്രമവും കുറഞ്ഞ ഡിസൈൻ ആവർത്തനങ്ങളും ഉപയോഗിച്ച് സമയ ക്ലോഷർ നിറവേറ്റാനുള്ള സാധ്യത വർദ്ധിപ്പിക്കുന്നു. കൺസ്ട്രെയിന്റുകളിൽ പരാമർശിച്ചിരിക്കുന്ന എല്ലാ ഡിസൈൻ ഒബ്‌ജക്റ്റുകൾക്കും ടോപ്പ്-ലെവൽ ഇൻസ്റ്റൻസിൽ നിന്നുള്ള പൂർണ്ണ ശ്രേണിപരമായ പാത നൽകിയിരിക്കുന്നു.
7.1 SDC സമയ നിയന്ത്രണങ്ങൾ (ഒരു ചോദ്യം ചോദിക്കുക)
ലിബറോ ഐപി കോർ റഫറൻസ് പ്രോജക്റ്റിൽ, ഈ ഉയർന്ന തലത്തിലുള്ള എസ്ഡിസി നിയന്ത്രണം file കൺസ്ട്രെയിൻറ്റ് മാനേജറിൽ നിന്ന് ലഭ്യമാണ് (ഡിസൈൻ ഫ്ലോ > ഓപ്പൺ മാനേജ് കൺസ്ട്രെയിൻറ്റ് View >സമയം > നിയന്ത്രണങ്ങൾ ഉരുത്തിരിഞ്ഞത്).
മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ - ഐക്കൺ പ്രധാനം: കാണുക ഇത് file നിങ്ങളുടെ ഡിസൈനിൽ CCC, OSC, ട്രാൻസ്‌സീവർ, മറ്റ് ഘടകങ്ങൾ എന്നിവ ഉണ്ടെങ്കിൽ SDC നിയന്ത്രണങ്ങൾ സജ്ജമാക്കാൻ. ആവശ്യമെങ്കിൽ, നിങ്ങളുടെ ഡിസൈൻ ശ്രേണിയുമായി പൊരുത്തപ്പെടുന്നതിന് പൂർണ്ണ ശ്രേണി പാത പരിഷ്‌ക്കരിക്കുക അല്ലെങ്കിൽ അനുബന്ധം C—Derive Constraints യൂട്ടിലിറ്റിയും അനുബന്ധം C-യിലെ ഘട്ടങ്ങളും ഘടക തലത്തിൽ SDC-യിൽ ഉപയോഗിക്കുക. file.
സംരക്ഷിക്കുക file മറ്റൊരു പേരിലേക്ക് മാറ്റി SDC ഇറക്കുമതി ചെയ്യുക. file സിന്തസിസ് ടൂൾ, പ്ലേസ്-ആൻഡ്-റൂട്ട് ടൂൾ, ടൈമിംഗ് വെരിഫിക്കേഷനുകൾ എന്നിവയിലേക്ക്, മറ്റേതൊരു SDC നിയന്ത്രണത്തെയും പോലെ files.
7.1.1 ഉരുത്തിരിഞ്ഞ SDC File (ഒരു ചോദ്യം ചോദിക്കുക)
# ഇത് file ഇനിപ്പറയുന്ന SDC ഉറവിടത്തെ അടിസ്ഥാനമാക്കി സൃഷ്ടിച്ചത് files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
സിഎൽകെ_ഡിഐവി/സിഎൽകെ_ഡിഐവി_0/സിഎൽകെ_ഡിഐവി_സിഎൽകെ_ഡിഐവി_0_പിഎഫ്_സിഎൽകെ_ഡിഐവി.എസ്ഡിസി
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ട്രാൻസ്മിറ്റ്_പിഎൽഎൽ/ട്രാൻസ്മിറ്റ്_പിഎൽഎൽ_0/ട്രാൻസ്മിറ്റ്_പിഎൽഎൽ_ട്രാൻസ്മിറ്റ്_പിഎൽഎൽ_0_പിഎഫ്_ടിഎക്സ്_പിഎൽഎൽ.എസ്ഡിസി
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ഡിഎംഎ_ഇനിറ്റിയേറ്റർ/ഡിഎംഎ_ഇനിറ്റിയേറ്റർ_0/ഡിഎംഎ_ഇനിറ്റിയേറ്റർ.എസ്ഡിസി
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ഐസിഐസിഎൽഇ_എംഎസ്എസ്/ഐസിഐസിഎൽഇ_എംഎസ്എസ്.എസ്ഡിസി
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
പിസിഐഇ_ഇനിറ്റിയേറ്റർ/പിസിഐഇ_ഇനിറ്റിയേറ്റർ_0/പിസിഐഇ_ഇനിറ്റിയേറ്റർ.എസ്ഡിസി
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** ഇതിൽ എന്തെങ്കിലും മാറ്റങ്ങൾ വരുത്തണോ? file ഡിറൈവ്ഡ് കൺസ്ട്രൈന്റ്‌സ് വീണ്ടും പ്രവർത്തിപ്പിച്ചാൽ നഷ്ടപ്പെടും. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -കാലയളവ് 6.25
[ get_pins { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -പീരിയഡ് 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -കാലയളവ് 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25 കൊണ്ട് ഗുണിക്കുക -32 കൊണ്ട് ഹരിക്കുക -ഉറവിടം
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ഘട്ടം 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -25 കൊണ്ട് ഗുണിക്കുക -32 കൊണ്ട് ഹരിക്കുക -ഉറവിടം
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ഘട്ടം 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -25 കൊണ്ട് ഗുണിക്കുക -32 കൊണ്ട് ഹരിക്കുക -ഉറവിടം
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ഘട്ടം 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -25 കൊണ്ട് ഗുണിക്കുക -64 കൊണ്ട് ഹരിക്കുക -ഉറവിടം
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ഘട്ടം 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 കൊണ്ട് ഹരിക്കുക -ഉറവിടം
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -ലേക്ക് [ സെല്ലുകൾ നേടുക { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -ലേക്ക് [ സെല്ലുകൾ നേടുക { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ] അനുബന്ധം ബി—സിമുലേഷൻ പരിസ്ഥിതിയിലേക്ക് സിമുലേഷൻ ലൈബ്രറികൾ ഇറക്കുമതി ചെയ്യുന്നു (ഒരു ചോദ്യം ചോദിക്കുക)
ലിബറോ SoC ഉപയോഗിച്ചുള്ള RTL സിമുലേഷനുള്ള ഡിഫോൾട്ട് സിമുലേറ്റർ മോഡൽസിം ME പ്രോ ആണ്.
ഡിഫോൾട്ട് സിമുലേറ്ററിനായുള്ള പ്രീ-കംപൈൽ ചെയ്ത ലൈബ്രറികൾ ഡയറക്ടറിയിൽ ലിബറോ ഇൻസ്റ്റാളേഷനോടൊപ്പം ലഭ്യമാണ്. /Designer/lib/modelsimpro/precompiled/vlog for® പിന്തുണയ്ക്കുന്ന കുടുംബങ്ങൾ. Libero SoC, ModelSim, Questasim, VCS, Xcelium എന്നിവയുടെ മറ്റ് മൂന്നാം കക്ഷി സിമുലേറ്റർ പതിപ്പുകളെയും പിന്തുണയ്ക്കുന്നു.
, ആക്റ്റീവ് HDL, റിവിയേര പ്രോ എന്നിവയിൽ നിന്ന് മുൻകൂട്ടി തയ്യാറാക്കിയ ലൈബ്രറികൾ ഡൗൺലോഡ് ചെയ്യുക. Libero SoC v12.0 ഉം അതിനുശേഷമുള്ളതും സിമുലേറ്ററിനെയും അതിന്റെ പതിപ്പിനെയും അടിസ്ഥാനമാക്കി.
ലിബറോ പരിസ്ഥിതിക്ക് സമാനമായി, run.do file ലിബറോയ്ക്ക് പുറത്ത് സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുന്നതിന് സൃഷ്ടിക്കണം.
ഒരു ലളിതമായ run.do സൃഷ്ടിക്കുക file സമാഹാര ഫലങ്ങൾ, ലൈബ്രറി മാപ്പിംഗ്, സമാഹാരം, സിമുലേഷൻ എന്നിവയ്ക്കായി ലൈബ്രറി സ്ഥാപിക്കുന്നതിനുള്ള കമാൻഡുകൾ ഇതിലുണ്ട്. ഒരു അടിസ്ഥാന run.do സൃഷ്ടിക്കുന്നതിനുള്ള ഘട്ടങ്ങൾ പാലിക്കുക. file.

  1. vlib കമാൻഡ് vlib presynth ഉപയോഗിച്ച് സമാഹരണ ഫലങ്ങൾ സംഭരിക്കുന്നതിന് ഒരു ലോജിക്കൽ ലൈബ്രറി സൃഷ്ടിക്കുക.
  2. vmap കമാൻഡ് vmap ഉപയോഗിച്ച് ലോജിക്കൽ ലൈബ്രറി നാമം മുൻകൂട്ടി കംപൈൽ ചെയ്ത ലൈബ്രറി ഡയറക്ടറിയിലേക്ക് മാപ്പ് ചെയ്യുക. .
  3. ഉറവിടം കംപൈൽ ചെയ്യുക files—ഡിസൈൻ കംപൈൽ ചെയ്യുന്നതിന് ഭാഷാ-നിർദ്ദിഷ്ട കംപൈലർ കമാൻഡുകൾ ഉപയോഗിക്കുക fileപ്രവർത്തിക്കുന്ന ഡയറക്ടറിയിലേക്ക്.
    – .v/.sv-നുള്ള വ്ലോഗ്
    – .vhd-യ്‌ക്കുള്ള vcom
  4. ഏതെങ്കിലും ടോപ്പ്-ലെവൽ മൊഡ്യൂളിന്റെ പേര് വ്യക്തമാക്കി vsim കമാൻഡ് ഉപയോഗിച്ച് സിമുലേഷനായി ഡിസൈൻ ലോഡ് ചെയ്യുക.
  5. റൺ കമാൻഡ് ഉപയോഗിച്ച് ഡിസൈൻ സിമുലേറ്റ് ചെയ്യുക.
    ഡിസൈൻ ലോഡ് ചെയ്ത ശേഷം, സിമുലേഷൻ സമയം പൂജ്യമായി സജ്ജീകരിച്ചിരിക്കുന്നു, സിമുലേഷൻ ആരംഭിക്കാൻ നിങ്ങൾക്ക് റൺ കമാൻഡ് നൽകാം.
    സിമുലേറ്റർ ട്രാൻസ്ക്രിപ്റ്റ് വിൻഡോയിൽ, run.do എക്സിക്യൂട്ട് ചെയ്യുക file run.do ആയി സിമുലേഷൻ പ്രവർത്തിപ്പിക്കുക. Sample run.do file ഇനിപ്പറയുന്ന രീതിയിൽ.

നിശബ്ദമായി ACTELLIBNAME PolarFire സജ്ജമാക്കുക PROJECT_DIR "W:/Test/basic_test" എന്ന് സജ്ജമാക്കുക എങ്കിൽ
{[file presynth/_info നിലവിലുണ്ട്]} { echo “INFO: സിമുലേഷൻ ലൈബ്രറി presynth നിലവിലുണ്ട്” } else
{ file ഡിലീറ്റ് -ഫോഴ്സ് പ്രെസിന്ത് vlib പ്രെസിന്ത് } vmap പ്രെസിന്ത് പ്രെസിന്ത് vmap പോളാർഫയർ
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” വ്ലോഗ് “+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb വേവ് ചേർക്കുക /tb/*
1000ns ലോഗ് പ്രവർത്തിപ്പിക്കുക /tb/* എക്സിറ്റ്

അനുബന്ധം സി—ഡെറിവ് നിയന്ത്രണങ്ങൾ (ഒരു ചോദ്യം ചോദിക്കുക)

ഈ അനുബന്ധം Derive Constraints Tcl കമാൻഡുകളെ വിവരിക്കുന്നു.
9.1 ഡിറൈവ് കൺസ്ട്രെയിന്റ്സ് Tcl കമാൻഡുകൾ (ഒരു ചോദ്യം ചോദിക്കുക)
ലിബറോ SoC ഡിസൈൻ പരിതസ്ഥിതിക്ക് പുറത്തുള്ള RTL അല്ലെങ്കിൽ കോൺഫിഗറേറ്ററിൽ നിന്ന് കൺസ്ട്രെയിന്റ്സ് ലഭിക്കാൻ derive_constraints യൂട്ടിലിറ്റി നിങ്ങളെ സഹായിക്കുന്നു. നിങ്ങളുടെ ഡിസൈനിനായി കൺസ്ട്രെയിന്റ്സ് സൃഷ്ടിക്കുന്നതിന്, നിങ്ങൾക്ക് യൂസർ HDL, കമ്പോണന്റ് HDL, കമ്പോണന്റ് കൺസ്ട്രെയിന്റ്സ് എന്നിവ ആവശ്യമാണ്. fileഎസ്.ഡിസി ഘടക നിയന്ത്രണങ്ങൾ fileകൾ താഴെ ലഭ്യമാണ് /ഘടകം/കൃതി/ / ഘടക കോൺഫിഗറേഷനും ജനറേഷനും ശേഷം / ഡയറക്ടറി.
ഓരോ ഘടക നിയന്ത്രണവും file set_component tcl കമാൻഡും (ഘടകത്തിന്റെ പേര് വ്യക്തമാക്കുന്നു) കോൺഫിഗറേഷന് ശേഷം ജനറേറ്റ് ചെയ്യുന്ന കൺസ്ട്രൈന്റുകളുടെ പട്ടികയും ഇതിൽ ഉൾപ്പെടുന്നു. കോൺഫിഗറേഷനെ അടിസ്ഥാനമാക്കിയാണ് കൺസ്ട്രൈന്റുകൾ ജനറേറ്റ് ചെയ്യുന്നത്, കൂടാതെ ഓരോ ഘടകത്തിനും പ്രത്യേകവുമാണ്.
Example 9-1. ഘടക നിയന്ത്രണം File PF_CCC കോറിനായി
ഇതാ ഒരു മുൻampഘടക നിയന്ത്രണത്തിന്റെ ലെ file PF_CCC കോറിനായി:
സെറ്റ്_ഘടകം PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# മൈക്രോചിപ്പ് കോർപ്പ്.
# തീയതി: 2021-ഒക്ടോബർ-26 04:36:00
# PLL #0 നുള്ള അടിസ്ഥാന ക്ലോക്ക്
create_clock -പീരിയഡ് 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -1 കൊണ്ട് വിഭജിക്കുക -ഉറവിടം [ get_pins { pll_inst_0/
REF_CLK_0 } ] -ഘട്ടം 0 [ get_pins { pll_inst_0/OUT0 } ] ഇവിടെ, create_clock ഉം create_generated_clock ഉം യഥാക്രമം റഫറൻസും ഔട്ട്‌പുട്ട് ക്ലോക്ക് നിയന്ത്രണങ്ങളുമാണ്, അവ കോൺഫിഗറേഷനെ അടിസ്ഥാനമാക്കി ജനറേറ്റുചെയ്യുന്നു.
9.1.1 derive_constraints യൂട്ടിലിറ്റിയിൽ പ്രവർത്തിക്കുന്നു (ഒരു ചോദ്യം ചോദിക്കുക)
ഡിസൈനിലൂടെ കടന്നുപോകുന്ന നിയന്ത്രണങ്ങൾ കണ്ടെത്തുകയും മുമ്പ് നൽകിയ ഘടക SDC അടിസ്ഥാനമാക്കി ഓരോ ഘടകത്തിനും പുതിയ നിയന്ത്രണങ്ങൾ അനുവദിക്കുകയും ചെയ്യുക. fileസി. സി.സി.സി റഫറൻസ് ക്ലോക്കുകൾക്ക്, റഫറൻസ് ക്ലോക്കിന്റെ ഉറവിടം കണ്ടെത്തുന്നതിനായി അത് ഡിസൈനിലൂടെ തിരികെ വ്യാപിക്കുന്നു. ഉറവിടം ഒരു I/O ആണെങ്കിൽ, റഫറൻസ് ക്ലോക്ക് കൺസ്ട്രൈന്റ് I/O-യിൽ സജ്ജമാക്കും. അത് ഒരു സി.സി.സി ഔട്ട്‌പുട്ടോ മറ്റൊരു ക്ലോക്ക് ഉറവിടമോ ആണെങ്കിൽ (ഉദാ.ample, ട്രാൻസ്‌സീവർ, ഓസിലേറ്റർ), ഇത് മറ്റ് ഘടകത്തിൽ നിന്നുള്ള ക്ലോക്ക് ഉപയോഗിക്കുകയും ഇടവേളകൾ പൊരുത്തപ്പെടുന്നില്ലെങ്കിൽ മുന്നറിയിപ്പ് റിപ്പോർട്ട് ചെയ്യുകയും ചെയ്യുന്നു. നിങ്ങളുടെ RTL-ൽ ഓൺ-ചിപ്പ് ഓസിലേറ്ററുകൾ പോലുള്ള ചില മാക്രോകൾക്കുള്ള കൺസ്ട്രൈന്റ്‌സും ഡെറിവ് കൺസ്ട്രൈന്റ്‌സ് അനുവദിക്കും.
derive_constraints യൂട്ടിലിറ്റി എക്സിക്യൂട്ട് ചെയ്യാൻ, നിങ്ങൾ ഒരു .tcl നൽകണം. file നിർദ്ദിഷ്ട ക്രമത്തിൽ ഇനിപ്പറയുന്ന വിവരങ്ങളുള്ള കമാൻഡ്-ലൈൻ ആർഗ്യുമെന്റ്.

  1. set_device വിഭാഗത്തിലെ വിവരങ്ങൾ ഉപയോഗിച്ച് ഉപകരണ വിവരങ്ങൾ വ്യക്തമാക്കുക.
  2. RTL ലേക്കുള്ള പാത വ്യക്തമാക്കുക fileread_verilog അല്ലെങ്കിൽ read_vhdl വിഭാഗത്തിലെ വിവരങ്ങൾ ഉപയോഗിക്കുന്നു.
  3. set_top_level എന്ന വിഭാഗത്തിലെ വിവരങ്ങൾ ഉപയോഗിച്ച് ടോപ്പ് ലെവൽ മൊഡ്യൂൾ സജ്ജമാക്കുക.
  4. ഘടക SDC യിലേക്കുള്ള പാത വ്യക്തമാക്കുക fileread_sdc അല്ലെങ്കിൽ read_ndc വിഭാഗത്തിലെ വിവരങ്ങൾ ഉപയോഗിക്കുന്നു.
  5. എക്സിക്യൂട്ട് ചെയ്യുക filederive_constraints എന്ന വിഭാഗത്തിലെ വിവരങ്ങൾ ഉപയോഗിച്ച്.
  6.  SDC ഡിറൈവ്ഡ് കൺസ്ട്രൈന്റ്സിലേക്കുള്ള പാത വ്യക്തമാക്കുക file write_sdc അല്ലെങ്കിൽ write_pdc അല്ലെങ്കിൽ write_ndc എന്നീ വിഭാഗങ്ങളിലെ വിവരങ്ങൾ ഉപയോഗിച്ച്.

Example 9-2. derive.tcl ന്റെ നിർവ്വഹണവും ഉള്ളടക്കവും File
ഇനിപ്പറയുന്നത് ഒരു മുൻ ആണ്ampderive_constraints യൂട്ടിലിറ്റി എക്സിക്യൂട്ട് ചെയ്യുന്നതിനുള്ള കമാൻഡ്-ലൈൻ ആർഗ്യുമെന്റ്.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl-ന്റെ ഉള്ളടക്കം file:
# ഉപകരണ വിവരങ്ങൾ
set_device -കുടുംബം പോളാർഫയർ -മരിക്കുക MPF100T -വേഗത -1
# ആർടിഎൽ files
read_verilog -മോഡ് സിസ്റ്റം_verilog പ്രോജക്റ്റ്/ഘടകം/ജോലി/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -മോഡ് സിസ്റ്റം_verilog {project/component/work/txpll0/txpll0.v}
read_verilog -മോഡ് സിസ്റ്റം_verilog {പ്രോജക്റ്റ്/ഘടകം/ജോലി/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v} എന്ന വർഗ്ഗത്തിൽ നിന്നും വിവർത്തനം ചെയ്യപ്പെട്ടിരിക്കുന്നു.
read_verilog -mode system_verilog {project/component/work/xcvr0/xcvr0.v}
read_vhdl -മോഡ് vhdl_2008 {project/hdl/xcvr1.vhd}
#ഘടക SDC files
സെറ്റ്_ടോപ്പ്_ലെവൽ {xcvr1}
read_sdc -ഘടകം {പ്രോജക്റ്റ്/ഘടകം/ജോലി/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc} എന്ന വിലാസത്തിൽ നിന്നും നേരിട്ട് ഡൗൺലോഡ് ചെയ്യുക.
read_sdc -ഘടകം {പ്രോജക്റ്റ്/ഘടകം/ജോലി/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint കമാൻഡ് ഉപയോഗിക്കുക
ഡെറിവേഡ്_കൺസ്ട്രെയിൻറ്റുകൾ
#SDC/PDC/NDC ഫലം files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 സെറ്റ്_ഡിവൈസ് (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
കുടുംബപ്പേര്, മരണ നാമം, വേഗത ഗ്രേഡ് എന്നിവ വ്യക്തമാക്കുക.
സെറ്റ്_ഉപകരണം -കുടുംബം -മരിക്കുക -വേഗത
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
-കുടുംബം സ്ട്രിംഗ് കുടുംബ നാമം വ്യക്തമാക്കുക. സാധ്യമായ മൂല്യങ്ങൾ PolarFire®, PolarFire SoC എന്നിവയാണ്.
-മരിക്കുക സ്ട്രിംഗ് മരണത്തിന്റെ പേര് വ്യക്തമാക്കുക.
-വേഗത സ്ട്രിംഗ് ഉപകരണ വേഗത ഗ്രേഡ് വ്യക്തമാക്കുക. സാധ്യമായ മൂല്യങ്ങൾ STD അല്ലെങ്കിൽ -1 ആണ്.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 ആവശ്യമായ പാരാമീറ്റർ—ഡൈ കാണുന്നില്ല ഡൈ ഓപ്ഷൻ നിർബന്ധമാണ്, അത് വ്യക്തമാക്കിയിരിക്കണം.
ERR0005 അജ്ഞാത ഡൈ 'MPF30' -die ഓപ്ഷന്റെ മൂല്യം ശരിയല്ല. ഓപ്ഷന്റെ വിവരണത്തിൽ സാധ്യമായ മൂല്യങ്ങളുടെ പട്ടിക കാണുക.
ERR0023 പാരാമീറ്റർ—ഡൈയിൽ മൂല്യം കാണുന്നില്ല ഡൈ ഓപ്ഷൻ മൂല്യമില്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ—കുടുംബം കാണുന്നില്ല ഫാമിലി ഓപ്ഷൻ നിർബന്ധമാണ്, അത് വ്യക്തമാക്കുകയും വേണം.
ERR0004 അജ്ഞാത കുടുംബം 'PolarFire®' ഫാമിലി ഓപ്ഷൻ ശരിയല്ല. ഓപ്ഷന്റെ വിവരണത്തിൽ സാധ്യമായ മൂല്യങ്ങളുടെ പട്ടിക കാണുക.
………… തുടർന്നു
പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 പാരാമീറ്റർ—കുടുംബത്തിന് മൂല്യം നഷ്ടപ്പെട്ടിരിക്കുന്നു കുടുംബ ഓപ്ഷൻ മൂല്യമില്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ—വേഗത കാണുന്നില്ല വേഗത ഓപ്ഷൻ നിർബന്ധമാണ്, അത് വ്യക്തമാക്കിയിരിക്കണം.
ERR0007 അജ്ഞാത വേഗത ' ' വേഗത ഓപ്ഷൻ ശരിയല്ല. ഓപ്ഷന്റെ വിവരണത്തിൽ സാധ്യമായ മൂല്യങ്ങളുടെ പട്ടിക കാണുക.
ERR0023 പാരാമീറ്റർ—വേഗതയ്ക്ക് മൂല്യം നഷ്ടപ്പെട്ടിരിക്കുന്നു വേഗത ഓപ്ഷൻ മൂല്യം ഇല്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.

Example
set_device -കുടുംബം {PolarFire} -മരിക്കുക {MPF300T_ES} -വേഗത -1
set_device -കുടുംബം സ്മാർട്ട്ഫ്യൂഷൻ 2 -മരണ M2S090T -വേഗത -1
9.1.3 റീഡ്_വെരിലോഗ് (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
ഒരു വെരിലോഗ് വായിക്കുക file വെരിഫിക് ഉപയോഗിച്ച്.
റീഡ്_വെരിലോഗ് [-ലിബ്] ] [-മോഡ് ]fileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
-ലിബ് സ്ട്രിംഗ് ലൈബ്രറിയിലേക്ക് ചേർക്കേണ്ട മൊഡ്യൂളുകൾ അടങ്ങിയിരിക്കുന്ന ലൈബ്രറി വ്യക്തമാക്കുക.
- മോഡ് സ്ട്രിംഗ് വെരിലോഗ് സ്റ്റാൻഡേർഡ് വ്യക്തമാക്കുക. സാധ്യമായ മൂല്യങ്ങൾ verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu എന്നിവയാണ്. മൂല്യങ്ങൾ കേസ് സെൻസിറ്റീവ് അല്ല. ഡിഫോൾട്ട് verilog_2k ആണ്.
fileപേര് സ്ട്രിംഗ് വെരിലോഗ് file പേര്.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 പാരാമീറ്റർ—lib-ൽ മൂല്യം കാണുന്നില്ല. lib ഓപ്ഷൻ മൂല്യം ഇല്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0023 പാരാമീറ്റർ—മോഡിൽ മൂല്യം കാണുന്നില്ല മോഡ് ഓപ്ഷൻ മൂല്യമില്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0015 അജ്ഞാത മോഡ് ' ' വ്യക്തമാക്കിയ വെരിലോഗ് മോഡ് അജ്ഞാതമാണ്. സാധ്യമായ വെരിലോഗ് മോഡ് ഇൻ—മോഡ് ഓപ്ഷൻ വിവരണത്തിന്റെ പട്ടിക കാണുക.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ file പേര് കാണുന്നില്ല. വെരിലോഗ് ഇല്ല file പാത നൽകിയിട്ടുണ്ട്.
ERR0016 വെരിഫിക്കിന്റെ പാഴ്‌സർ കാരണം പരാജയപ്പെട്ടു. വെരിലോഗിലെ വാക്യഘടന പിശക് file. പിശക് സന്ദേശത്തിന് മുകളിലുള്ള കൺസോളിൽ വെരിഫിക്കിന്റെ പാഴ്‌സർ കാണാൻ കഴിയും.
ERR0012 set_device വിളിച്ചിട്ടില്ല ഉപകരണ വിവരങ്ങൾ വ്യക്തമാക്കിയിട്ടില്ല. ഉപകരണത്തെക്കുറിച്ച് വിവരിക്കാൻ set_device കമാൻഡ് ഉപയോഗിക്കുക.

Example
read_verilog -മോഡ് system_verilog {component/work/top/top.v}
read_verilog -മോഡ് system_verilog_mfcu design.v
9.1.4 റീഡ്_വിഎച്ച്ഡിഎൽ (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
ഒരു VHDL ചേർക്കുക file VHDL ന്റെ പട്ടികയിൽ files.
read_vhdl [-ലിബ് ] [-മോഡ് ]fileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
-ലിബ് ഉള്ളടക്കം ചേർക്കേണ്ട ലൈബ്രറി വ്യക്തമാക്കുക.
- മോഡ് VHDL സ്റ്റാൻഡേർഡ് വ്യക്തമാക്കുന്നു. ഡിഫോൾട്ട് VHDL_93 ആണ്. സാധ്യമായ മൂല്യങ്ങൾ vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl എന്നിവയാണ്. മൂല്യങ്ങൾ കേസ് സെൻസിറ്റീവ് അല്ല.
fileപേര് വി.എച്ച്.ഡി.എൽ file പേര്.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 പാരാമീറ്റർ—lib-ൽ മൂല്യം കാണുന്നില്ല. lib ഓപ്ഷൻ മൂല്യം ഇല്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0023 പാരാമീറ്റർ—മോഡിൽ മൂല്യം കാണുന്നില്ല മോഡ് ഓപ്ഷൻ മൂല്യമില്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0018 അജ്ഞാത മോഡ് ' ' വ്യക്തമാക്കിയ VHDL മോഡ് അജ്ഞാതമാണ്. സാധ്യമായ VHDL മോഡ് ഇൻ—മോഡ് ഓപ്ഷൻ വിവരണത്തിന്റെ പട്ടിക കാണുക.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ file പേര് കാണുന്നില്ല. VHDL ഇല്ല file പാത നൽകിയിട്ടുണ്ട്.
ERR0019 invalid_path.v രജിസ്റ്റർ ചെയ്യാൻ കഴിയുന്നില്ല. file വ്യക്തമാക്കിയ VHDL file നിലവിലില്ല അല്ലെങ്കിൽ വായിക്കാൻ അനുമതിയില്ല.
ERR0012 set_device വിളിച്ചിട്ടില്ല ഉപകരണ വിവരങ്ങൾ വ്യക്തമാക്കിയിട്ടില്ല. ഉപകരണത്തെക്കുറിച്ച് വിവരിക്കാൻ set_device കമാൻഡ് ഉപയോഗിക്കുക.

Example
read_vhdl -മോഡ് vhdl_2008 osc2dfn.vhd
റീഡ്_വിഎച്ച്ഡിഎൽ {എച്ച്ഡിഎൽ/ടോപ്പ്.വിഎച്ച്ഡി}
9.1.5 സെറ്റ്_ടോപ്പ്_ലെവൽ (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
RTL-ൽ ടോപ്പ്-ലെവൽ മൊഡ്യൂളിന്റെ പേര് വ്യക്തമാക്കുക.
സെറ്റ്_ടോപ്പ്_ലെവൽ [-ലിബ് ]
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
-ലിബ് സ്ട്രിംഗ് ടോപ്പ്-ലെവൽ മൊഡ്യൂളോ എന്റിറ്റിയോ തിരയാനുള്ള ലൈബ്രറി (ഓപ്ഷണൽ).
പേര് സ്ട്രിംഗ് ടോപ്പ്-ലെവൽ മൊഡ്യൂളിന്റെയോ എന്റിറ്റിയുടെയോ പേര്.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 ആവശ്യമായ ഉയർന്ന ലെവൽ പാരാമീറ്റർ കാണുന്നില്ല. ഉയർന്ന ലെവൽ ഓപ്ഷൻ നിർബന്ധമാണ്, അത് വ്യക്തമാക്കിയിരിക്കണം.
ERR0023 പാരാമീറ്റർ—lib-ൽ മൂല്യം കാണുന്നില്ല. lib ഓപ്ഷൻ മൂല്യങ്ങളില്ലാതെ വ്യക്തമാക്കിയിരിക്കുന്നു.
ERR0014 ഉയർന്ന ലെവൽ കണ്ടെത്താൻ കഴിയുന്നില്ല. ലൈബ്രറിയിൽ നൽകിയിരിക്കുന്ന ലൈബ്രറിയിൽ വ്യക്തമാക്കിയ ടോപ്പ്-ലെവൽ മൊഡ്യൂൾ നിർവചിച്ചിട്ടില്ല. ഈ പിശക് പരിഹരിക്കാൻ, മുകളിലെ മൊഡ്യൂളോ ലൈബ്രറി നാമമോ തിരുത്തണം.
ERR0017 എലാബറേറ്റ് പരാജയപ്പെട്ടു ആർ‌ടി‌എൽ വിപുലീകരണ പ്രക്രിയയിൽ പിശക്. കൺസോളിൽ നിന്ന് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

Example
സെറ്റ്_ടോപ്പ്_ലെവൽ {ടോപ്പ്}
set_top_level -ലിബ് എച്ച്ഡിഎൽ ടോപ്പ്
9.1.6 read_sdc (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
ഒരു SDC വായിക്കുക file ഘടക ഡാറ്റാബേസിലേക്ക്.
read_sdc -ഘടകംfileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
- ഘടകം നമ്മൾ കൺസ്ട്രൈന്റ്‌സ് ഡിരീവ് ചെയ്യുമ്പോൾ read_sdc കമാൻഡിന് ഇത് നിർബന്ധിത ഫ്ലാഗാണ്.
fileപേര് സ്ട്രിംഗ് SDC-യിലേക്കുള്ള പാത file.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 ആവശ്യമായ പാരാമീറ്റർ file പേര് കാണുന്നില്ല. നിർബന്ധിത ഓപ്ഷൻ file പേര് വ്യക്തമാക്കിയിട്ടില്ല.
ERR0000 എസ്.ഡി.സി file <file_path> വായിക്കാൻ കഴിയുന്നതല്ല. നിർദ്ദിഷ്ട SDC file വായിക്കാൻ അനുമതിയില്ല.
ERR0001 തുറക്കാൻ കഴിയുന്നില്ലfile_പാത്ത്> file. എസ്ഡിസി file നിലവിലില്ല. പാത ശരിയാക്കണം.
ERR0008 set_component കമാൻഡ് കാണുന്നില്ല.file_പാത്ത്> file SDC യുടെ നിർദ്ദിഷ്ട ഘടകം file ഘടകം വ്യക്തമാക്കുന്നില്ല.
പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0009 <List of errors from sdc file> എസ്ഡിസി file തെറ്റായ sdc കമാൻഡുകൾ അടങ്ങിയിരിക്കുന്നു. ഉദാഹരണത്തിന്ampലെ,

set_multicycle_path കൺസ്ട്രൈന്റിൽ ഒരു പിശക് ഉണ്ടാകുമ്പോൾ: read_sdc കമാൻഡ് എക്സിക്യൂട്ട് ചെയ്യുമ്പോൾ പിശക്: infile_പാത്ത്> file: set_multicycle_path കമാൻഡിൽ പിശക്: അജ്ഞാത പാരാമീറ്റർ [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
ഒരു NDC വായിക്കുക file ഘടക ഡാറ്റാബേസിലേക്ക്.
read_ndc -ഘടകംfileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
- ഘടകം നമ്മൾ കൺസ്ട്രൈന്റ്‌സ് ഡിരീവ് ചെയ്യുമ്പോൾ read_ndc കമാൻഡിന് ഇത് നിർബന്ധിത ഫ്ലാഗാണ്.
fileപേര് സ്ട്രിംഗ് NDC യിലേക്കുള്ള പാത file.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0001 തുറക്കാൻ കഴിയുന്നില്ലfile_പാത്ത്> file എൻ‌ഡി‌സി file നിലവിലില്ല. പാത ശരിയാക്കണം.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ—AtclParamO_ കാണുന്നില്ല. നിർബന്ധിത ഓപ്ഷൻ fileപേര് വ്യക്തമാക്കിയിട്ടില്ല.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ—ഘടകം കാണുന്നില്ല. ഘടക ഓപ്ഷൻ നിർബന്ധമാണ്, അത് വ്യക്തമാക്കണം.
ERR0000 എൻ.ഡി.സി file 'file_path>' വായിക്കാൻ കഴിയുന്നതല്ല. നിർദ്ദിഷ്ട എൻ‌ഡി‌സി file വായിക്കാൻ അനുമതിയില്ല.

Example
read_ndc -ഘടകം {ഘടകം/ജോലി/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
കമ്പോണന്റ് എസ്‌ഡിസി ഇൻസ്റ്റന്റിയേറ്റ് ചെയ്യുക fileഡിസൈൻ-ലെവൽ ഡാറ്റാബേസിലേക്ക്.
ഡെറിവേഡ്_കൺസ്ട്രെയിൻറ്റുകൾ
വാദങ്ങൾ

റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0013 ഉയർന്ന നില നിർവചിച്ചിട്ടില്ല. ഇതിനർത്ഥം ടോപ്പ്-ലെവൽ മൊഡ്യൂളോ എന്റിറ്റിയോ വ്യക്തമാക്കിയിട്ടില്ല എന്നാണ്. ഈ കോൾ പരിഹരിക്കാൻ, ഇഷ്യൂ ചെയ്യുക
derive_constraints കമാൻഡിന് മുമ്പ് set_top_level കമാൻഡ്.

Example
ഡെറിവേഡ്_കൺസ്ട്രെയിൻറ്റുകൾ
9.1.9 write_sdc (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
ഒരു നിയന്ത്രണം എഴുതുന്നു file SDC ഫോർമാറ്റിൽ.
എഴുത്ത്_എസ്ഡിസിfileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
<fileപേര്> സ്ട്രിംഗ് SDC-യിലേക്കുള്ള പാത file ജനറേറ്റ് ചെയ്യപ്പെടും. ഇത് നിർബന്ധിത ഓപ്ഷനാണ്. എങ്കിൽ file നിലവിലുണ്ടെങ്കിൽ, അത് തിരുത്തിയെഴുതപ്പെടും.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0003 തുറക്കാൻ കഴിയുന്നില്ലfile പാത> file. File പാത്ത് ശരിയല്ല. പാരന്റ് ഡയറക്ടറികൾ നിലവിലുണ്ടോ എന്ന് പരിശോധിക്കുക.
ERR0002 എസ്.ഡി.സി file 'file 'പാത്ത്' എഴുതാൻ കഴിയില്ല. നിർദ്ദിഷ്ട SDC file എഴുതാൻ അനുമതിയില്ല.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ file പേര് കാണുന്നില്ല. എസ്ഡിസി file പാത ഒരു നിർബന്ധിത ഓപ്ഷനാണ്, അത് വ്യക്തമാക്കണം.

Example
write_sdc “derived.sdc”
9.1.10 write_pdc (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
ഭൗതിക നിയന്ത്രണങ്ങൾ എഴുതുന്നു (ഡെറിവ് നിയന്ത്രണങ്ങൾ മാത്രം).
എഴുത്ത്_പിഡിസിfileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
<fileപേര്> സ്ട്രിംഗ് പിഡിസിയിലേക്കുള്ള വഴി file ജനറേറ്റ് ചെയ്യപ്പെടും. ഇത് നിർബന്ധിത ഓപ്ഷനാണ്. എങ്കിൽ file പാത നിലവിലുണ്ടെങ്കിൽ, അത് തിരുത്തിയെഴുതപ്പെടും.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശങ്ങൾ വിവരണം
ERR0003 തുറക്കാൻ കഴിയുന്നില്ലfile പാത> file ദി file പാത്ത് ശരിയല്ല. പാരന്റ് ഡയറക്ടറികൾ നിലവിലുണ്ടോ എന്ന് പരിശോധിക്കുക.
ERR0002 പി.ഡി.സി file 'file 'പാത്ത്' എഴുതാൻ കഴിയില്ല. നിർദ്ദിഷ്ട പി.ഡി.സി. file എഴുതാൻ അനുമതിയില്ല.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ file പേര് കാണുന്നില്ല. പിഡിസി file പാത ഒരു നിർബന്ധിത ഓപ്ഷനാണ്, അത് വ്യക്തമാക്കണം.

Example
write_pdc “derived.pdc”
9.1.11 write_ndc (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
NDC നിയന്ത്രണങ്ങളെ a ആയി എഴുതുന്നു file.
write_ndc - ഷെയർചാറ്റ് പൊളിച്ചു - ShareChatfileപേര്>
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
fileപേര് സ്ട്രിംഗ് NDC യിലേക്കുള്ള പാത file ജനറേറ്റ് ചെയ്യപ്പെടും. ഇത് നിർബന്ധിത ഓപ്ഷനാണ്. എങ്കിൽ file നിലവിലുണ്ടെങ്കിൽ, അത് തിരുത്തിയെഴുതപ്പെടും.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശങ്ങൾ വിവരണം
ERR0003 തുറക്കാൻ കഴിയുന്നില്ലfile_പാത്ത്> file. File പാത്ത് ശരിയല്ല. പാരന്റ് ഡയറക്ടറികൾ നിലവിലില്ല.
ERR0002 എൻ.ഡി.സി file 'file_path>' എഴുതാൻ കഴിയില്ല. നിർദ്ദിഷ്ട എൻ‌ഡി‌സി file എഴുതാൻ അനുമതിയില്ല.
ERR0023 ആവശ്യമായ പാരാമീറ്റർ _AtclParamO_ കാണുന്നില്ല. എൻ‌ഡി‌സി file പാത ഒരു നിർബന്ധിത ഓപ്ഷനാണ്, അത് വ്യക്തമാക്കണം.

Example
write_ndc “derived.ndc”
9.1.12 add_include_path (ഒരു ചോദ്യം ചോദിക്കുക)
വിവരണം
തിരയാനുള്ള ഒരു പാത വ്യക്തമാക്കുന്നു, അതിൽ ഉൾപ്പെടുന്നു fileRTL വായിക്കുമ്പോൾ files.
ആഡ്_ഇൻക്ലൂഡ്_പാത്ത്
വാദങ്ങൾ

പരാമീറ്റർ ടൈപ്പ് ചെയ്യുക വിവരണം
ഡയറക്ടറി സ്ട്രിംഗ് തിരയാനുള്ള ഒരു പാത വ്യക്തമാക്കുന്നു, അതിൽ ഉൾപ്പെടുന്നു fileRTL വായിക്കുമ്പോൾ files. ഈ ഓപ്ഷൻ നിർബന്ധമാണ്.
റിട്ടേൺ തരം വിവരണം
0 കമാൻഡ് വിജയിച്ചു.
റിട്ടേൺ തരം വിവരണം
1 കമാൻഡ് പരാജയപ്പെട്ടു. ഒരു പിശക് ഉണ്ട്. കൺസോളിൽ നിങ്ങൾക്ക് പിശക് സന്ദേശം നിരീക്ഷിക്കാൻ കഴിയും.

പിശകുകളുടെ പട്ടിക

പിശക് കോഡ് പിശക് സന്ദേശം വിവരണം
ERR0023 ആവശ്യമായ പാരാമീറ്റർ ഉൾപ്പെടുത്തൽ പാത്ത് കാണുന്നില്ല. ഡയറക്ടറി ഓപ്ഷൻ നിർബന്ധമാണ്, അത് നൽകേണ്ടതാണ്.

കുറിപ്പ്: എങ്കിൽ ഡയറക്‌ടറി പാത്ത് ശരിയല്ലെങ്കിൽ, add_include_path ഒരു പിശകും കൂടാതെ കൈമാറും.
എന്നിരുന്നാലും, വെരിഫിക്കിന്റെ പാഴ്‌സർ കാരണം read_verilog/read_vhd കമാൻഡുകൾ പരാജയപ്പെടും.
Example
add_include_path ഘടകം/വർക്ക്/COREABC0/COREABC0_0/rtl/vlog/core

റിവിഷൻ ചരിത്രം (ഒരു ചോദ്യം ചോദിക്കുക)

റിവിഷൻ ഹിസ്റ്ററി പ്രമാണത്തിൽ നടപ്പിലാക്കിയ മാറ്റങ്ങൾ വിവരിക്കുന്നു. ഏറ്റവും പുതിയ പ്രസിദ്ധീകരണത്തിൽ നിന്ന് ആരംഭിക്കുന്ന മാറ്റങ്ങൾ പുനരവലോകനം വഴി ലിസ്‌റ്റ് ചെയ്‌തിരിക്കുന്നു.

പുനരവലോകനം തീയതി വിവരണം
F 08/2024 ഈ റിവിഷനിൽ ഇനിപ്പറയുന്ന മാറ്റങ്ങൾ വരുത്തിയിട്ടുണ്ട്:
• അപ്ഡേറ്റ് ചെയ്ത വിഭാഗം അനുബന്ധം ബി—സിമുലേഷൻ ലൈബ്രറികൾ സിമുലേഷൻ പരിസ്ഥിതിയിലേക്ക് ഇറക്കുമതി ചെയ്യുന്നു.
E 08/2024 ഈ റിവിഷനിൽ ഇനിപ്പറയുന്ന മാറ്റങ്ങൾ വരുത്തിയിട്ടുണ്ട്:
• വിഭാഗം അപ്ഡേറ്റ് ചെയ്തു കഴിഞ്ഞുview.
• ഡിറൈവ്ഡ് SDC എന്ന വിഭാഗം അപ്ഡേറ്റ് ചെയ്തു File.
• അപ്ഡേറ്റ് ചെയ്ത വിഭാഗം അനുബന്ധം ബി—സിമുലേഷൻ ലൈബ്രറികൾ സിമുലേഷൻ പരിസ്ഥിതിയിലേക്ക് ഇറക്കുമതി ചെയ്യുന്നു.
D 02/2024 v2024.1-ൽ നിന്നുള്ള മാറ്റങ്ങളില്ലാതെ ലിബറോ 2023.2 SoC ഡിസൈൻ സ്യൂട്ടിനൊപ്പം ഈ ഡോക്യുമെന്റ് പുറത്തിറക്കിയിരിക്കുന്നു.
derive_constraints യൂട്ടിലിറ്റിയിൽ പ്രവർത്തിക്കുന്നു എന്ന വിഭാഗം അപ്ഡേറ്റ് ചെയ്തു.
C 08/2023 v2023.2-ൽ നിന്നുള്ള മാറ്റങ്ങളില്ലാതെ ലിബറോ 2023.1 SoC ഡിസൈൻ സ്യൂട്ടിനൊപ്പം ഈ ഡോക്യുമെന്റ് പുറത്തിറക്കിയിരിക്കുന്നു.
B 04/2023 v2023.1-ൽ നിന്നുള്ള മാറ്റങ്ങളില്ലാതെ ലിബറോ 2022.3 SoC ഡിസൈൻ സ്യൂട്ടിനൊപ്പം ഈ ഡോക്യുമെന്റ് പുറത്തിറക്കിയിരിക്കുന്നു.
A 12/2022 പ്രാരംഭ പുനരവലോകനം.

മൈക്രോചിപ്പ് FPGA പിന്തുണ
കസ്റ്റമർ സർവീസ്, കസ്റ്റമർ ടെക്‌നിക്കൽ സപ്പോർട്ട് സെന്റർ, എ webസൈറ്റ്, ലോകമെമ്പാടുമുള്ള വിൽപ്പന ഓഫീസുകൾ.
ഉപഭോക്താക്കൾക്ക് പിന്തുണയുമായി ബന്ധപ്പെടുന്നതിന് മുമ്പ് മൈക്രോചിപ്പ് ഓൺലൈൻ ഉറവിടങ്ങൾ സന്ദർശിക്കാൻ നിർദ്ദേശിക്കുന്നു, കാരണം അവരുടെ ചോദ്യങ്ങൾക്ക് ഇതിനകം ഉത്തരം ലഭിച്ചിരിക്കാൻ സാധ്യതയുണ്ട്.
വഴി സാങ്കേതിക സഹായ കേന്ദ്രവുമായി ബന്ധപ്പെടുക webസൈറ്റ് www.microchip.com/support. FPGA ഉപകരണ പാർട്ട് നമ്പർ സൂചിപ്പിക്കുക, ഉചിതമായ കേസ് വിഭാഗം തിരഞ്ഞെടുത്ത് ഡിസൈൻ അപ്‌ലോഡ് ചെയ്യുക fileഒരു സാങ്കേതിക പിന്തുണ കേസ് സൃഷ്ടിക്കുമ്പോൾ s.
ഉൽപ്പന്ന വിലനിർണ്ണയം, ഉൽപ്പന്ന അപ്‌ഗ്രേഡുകൾ, അപ്‌ഡേറ്റ് വിവരങ്ങൾ, ഓർഡർ നില, അംഗീകാരം എന്നിവ പോലുള്ള സാങ്കേതികേതര ഉൽപ്പന്ന പിന്തുണയ്‌ക്കായി ഉപഭോക്തൃ സേവനവുമായി ബന്ധപ്പെടുക.

  • വടക്കേ അമേരിക്കയിൽ നിന്ന്, 800.262.1060 എന്ന നമ്പറിൽ വിളിക്കുക
  • ലോകത്തിൻ്റെ മറ്റു ഭാഗങ്ങളിൽ നിന്ന് 650.318.4460 എന്ന നമ്പറിൽ വിളിക്കുക
  • ഫാക്സ്, ലോകത്തെവിടെ നിന്നും, 650.318.8044

മൈക്രോചിപ്പ് വിവരങ്ങൾ
മൈക്രോചിപ്പ് Webസൈറ്റ്
മൈക്രോചിപ്പ് ഞങ്ങളുടെ വഴി ഓൺലൈൻ പിന്തുണ നൽകുന്നു webസൈറ്റ് www.microchip.com/. ഇത് webസൈറ്റ് നിർമ്മിക്കാൻ ഉപയോഗിക്കുന്നു fileഉപഭോക്താക്കൾക്ക് എളുപ്പത്തിൽ ലഭ്യമാകുന്ന വിവരങ്ങളും. ലഭ്യമായ ചില ഉള്ളടക്കങ്ങളിൽ ഇവ ഉൾപ്പെടുന്നു:

  • ഉൽപ്പന്ന പിന്തുണ - ഡാറ്റ ഷീറ്റുകളും പിശകുകളും, ആപ്ലിക്കേഷൻ കുറിപ്പുകളും എസ്ampലെ പ്രോഗ്രാമുകൾ, ഡിസൈൻ ഉറവിടങ്ങൾ, ഉപയോക്തൃ ഗൈഡുകൾ, ഹാർഡ്‌വെയർ പിന്തുണാ പ്രമാണങ്ങൾ, ഏറ്റവും പുതിയ സോഫ്‌റ്റ്‌വെയർ റിലീസുകൾ, ആർക്കൈവ് ചെയ്‌ത സോഫ്റ്റ്‌വെയർ
  • പൊതുവായ സാങ്കേതിക പിന്തുണ - പതിവായി ചോദിക്കുന്ന ചോദ്യങ്ങൾ (FAQ), സാങ്കേതിക പിന്തുണ അഭ്യർത്ഥനകൾ, ഓൺലൈൻ ചർച്ചാ ഗ്രൂപ്പുകൾ, മൈക്രോചിപ്പ് ഡിസൈൻ പങ്കാളി പ്രോഗ്രാം അംഗങ്ങളുടെ പട്ടിക
  • മൈക്രോചിപ്പിന്റെ ബിസിനസ്സ് - ഉൽപ്പന്ന സെലക്ടറും ഓർഡറിംഗ് ഗൈഡുകളും, ഏറ്റവും പുതിയ മൈക്രോചിപ്പ് പ്രസ് റിലീസുകൾ, സെമിനാറുകളുടെയും ഇവന്റുകളുടെയും ലിസ്റ്റിംഗ്, മൈക്രോചിപ്പ് സെയിൽസ് ഓഫീസുകളുടെ ലിസ്റ്റിംഗുകൾ, വിതരണക്കാർ, ഫാക്ടറി പ്രതിനിധികൾ

ഉൽപ്പന്ന മാറ്റ അറിയിപ്പ് സേവനം
മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളിൽ ഉപഭോക്താക്കളെ നിലനിർത്താൻ മൈക്രോചിപ്പിന്റെ ഉൽപ്പന്ന മാറ്റ അറിയിപ്പ് സേവനം സഹായിക്കുന്നു. ഒരു നിർദ്ദിഷ്‌ട ഉൽപ്പന്ന കുടുംബവുമായോ താൽപ്പര്യമുള്ള ഡെവലപ്‌മെന്റ് ടൂളുമായോ ബന്ധപ്പെട്ട മാറ്റങ്ങൾ, അപ്‌ഡേറ്റുകൾ, പുനരവലോകനങ്ങൾ അല്ലെങ്കിൽ പിശകുകൾ എന്നിവ ഉണ്ടാകുമ്പോഴെല്ലാം വരിക്കാർക്ക് ഇമെയിൽ അറിയിപ്പ് ലഭിക്കും. രജിസ്റ്റർ ചെയ്യുന്നതിന്, പോകുക www.microchip.com/pcn കൂടാതെ രജിസ്ട്രേഷൻ നിർദ്ദേശങ്ങൾ പാലിക്കുക.

ഉപഭോക്തൃ പിന്തുണ
മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളുടെ ഉപയോക്താക്കൾക്ക് നിരവധി ചാനലുകളിലൂടെ സഹായം ലഭിക്കും:

  • വിതരണക്കാരൻ അല്ലെങ്കിൽ പ്രതിനിധി
  • പ്രാദേശിക വിൽപ്പന ഓഫീസ്
  • എംബഡഡ് സൊല്യൂഷൻസ് എഞ്ചിനീയർ (ഇഎസ്ഇ)
  • സാങ്കേതിക സഹായം

പിന്തുണയ്‌ക്കായി ഉപഭോക്താക്കൾ അവരുടെ വിതരണക്കാരനെയോ പ്രതിനിധിയെയോ ഇഎസ്‌ഇയെയോ ബന്ധപ്പെടണം. ഉപഭോക്താക്കളെ സഹായിക്കാൻ പ്രാദേശിക സെയിൽസ് ഓഫീസുകളും ലഭ്യമാണ്. സെയിൽസ് ഓഫീസുകളുടെയും ലൊക്കേഷനുകളുടെയും ഒരു ലിസ്റ്റ് ഈ ഡോക്യുമെന്റിൽ ഉൾപ്പെടുത്തിയിട്ടുണ്ട്. വഴി സാങ്കേതിക പിന്തുണ ലഭ്യമാണ് webസൈറ്റ്: www.microchip.com/support
മൈക്രോചിപ്പ് ഉപകരണങ്ങളുടെ കോഡ് സംരക്ഷണ സവിശേഷത
മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളിലെ കോഡ് പരിരക്ഷണ സവിശേഷതയുടെ ഇനിപ്പറയുന്ന വിശദാംശങ്ങൾ ശ്രദ്ധിക്കുക:

  • മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങൾ അവയുടെ പ്രത്യേക മൈക്രോചിപ്പ് ഡാറ്റ ഷീറ്റിൽ അടങ്ങിയിരിക്കുന്ന സ്പെസിഫിക്കേഷനുകൾ പാലിക്കുന്നു.
  • ഉദ്ദേശിച്ച രീതിയിൽ, ഓപ്പറേറ്റിംഗ് സ്പെസിഫിക്കേഷനുകൾക്കുള്ളിൽ, സാധാരണ അവസ്ഥയിൽ ഉപയോഗിക്കുമ്പോൾ അതിൻ്റെ ഉൽപ്പന്നങ്ങളുടെ കുടുംബം സുരക്ഷിതമാണെന്ന് മൈക്രോചിപ്പ് വിശ്വസിക്കുന്നു.
  • മൈക്രോചിപ്പ് അതിന്റെ ബൗദ്ധിക സ്വത്തവകാശങ്ങളെ വിലമതിക്കുകയും ആക്രമണാത്മകമായി സംരക്ഷിക്കുകയും ചെയ്യുന്നു. മൈക്രോചിപ്പ് ഉൽപ്പന്നത്തിന്റെ കോഡ് പരിരക്ഷണ സവിശേഷതകൾ ലംഘിക്കാനുള്ള ശ്രമങ്ങൾ കർശനമായി നിരോധിച്ചിരിക്കുന്നു കൂടാതെ ഡിജിറ്റൽ മില്ലേനിയം പകർപ്പവകാശ നിയമം ലംഘിച്ചേക്കാം.
  • മൈക്രോചിപ്പിനോ മറ്റേതെങ്കിലും അർദ്ധചാലക നിർമ്മാതാക്കൾക്കോ ​​അതിൻ്റെ കോഡിൻ്റെ സുരക്ഷ ഉറപ്പുനൽകാൻ കഴിയില്ല. കോഡ് പരിരക്ഷണം അർത്ഥമാക്കുന്നത് ഉൽപ്പന്നം "പൊട്ടാത്തത്" ആണെന്ന് ഞങ്ങൾ ഉറപ്പ് നൽകുന്നു എന്നല്ല. കോഡ് സംരക്ഷണം നിരന്തരം വികസിച്ചുകൊണ്ടിരിക്കുന്നു. ഞങ്ങളുടെ ഉൽപ്പന്നങ്ങളുടെ കോഡ് പരിരക്ഷണ സവിശേഷതകൾ തുടർച്ചയായി മെച്ചപ്പെടുത്തുന്നതിന് Microchip പ്രതിജ്ഞാബദ്ധമാണ്.

നിയമപരമായ അറിയിപ്പ്
ഈ പ്രസിദ്ധീകരണവും ഇതിലെ വിവരങ്ങളും നിങ്ങളുടെ ആപ്ലിക്കേഷനുമായി മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങൾ രൂപകൽപ്പന ചെയ്യുന്നതിനും പരിശോധിക്കുന്നതിനും സംയോജിപ്പിക്കുന്നതിനും ഉൾപ്പെടെ, മൈക്രോചിപ്പ് ഉൽപ്പന്നങ്ങളിൽ മാത്രമേ ഉപയോഗിക്കാവൂ. ഈ വിവരങ്ങൾ മറ്റേതെങ്കിലും രീതിയിൽ ഉപയോഗിക്കുന്നത് ഈ നിബന്ധനകൾ ലംഘിക്കുന്നു. ഉപകരണ ആപ്ലിക്കേഷനുകളെക്കുറിച്ചുള്ള വിവരങ്ങൾ നിങ്ങളുടെ സൗകര്യാർത്ഥം മാത്രമാണ് നൽകിയിരിക്കുന്നത്, അപ്ഡേറ്റുകൾ അസാധുവാക്കിയേക്കാം. നിങ്ങളുടെ ആപ്ലിക്കേഷൻ നിങ്ങളുടെ സ്പെസിഫിക്കേഷനുകൾ പാലിക്കുന്നുണ്ടെന്ന് ഉറപ്പാക്കേണ്ടത് നിങ്ങളുടെ ഉത്തരവാദിത്തമാണ്. അധിക പിന്തുണയ്‌ക്കായി നിങ്ങളുടെ പ്രാദേശിക മൈക്രോചിപ്പ് സെയിൽസ് ഓഫീസുമായി ബന്ധപ്പെടുക അല്ലെങ്കിൽ അധിക പിന്തുണ നേടുക www.microchip.com/en-us/support/design-help/client-support-services.
ഈ വിവരം മൈക്രോചിപ്പ് "ഉള്ളതുപോലെ" നൽകുന്നു. MICROCHIP പ്രസ്താവിച്ചതോ സൂചിപ്പിച്ചതോ, രേഖാമൂലമോ വാക്കാലുള്ളതോ, നിയമപരമോ അല്ലാത്തതോ ആയ ഏതെങ്കിലും തരത്തിലുള്ള പ്രതിനിധാനങ്ങളോ വാറന്റികളോ നൽകുന്നില്ല. ലംഘനം, വ്യാപാരം, ഒരു പ്രത്യേക ഉദ്ദേശ്യത്തിനായുള്ള ഫിറ്റ്നസ് അല്ലെങ്കിൽ വാറന്റികൾ അതിന്റെ അവസ്ഥ, ഗുണനിലവാരം അല്ലെങ്കിൽ പ്രകടനം എന്നിവയുമായി ബന്ധപ്പെട്ടിരിക്കുന്നു. ഒരു സാഹചര്യത്തിലും, ഏതെങ്കിലും തരത്തിലുള്ള പരോക്ഷമായ, പ്രത്യേകമായ, ശിക്ഷാപരമായ, ആകസ്മികമായ അല്ലെങ്കിൽ തുടർന്നുള്ള നഷ്ടം, നാശം, ചെലവ്, അല്ലെങ്കിൽ അതിനാവശ്യമായ ഏതെങ്കിലും തരത്തിലുള്ള ചെലവുകൾ എന്നിവയ്‌ക്ക് മൈക്രോചിപ്പ് ബാധ്യസ്ഥനായിരിക്കില്ല. മൈക്രോചിപ്പ് ഉപദേശിച്ചിട്ടുണ്ടെങ്കിലും ഉപയോഗിച്ചു സാധ്യത അല്ലെങ്കിൽ നാശനഷ്ടങ്ങൾ മുൻകൂട്ടി കാണാവുന്നതാണ്. നിയമം അനുവദനീയമായ പരമാവധി, വിവരങ്ങൾ അല്ലെങ്കിൽ അതിന്റെ ഉപയോഗം ബന്ധപ്പെട്ട എല്ലാ ക്ലെയിമുകളിലും മൈക്രോചിപ്പിന്റെ മൊത്തത്തിലുള്ള ബാധ്യത, ഫീസിന്റെ അളവ് കവിയുന്നതല്ല. വിവരങ്ങൾക്ക് ROCHIP.
ലൈഫ് സപ്പോർട്ട്, സുരക്ഷാ ആപ്ലിക്കേഷനുകളിൽ മൈക്രോചിപ്പ് ഉപകരണങ്ങൾ ഉപയോഗിക്കുന്നത് പൂർണ്ണമായും വാങ്ങുന്നയാളുടെ ഉത്തരവാദിത്തത്തിലാണ്, കൂടാതെ അത്തരം ഉപയോഗത്തിൽ നിന്ന് ഉണ്ടാകുന്ന എല്ലാ നാശനഷ്ടങ്ങൾ, പരാതികൾ, കേസുകൾ അല്ലെങ്കിൽ ചെലവുകൾ എന്നിവയിൽ നിന്ന് മൈക്രോചിപ്പിനെ പ്രതിരോധിക്കാനും നഷ്ടപരിഹാരം നൽകാനും ദോഷരഹിതമായി നിലനിർത്താനും വാങ്ങുന്നയാൾ സമ്മതിക്കുന്നു. മറ്റുവിധത്തിൽ പറഞ്ഞിട്ടില്ലെങ്കിൽ, ഏതെങ്കിലും മൈക്രോചിപ്പ് ബൗദ്ധിക സ്വത്തവകാശത്തിന് കീഴിൽ പരോക്ഷമായോ അല്ലാതെയോ ലൈസൻസുകൾ നൽകുന്നില്ല.
വ്യാപാരമുദ്രകൾ
മൈക്രോചിപ്പ് നാമവും ലോഗോയും, മൈക്രോചിപ്പ് ലോഗോ, അഡാപ്‌ടെക്, എവിആർ, എവിആർ ലോഗോ, എവിആർ ഫ്രീക്കുകൾ, ബെസ്‌ടൈം, ബിറ്റ്ക്ലൗഡ്, ക്രിപ്‌റ്റോമെമ്മറി, ക്രിപ്‌റ്റോആർഎഫ്, ഡിഎസ്‌പിഐസി, ഫ്ലെക്‌സ്‌പിഡബ്ല്യുആർ, ഹെൽഡോ, ഇഗ്‌ലൂ, ജ്യൂക്‌ബ്ലോക്‌സ്, കെലെഎക്‌സ്, മാക്‌സ്, മാക്സ്, മാക്സ്, മാക്സ് ഉവ്വ്, MediaLB, megaAVR, മൈക്രോസെമി, മൈക്രോസെമി ലോഗോ, ഏറ്റവുമധികം, ഏറ്റവും കൂടുതൽ ലോഗോ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 ലോഗോ, PolarFire, Prochip ഡിസൈനർ, QTouch, SAM-BA, SenGenuity, Spycomshme Logo, SST, SYFKMST, , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, XMEGA എന്നിവ യുഎസ്എയിലും മറ്റ് രാജ്യങ്ങളിലും സംയോജിപ്പിച്ചിട്ടുള്ള മൈക്രോചിപ്പ് ടെക്നോളജിയുടെ രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രകളാണ്.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus ലോഗോ, സ്മാർട്ട്, എഫ്.ഡബ്ല്യു. TimeCesium, TimeHub, TimePictra, TimeProvider, ZL എന്നിവ യുഎസ്എയിൽ സംയോജിപ്പിച്ചിട്ടുള്ള മൈക്രോചിപ്പ് ടെക്നോളജിയുടെ രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രകളാണ്.
തൊട്ടടുത്തുള്ള കീ സപ്രഷൻ, AKS, അനലോഗ്-ഫോർ-ദി-ഡിജിറ്റൽ ഏജ്, ഏതെങ്കിലും കപ്പാസിറ്റർ, AnyIn, AnyOut, ഓഗ്മെന്റഡ് സ്വിച്ചിംഗ്, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, DMDE, CryptoCompanion, CryptoCompanion, CryptoCompanion. നാമിക് ശരാശരി പൊരുത്തം , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, ഇൻ-സർക്യൂട്ട് സീരിയൽ പ്രോഗ്രാമിംഗ്, ICSP, INICnet, ഇന്റലിജന്റ് പാരലലിംഗ്, ഇന്റലിമോസ്, ഇന്റർ-ചിപ്പ് കണക്റ്റിവിറ്റി, Jitterblocker-Play പരമാവധിView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB സർട്ടിഫൈഡ് ലോഗോ, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omnicient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Powermarilicon IV, Powermarilicon , QMatrix, റിയൽ ICE, റിപ്പിൾ ബ്ലോക്കർ, RTAX, RTG7, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchroancedcdcdc , വിശ്വസനീയ സമയം, TSHARC, ട്യൂറിംഗ്, USB ചെക്ക്, വാരിസെൻസ്, വെക്റ്റർബ്ലോക്സ്, വെരിഫി, ViewSpan, WiperLock, XpressConnect, ZENA എന്നിവ യുഎസ്എയിലും മറ്റ് രാജ്യങ്ങളിലും സംയോജിപ്പിച്ചിട്ടുള്ള മൈക്രോചിപ്പ് ടെക്നോളജിയുടെ വ്യാപാരമുദ്രകളാണ്.
യുഎസ്എയിൽ സംയോജിപ്പിച്ച മൈക്രോചിപ്പ് ടെക്‌നോളജിയുടെ സേവന ചിഹ്നമാണ് SQTP
അഡാപ്‌ടെക് ലോഗോ, ഫ്രീക്വൻസി ഓൺ ഡിമാൻഡ്, സിലിക്കൺ സ്റ്റോറേജ് ടെക്‌നോളജി, സിംകോം എന്നിവ മറ്റ് രാജ്യങ്ങളിലെ മൈക്രോചിപ്പ് ടെക്‌നോളജി ഇങ്കിന്റെ രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രകളാണ്.
GestIC മറ്റ് രാജ്യങ്ങളിലെ മൈക്രോചിപ്പ് ടെക്‌നോളജി ജർമ്മനി II GmbH & Co. KG-യുടെ ഒരു രജിസ്റ്റർ ചെയ്ത വ്യാപാരമുദ്രയാണ്.
ഇവിടെ പരാമർശിച്ചിരിക്കുന്ന മറ്റെല്ലാ വ്യാപാരമുദ്രകളും അതത് കമ്പനികളുടെ സ്വത്താണ്.
2024, മൈക്രോചിപ്പ് ടെക്നോളജി ഇൻകോർപ്പറേറ്റഡും അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളും. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം.
ISBN: 978-1-6683-0183-8
ക്വാളിറ്റി മാനേജ്മെൻ്റ് സിസ്റ്റം
മൈക്രോചിപ്പിൻ്റെ ക്വാളിറ്റി മാനേജ്‌മെൻ്റ് സിസ്റ്റങ്ങളെ കുറിച്ചുള്ള വിവരങ്ങൾക്ക് ദയവായി സന്ദർശിക്കുക www.microchip.com/qualitty.
ലോകമെമ്പാടുമുള്ള വിൽപ്പനയും സേവനവും

അമേരിക്ക  ഏഷ്യ/പസിഫിക്  ഏഷ്യ/പസിഫിക്  യൂറോപ്പ്
കോർപ്പറേറ്റ് ഓഫീസ്
2355 വെസ്റ്റ് ചാൻഡലർ Blvd.
ചാൻഡലർ, AZ 85224-6199
ഫോൺ: 480-792-7200
ഫാക്സ്: 480-792-7277
സാങ്കേതിക സഹായം: www.microchip.com/support
Web വിലാസം: www.microchip.com
അറ്റ്ലാൻ്റ
ദുലുത്ത്, ജി.എ
ഫോൺ: 678-957-9614
ഫാക്സ്: 678-957-1455
ഓസ്റ്റിൻ, TX
ഫോൺ: 512-257-3370
ബോസ്റ്റൺ
വെസ്റ്റ്ബറോ, എംഎ
ഫോൺ: 774-760-0087
ഫാക്സ്: 774-760-0088
ചിക്കാഗോ
ഇറ്റാസ്ക, IL
ഫോൺ: 630-285-0071
ഫാക്സ്: 630-285-0075
ഡാളസ്
അഡിസൺ, ടിഎക്സ്
ഫോൺ: 972-818-7423
ഫാക്സ്: 972-818-2924
ഡിട്രോയിറ്റ്
നോവി, എം.ഐ
ഫോൺ: 248-848-4000
ഹൂസ്റ്റൺ, TX
ഫോൺ: 281-894-5983
ഇൻഡ്യാനപൊളിസ്
നോബിൾസ്‌വില്ലെ, IN
ഫോൺ: 317-773-8323
ഫാക്സ്: 317-773-5453
ഫോൺ: 317-536-2380
ലോസ് ഏഞ്ചൽസ്
മിഷൻ വീജോ, CA
ഫോൺ: 949-462-9523
ഫാക്സ്: 949-462-9608
ഫോൺ: 951-273-7800
റാലി, എൻസി
ഫോൺ: 919-844-7510
ന്യൂയോർക്ക്, NY
ഫോൺ: 631-435-6000
സാൻ ജോസ്, CA
ഫോൺ: 408-735-9110
ഫോൺ: 408-436-4270
കാനഡ - ടൊറൻ്റോ
ഫോൺ: 905-695-1980
ഫാക്സ്: 905-695-2078
ഓസ്ട്രേലിയ - സിഡ്നി
ഫോൺ: 61-2-9868-6733
ചൈന - ബീജിംഗ്
ഫോൺ: 86-10-8569-7000
ചൈന - ചെങ്ഡു
ഫോൺ: 86-28-8665-5511
ചൈന - ചോങ്‌കിംഗ്
ഫോൺ: 86-23-8980-9588
ചൈന - ഡോംഗുവാൻ
ഫോൺ: 86-769-8702-9880
ചൈന - ഗ്വാങ്ഷു
ഫോൺ: 86-20-8755-8029
ചൈന - ഹാങ്‌സോ
ഫോൺ: 86-571-8792-8115
ചൈന - ഹോങ്കോംഗ് SAR
ഫോൺ: 852-2943-5100
ചൈന - നാൻജിംഗ്
ഫോൺ: 86-25-8473-2460
ചൈന - ക്വിംഗ്‌ദാവോ
ഫോൺ: 86-532-8502-7355
ചൈന - ഷാങ്ഹായ്
ഫോൺ: 86-21-3326-8000
ചൈന - ഷെന്യാങ്
ഫോൺ: 86-24-2334-2829
ചൈന - ഷെൻഷെൻ
ഫോൺ: 86-755-8864-2200
ചൈന - സുഷു
ഫോൺ: 86-186-6233-1526
ചൈന - വുഹാൻ
ഫോൺ: 86-27-5980-5300
ചൈന - സിയാൻ
ഫോൺ: 86-29-8833-7252
ചൈന - സിയാമെൻ
ഫോൺ: 86-592-2388138
ചൈന - സുഹായ്
ഫോൺ: 86-756-3210040
ഇന്ത്യ - ബാംഗ്ലൂർ
ഫോൺ: 91-80-3090-4444
ഇന്ത്യ - ന്യൂഡൽഹി
ഫോൺ: 91-11-4160-8631
ഇന്ത്യ - പൂനെ
ഫോൺ: 91-20-4121-0141
ജപ്പാൻ - ഒസാക്ക
ഫോൺ: 81-6-6152-7160
ജപ്പാൻ - ടോക്കിയോ
ഫോൺ: 81-3-6880- 3770
കൊറിയ - ഡേഗു
ഫോൺ: 82-53-744-4301
കൊറിയ - സിയോൾ
ഫോൺ: 82-2-554-7200
മലേഷ്യ - ക്വാലാലംപൂർ
ഫോൺ: 60-3-7651-7906
മലേഷ്യ - പെനാങ്
ഫോൺ: 60-4-227-8870
ഫിലിപ്പീൻസ് - മനില
ഫോൺ: 63-2-634-9065
സിംഗപ്പൂർ
ഫോൺ: 65-6334-8870
തായ്‌വാൻ - ഹ്‌സിൻ ചു
ഫോൺ: 886-3-577-8366
തായ്‌വാൻ - കയോസിയുങ്
ഫോൺ: 886-7-213-7830
തായ്‌വാൻ - തായ്‌പേയ്
ഫോൺ: 886-2-2508-8600
തായ്‌ലൻഡ് - ബാങ്കോക്ക്
ഫോൺ: 66-2-694-1351
വിയറ്റ്നാം - ഹോ ചി മിൻ
ഫോൺ: 84-28-5448-2100
ഓസ്ട്രിയ - വെൽസ്
ഫോൺ: 43-7242-2244-39
ഫാക്സ്: 43-7242-2244-393
ഡെന്മാർക്ക് - കോപ്പൻഹേഗൻ
ഫോൺ: 45-4485-5910
ഫാക്സ്: 45-4485-2829
ഫിൻലാൻഡ് - എസ്പൂ
ഫോൺ: 358-9-4520-820
ഫ്രാൻസ് - പാരീസ്
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
ജർമ്മനി - ഗാർച്ചിംഗ്
ഫോൺ: 49-8931-9700
ജർമ്മനി - ഹാൻ
ഫോൺ: 49-2129-3766400
ജർമ്മനി - Heilbronn
ഫോൺ: 49-7131-72400
ജർമ്മനി - കാൾസ്റൂഹെ
ഫോൺ: 49-721-625370
ജർമ്മനി - മ്യൂണിക്ക്
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
ജർമ്മനി - റോസൻഹൈം
ഫോൺ: 49-8031-354-560
ഇസ്രായേൽ - ഹോദ് ഹഷറോൺ
ഫോൺ: 972-9-775-5100
ഇറ്റലി - മിലാൻ
ഫോൺ: 39-0331-742611
ഫാക്സ്: 39-0331-466781
ഇറ്റലി - പഡോവ
ഫോൺ: 39-049-7625286
നെതർലാൻഡ്സ് - ഡ്രൂണൻ
ഫോൺ: 31-416-690399
ഫാക്സ്: 31-416-690340
നോർവേ - ട്രോൻഡ്ഹൈം
ഫോൺ: 47-72884388
പോളണ്ട് - വാർസോ
ഫോൺ: 48-22-3325737
റൊമാനിയ - ബുക്കാറസ്റ്റ്
Tel: 40-21-407-87-50
സ്പെയിൻ - മാഡ്രിഡ്
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
സ്വീഡൻ - ഗോഥെൻബെർഗ്
Tel: 46-31-704-60-40
സ്വീഡൻ - സ്റ്റോക്ക്ഹോം
ഫോൺ: 46-8-5090-4654
യുകെ - വോക്കിംഗ്ഹാം
ഫോൺ: 44-118-921-5800
ഫാക്സ്: 44-118-921-5820

മൈക്രോചിപ്പ് - ലോഗോ

പ്രമാണങ്ങൾ / വിഭവങ്ങൾ

മൈക്രോചിപ്പ് DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ [pdf] ഉപയോക്തൃ ഗൈഡ്
DS00004807F പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ, DS00004807F, പോളാർഫയർ ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ, ഫാമിലി FPGA കസ്റ്റം ഫ്ലോ, കസ്റ്റം ഫ്ലോ, ഫ്ലോ

റഫറൻസുകൾ

ഒരു അഭിപ്രായം ഇടൂ

നിങ്ങളുടെ ഇമെയിൽ വിലാസം പ്രസിദ്ധീകരിക്കില്ല. ആവശ്യമായ ഫീൽഡുകൾ അടയാളപ്പെടുത്തി *