పోలార్ఫైర్ ఫ్యామిలీ FPGA కస్టమ్ ఫ్లో యూజర్ గైడ్
లిబెరో SoC v2024.2
పరిచయం (ఒక ప్రశ్న అడగండి)
లిబెరో సిస్టమ్-ఆన్-చిప్ (SoC) సాఫ్ట్వేర్ పూర్తిగా ఇంటిగ్రేటెడ్ ఫీల్డ్ ప్రోగ్రామబుల్ గేట్ అర్రే (FPGA) డిజైన్ ఎన్విరాన్మెంట్ను అందిస్తుంది. అయితే, కొంతమంది వినియోగదారులు లిబెరో SoC ఎన్విరాన్మెంట్ వెలుపల థర్డ్-పార్టీ సింథసిస్ మరియు సిమ్యులేషన్ టూల్స్ను ఉపయోగించాలనుకోవచ్చు. లిబెరోను ఇప్పుడు FPGA డిజైన్ ఎన్విరాన్మెంట్లో ఇంటిగ్రేట్ చేయవచ్చు. మొత్తం FPGA డిజైన్ ఫ్లోను నిర్వహించడానికి లిబెరో SoCని ఉపయోగించమని సిఫార్సు చేయబడింది.
ఈ యూజర్ గైడ్ పోలార్ ఫైర్ మరియు పోలార్ ఫైర్ SoC ఫ్యామిలీ పరికరాల కోసం కస్టమ్ ఫ్లోను వివరిస్తుంది, ఇది లిబెరోను పెద్ద FPGA డిజైన్ ఫ్లోలో భాగంగా అనుసంధానించే ప్రక్రియ. మద్దతు ఉన్న పరికర కుటుంబాలు® కింది పట్టిక లిబెరో SoC మద్దతు ఇచ్చే పరికర కుటుంబాలను జాబితా చేస్తుంది. అయితే, ఈ గైడ్లోని కొంత సమాచారం నిర్దిష్ట పరికరాల కుటుంబానికి మాత్రమే వర్తించవచ్చు. ఈ సందర్భంలో, అటువంటి సమాచారం స్పష్టంగా గుర్తించబడుతుంది.
పట్టిక 1. లిబెరో SoC ద్వారా మద్దతు ఇవ్వబడిన పరికర కుటుంబాలు
పరికర కుటుంబం | వివరణ |
PolarFire® | పోలార్ఫైర్ FPGAలు అసాధారణమైన భద్రత మరియు విశ్వసనీయతతో మధ్యస్థ-శ్రేణి సాంద్రతల వద్ద పరిశ్రమలో అత్యల్ప శక్తిని అందిస్తాయి. |
PolarFire SoC | పోలార్ఫైర్ SoC అనేది డిటర్మినిస్టిక్, కోహెరెంట్ RISC-V CPU క్లస్టర్ మరియు Linux® మరియు రియల్-టైమ్ అప్లికేషన్లను ఎనేబుల్ చేసే డిటర్మినిస్టిక్ L2 మెమరీ సబ్సిస్టమ్తో కూడిన మొదటి SoC FPGA. |
పైగాview (ఒక ప్రశ్న అడగండి)
Libero SoC, SoC మరియు FPGA డిజైన్లను అభివృద్ధి చేయడానికి పూర్తిగా ఇంటిగ్రేటెడ్ ఎండ్-టు-ఎండ్ డిజైన్ వాతావరణాన్ని అందిస్తుంది, ఇది Libero SoC వాతావరణం వెలుపల మూడవ పక్ష సాధనాలతో సంశ్లేషణ మరియు అనుకరణను అమలు చేయడానికి సౌలభ్యాన్ని కూడా అందిస్తుంది. అయితే, కొన్ని డిజైన్ దశలు Libero SoC వాతావరణంలోనే ఉండాలి.
కింది పట్టిక FPGA డిజైన్ ప్రవాహంలోని ప్రధాన దశలను జాబితా చేస్తుంది మరియు Libero SoCని ఉపయోగించాల్సిన దశలను సూచిస్తుంది.
పట్టిక 1-1. FPGA డిజైన్ ఫ్లో
డిజైన్ ఫ్లో దశ | లిబెరోను తప్పనిసరిగా ఉపయోగించాలి | వివరణ |
డిజైన్ ఎంట్రీ: HDL | నం | కావాలనుకుంటే Libero® SoC వెలుపల థర్డ్-పార్టీ HDL ఎడిటర్/చెకర్ సాధనాన్ని ఉపయోగించండి. |
డిజైన్ ఎంట్రీ: కాన్ఫిగరేటర్లు | అవును | IP కేటలాగ్ కోర్ కాంపోనెంట్ జనరేషన్ కోసం మొదటి లిబెరో ప్రాజెక్ట్ను సృష్టించండి. |
ఆటోమేటిక్ PDC/SDC పరిమితి ఉత్పత్తి | నం | ఉత్పన్న పరిమితులకు అన్ని HDL అవసరం fileఅనుబంధం C—Derive Constraintsలో వివరించిన విధంగా, Libero SoC వెలుపల నిర్వహించినప్పుడు s మరియు derive_constraints యుటిలిటీ. |
అనుకరణ | నం | అవసరమైతే, Libero SoC వెలుపల మూడవ పక్ష సాధనాన్ని ఉపయోగించండి. లక్ష్య పరికరం, లక్ష్య సిమ్యులేటర్ మరియు బ్యాకెండ్ అమలు కోసం ఉపయోగించే లక్ష్య లిబెరో వెర్షన్ కోసం ముందే సంకలనం చేయబడిన అనుకరణ లైబ్రరీలను డౌన్లోడ్ చేసుకోవడం అవసరం. |
సంశ్లేషణ | నం | కావాలనుకుంటే లిబెరో SoC వెలుపల మూడవ పక్ష సాధనాన్ని ఉపయోగించండి. |
డిజైన్ అమలు: పరిమితులను నిర్వహించండి, నెట్లిస్ట్ను కంపైల్ చేయండి, ప్లేస్-అండ్-రూట్ (పైన చూడండి)view) | అవును | బ్యాకెండ్ అమలు కోసం రెండవ లిబెరో ప్రాజెక్ట్ను సృష్టించండి. |
టైమింగ్ మరియు పవర్ వెరిఫికేషన్ | అవును | రెండవ లిబెరో ప్రాజెక్ట్లో ఉండండి. |
డిజైన్ ఇనిషియలైజేషన్ డేటా మరియు మెమరీలను కాన్ఫిగర్ చేయండి | అవును | పరికరంలో వివిధ రకాల జ్ఞాపకాలను నిర్వహించడానికి మరియు డిజైన్ ఇనిషియలైజేషన్ను రూపొందించడానికి ఈ సాధనాన్ని ఉపయోగించండి. రెండవ ప్రాజెక్ట్లో ఉండండి. |
ప్రోగ్రామింగ్ File తరం | అవును | రెండవ ప్రాజెక్టులో ఉండండి. |
ముఖ్యమైనది: మీరు అందుబాటులో ఉన్న ప్రీకంపైల్డ్ లైబ్రరీలను తప్పనిసరిగా డౌన్లోడ్ చేసుకోవాలి ప్రీకంపైల్డ్ సిమ్యులేషన్ లైబ్రరీలు మూడవ పార్టీ సిమ్యులేటర్ని ఉపయోగించడానికి పేజీ.
స్వచ్ఛమైన ఫాబ్రిక్ FPGA ఫ్లోలో, HDL లేదా స్కీమాటిక్ ఎంట్రీని ఉపయోగించి మీ డిజైన్ను నమోదు చేసి, దానిని నేరుగా పాస్ చేయండి
సంశ్లేషణ సాధనాలకు. ప్రవాహానికి ఇప్పటికీ మద్దతు ఉంది. పోలార్ఫైర్ మరియు పోలార్ఫైర్ SoC FPGAలు గణనీయమైన
లిబెరో SoC IP నుండి కాన్ఫిగరేషన్ కోర్ల (SgCores) ఉపయోగం అవసరమయ్యే యాజమాన్య హార్డ్ IP బ్లాక్లు
SoC కార్యాచరణను కలిగి ఉన్న ఏవైనా బ్లాక్లకు ప్రత్యేక నిర్వహణ అవసరం:
- పోలార్ఫైర్
– PF_UPROM
– PF_SYSTEM_సర్వీసెస్
– పిఎఫ్_సిసిసి
– పిఎఫ్ సిఎల్కె డిఐవి
– పిఎఫ్_క్రిప్టో
– పిఎఫ్_డిఆర్ఐ
– PF_INIT_మానిటర్
– పిఎఫ్_ఎన్జిఎంయుఎక్స్
– పిఎఫ్_ఓఎస్సి
– RAMలు (TPSRAM, DPSRAM, URAM)
– పిఎఫ్_ఎస్ఆర్ఎఎమ్_ఎహెచ్బిఎల్_ఎఎక్స్ఐ
– పిఎఫ్_ఎక్స్సివిఆర్_ఇఆర్ఎం
– పిఎఫ్_ఎక్స్సివిఆర్_ఆర్ఇఎఫ్_సిఎల్కె
– పిఎఫ్_టిఎక్స్_పిఎల్ఎల్
– పిఎఫ్_పిసిఐఇ
– పిఎఫ్_ఐఓ
– పిఎఫ్_ఐఓడి_సిడిఆర్
– పిఎఫ్_ఐఓడి_సిడిఆర్_సిసిసి
– PF_IOD_జనరిక్_RX
– PF_IOD_జనరిక్_TX
– PF_IOD_GENERIC_TX_CCC
– పిఎఫ్_ఆర్జిఎంఐ_టిఓ_జిఎంఐఐ
– పిఎఫ్_ఐఓడి_ఓక్టాల్_డిడిఆర్
– పిఎఫ్_డిడిఆర్3
– పిఎఫ్_డిడిఆర్4
– పిఎఫ్_ఎల్పిడిడిఆర్3
– పిఎఫ్_క్యూడిఆర్
– PF_కోర్స్మార్ట్బర్ట్
– పిఎఫ్_టిAMPER
– PF_TVS, మొదలైనవి.
పైన జాబితా చేయబడిన SgCores తో పాటు, Libero SoC కేటలాగ్లో FPGA ఫాబ్రిక్ వనరులను ఉపయోగించే PolarFire మరియు PolarFire SoC పరికర కుటుంబాల కోసం అనేక DirectCore సాఫ్ట్ IPలు అందుబాటులో ఉన్నాయి.
డిజైన్ ఎంట్రీ కోసం, మీరు మునుపటి భాగాలలో దేనినైనా ఉపయోగిస్తే, మీరు డిజైన్ ఎంట్రీ (కాంపోనెంట్ కాన్ఫిగరేషన్) లో కొంత భాగానికి లిబెరో SoC ని ఉపయోగించాలి, కానీ మీరు మీ మిగిలిన డిజైన్ ఎంట్రీని (HDL ఎంట్రీ, మరియు మొదలైనవి) లిబెరో వెలుపల కొనసాగించవచ్చు. లిబెరో వెలుపల FPGA డిజైన్ ప్రవాహాన్ని నిర్వహించడానికి, ఈ గైడ్లోని మిగిలిన భాగంలో అందించిన దశలను అనుసరించండి.
1.1 కాంపోనెంట్ లైఫ్ సైకిల్ (ఒక ప్రశ్న అడగండి)
కింది దశలు SoC భాగం యొక్క జీవిత చక్రాన్ని వివరిస్తాయి మరియు డేటాను ఎలా నిర్వహించాలో సూచనలను అందిస్తాయి.
- లిబెరో SoC లోని దాని కాన్ఫిగరేటర్ని ఉపయోగించి కాంపోనెంట్ను జనరేట్ చేయండి. ఇది ఈ క్రింది రకాల డేటాను జనరేట్ చేస్తుంది:
– హెచ్డిఎల్ files
– జ్ఞాపకశక్తి files
– ఉద్దీపన మరియు అనుకరణ files
– కాంపోనెంట్ SDC file - HDL కోసం fileలు, బాహ్య డిజైన్ ఎంట్రీ టూల్/ప్రాసెస్ ఉపయోగించి మిగిలిన HDL డిజైన్లో వాటిని ఇన్స్టాంటియేట్ చేయండి మరియు ఇంటిగ్రేట్ చేయండి.
- సరఫరా మెమరీ files మరియు ఉద్దీపన fileమీ సిమ్యులేషన్ టూల్కి కనెక్ట్ చేయండి.
- సరఫరా భాగం SDC file నిర్బంధ జనరేషన్ కోసం నిర్బంధ సాధనాన్ని పొందేందుకు. మరిన్ని వివరాల కోసం అనుబంధం C—నిర్ణయ పరిమితులను చూడండి.
- మీరు రెండవ లిబెరో ప్రాజెక్ట్ను సృష్టించాలి, అక్కడ మీరు పోస్ట్-సింథసిస్ నెట్లిస్ట్ మరియు మీ కాంపోనెంట్ మెటాడేటాను దిగుమతి చేసుకోవాలి, తద్వారా మీరు ఉత్పత్తి చేసిన దానికి మరియు మీరు ప్రోగ్రామ్ చేసిన దానికి మధ్య కనెక్షన్ను పూర్తి చేయాలి.
1.2 లిబెరో SoC ప్రాజెక్ట్ క్రియేషన్ (ఒక ప్రశ్న అడగండి)
కొన్ని డిజైన్ దశలను లిబెరో SoC వాతావరణంలో అమలు చేయాలి (టేబుల్ 1-1). ఈ దశలను అమలు చేయడానికి, మీరు రెండు లిబెరో SoC ప్రాజెక్టులను సృష్టించాలి. మొదటి ప్రాజెక్ట్ డిజైన్ కాంపోనెంట్ కాన్ఫిగరేషన్ మరియు జనరేషన్ కోసం ఉపయోగించబడుతుంది మరియు రెండవ ప్రాజెక్ట్ ఉన్నత స్థాయి డిజైన్ యొక్క భౌతిక అమలు కోసం ఉపయోగించబడుతుంది.
1.3 కస్టమ్ ఫ్లో (ఒక ప్రశ్న అడగండి)
కింది బొమ్మ చూపిస్తుంది:
- లిబెరో SoCని లిబెరో SoC వాతావరణం వెలుపల మూడవ పక్ష సంశ్లేషణ మరియు అనుకరణ సాధనాలతో పెద్ద FPGA డిజైన్ ప్రవాహంలో భాగంగా అనుసంధానించవచ్చు.
- డిజైన్ సృష్టి మరియు కుట్టుపని నుండి పరికరాన్ని ప్రోగ్రామింగ్ చేయడం వరకు, ఈ ప్రవాహంలో వివిధ దశలు ఉంటాయి.
- ప్రతి డిజైన్ ప్రవాహ దశలో జరగాల్సిన డేటా మార్పిడి (ఇన్పుట్లు మరియు అవుట్పుట్లు).
చిట్కా:
- SNVM.cfg, UPROM.cfg
- *.మేము file సిమ్యులేషన్ కోసం జనరేషన్: pa4rtupromgen.exe UPROM.cfg ని ఇన్పుట్గా తీసుకొని UPROM.mem ని ఉత్పత్తి చేస్తుంది.
కస్టమ్ ఫ్లోలో ఈ క్రింది దశలు ఉన్నాయి:
- కాంపోనెంట్ కాన్ఫిగరేషన్ మరియు జనరేషన్:
ఎ. మొదటి లిబెరో ప్రాజెక్ట్ను సృష్టించండి (రిఫరెన్స్ ప్రాజెక్ట్గా పనిచేయడానికి).
బి. కేటలాగ్ నుండి కోర్ను ఎంచుకోండి. దానికి కాంపోనెంట్ పేరు ఇవ్వడానికి మరియు కాంపోనెంట్ను కాన్ఫిగర్ చేయడానికి కోర్పై డబుల్ క్లిక్ చేయండి.
ఇది స్వయంచాలకంగా కాంపోనెంట్ డేటాను ఎగుమతి చేస్తుంది మరియు fileలు. కాంపోనెంట్ మానిఫెస్ట్లు కూడా ఉత్పత్తి చేయబడతాయి. వివరాల కోసం కాంపోనెంట్ మానిఫెస్ట్లను చూడండి. మరిన్ని వివరాల కోసం, కాంపోనెంట్ కాన్ఫిగరేషన్ చూడండి. - లిబెరో వెలుపల మీ RTL డిజైన్ను పూర్తి చేయండి:
ఎ. HDL భాగాన్ని ఇన్స్టాంటియేట్ చేయండి files.
బి. HDL యొక్క స్థానం files అనేది కాంపోనెంట్ మానిఫెస్ట్లలో జాబితా చేయబడింది files. - కాంపోనెంట్ల కోసం SDC పరిమితులను రూపొందించండి. సమయ పరిమితులను రూపొందించడానికి డెరివ్ పరిమితుల యుటిలిటీని ఉపయోగించండి. file(SDC) ఆధారంగా:
ఎ. కాంపోనెంట్ HDL files
బి. కాంపోనెంట్ SDC files
సి. యూజర్ HDL files
మరిన్ని వివరాలకు, అనుబంధం C—ఉత్పన్న పరిమితులు చూడండి. - సంశ్లేషణ సాధనం/అనుకరణ సాధనం:
ఎ. HDL పొందండి files, ఉద్దీపన fileకాంపోనెంట్ మానిఫెస్ట్లలో పేర్కొన్న విధంగా నిర్దిష్ట స్థానాల నుండి కాంపోనెంట్ డేటా.
బి. లిబెరో SoC వెలుపల మూడవ పక్ష సాధనాలతో డిజైన్ను సింథసైజ్ చేయండి మరియు అనుకరించండి. - మీ రెండవ (అమలు) లిబెరో ప్రాజెక్ట్ను సృష్టించండి.
- డిజైన్ ఫ్లో టూల్ చైన్ నుండి సింథసిస్ను తీసివేయండి (ప్రాజెక్ట్ > ప్రాజెక్ట్ సెట్టింగ్లు > డిజైన్ ఫ్లో > ఎనేబుల్ సింథసిస్ చెక్ బాక్స్ను క్లియర్ చేయండి).
- డిజైన్ మూలాన్ని దిగుమతి చేయండి files (సింథసిస్ టూల్ నుండి పోస్ట్-సింథసిస్ *.vm నెట్లిస్ట్):
– సంశ్లేషణ తర్వాత దిగుమతి *.vm నెట్లిస్ట్ (File>దిగుమతి> సింథసైజ్డ్ వెరిలాగ్ నెట్లిస్ట్ (VM)).
– కాంపోనెంట్ మెటాడేటా *.cfg fileuPROM మరియు/లేదా sNVM కోసం s. - ఏదైనా లిబెరో SoC బ్లాక్ కాంపోనెంట్ను దిగుమతి చేసుకోండి fileబ్లాక్ files తప్పనిసరిగా *.cxz లో ఉండాలి file ఫార్మాట్.
బ్లాక్ను ఎలా సృష్టించాలో మరింత సమాచారం కోసం, చూడండి పోలార్ ఫైర్ బ్లాక్ ఫ్లో యూజర్ గైడ్. - డిజైన్ పరిమితులను దిగుమతి చేయండి:
– దిగుమతి I/O పరిమితి files (అడ్డంకుల నిర్వాహకుడు > I/OAttributes > దిగుమతి).
– ఫ్లోర్ ప్లానింగ్ దిగుమతి *.pdc files (కన్స్ట్రైంట్ మేనేజర్ > ఫ్లోర్ ప్లానర్ > దిగుమతి).
– దిగుమతి *.sdc సమయ పరిమితి files (పరిమితుల నిర్వాహకుడు > సమయం >దిగుమతి). SDC ని దిగుమతి చేయండి. file డెరివ్ కన్స్ట్రైంట్ టూల్ ద్వారా రూపొందించబడింది.
– దిగుమతి *.ndc పరిమితి files (అడ్డంకుల నిర్వాహకుడు > నెట్లిస్ట్ గుణాలు > దిగుమతి), ఏదైనా ఉంటే. - నిర్బంధం file మరియు సాధన సంఘం
– పరిమితి మేనేజర్లో, *.pdcని అనుబంధించండి files టు ప్లేస్ మరియు రూట్, ది *.sdc fileలు ఉంచడానికి మరియు రూట్ చేయడానికి మరియు సమయ ధృవీకరణలు, మరియు *.ndc fileనెట్లిస్ట్ను కంపైల్ చేయడానికి s ని ఉపయోగించండి. - పూర్తి డిజైన్ అమలు
- స్థలం మరియు మార్గం, సమయం మరియు శక్తిని ధృవీకరించండి, డిజైన్ ప్రారంభ డేటా మరియు జ్ఞాపకాలను కాన్ఫిగర్ చేయండి మరియు ప్రోగ్రామింగ్ చేయండి file తరం. - డిజైన్ను ధృవీకరించండి
– Libero SoC డిజైన్ సూట్తో అందించబడిన డిజైన్ సాధనాలను ఉపయోగించి FPGAలో డిజైన్ను ధృవీకరించండి మరియు అవసరమైన విధంగా డీబగ్ చేయండి.
కాంపోనెంట్ కాన్ఫిగరేషన్ (ఒక ప్రశ్న అడగండి)
కస్టమ్ ఫ్లోలో మొదటి దశ లిబెరో రిఫరెన్స్ ప్రాజెక్ట్ (టేబుల్ 1-1 లో మొదటి లిబెరో ప్రాజెక్ట్ అని కూడా పిలుస్తారు) ఉపయోగించి మీ భాగాలను కాన్ఫిగర్ చేయడం. తదుపరి దశల్లో, మీరు ఈ రిఫరెన్స్ ప్రాజెక్ట్ నుండి డేటాను ఉపయోగిస్తారు.
మీరు ముందుగా జాబితా చేయబడిన ఏవైనా భాగాలను ఉపయోగిస్తుంటే, ఓవర్ కిందview మీ డిజైన్లో, ఈ విభాగంలో వివరించిన దశలను అమలు చేయండి.
మీరు పైన పేర్కొన్న భాగాలను ఉపయోగించకపోతే, మీరు మీ RTL ను లిబెరో వెలుపల వ్రాసి నేరుగా మీ సింథసిస్ మరియు సిమ్యులేషన్ సాధనాలలోకి దిగుమతి చేసుకోవచ్చు. అప్పుడు మీరు పోస్ట్-సింథసిస్ విభాగానికి వెళ్లి మీ పోస్ట్-సింథసిస్ *.vm నెట్లిస్ట్ను మీ తుది లిబెరో అమలు ప్రాజెక్ట్లోకి మాత్రమే దిగుమతి చేసుకోవచ్చు (టేబుల్ 1-1 లో రెండవ లిబెరో ప్రాజెక్ట్ అని కూడా పిలుస్తారు).
2.1 లిబెరో ఉపయోగించి కాంపోనెంట్ కాన్ఫిగరేషన్ (ఒక ప్రశ్న అడగండి)
మునుపటి జాబితా నుండి ఉపయోగించాల్సిన భాగాలను ఎంచుకున్న తర్వాత, ఈ క్రింది దశలను చేయండి:
- కొత్త లిబెరో ప్రాజెక్ట్ను సృష్టించండి (కోర్ కాన్ఫిగరేషన్ మరియు జనరేషన్): మీరు మీ తుది డిజైన్ను లక్ష్యంగా చేసుకున్న పరికరం మరియు కుటుంబాన్ని ఎంచుకోండి.
- కస్టమ్ ఫ్లోలో పేర్కొన్న ఒకటి లేదా అంతకంటే ఎక్కువ కోర్లను ఉపయోగించండి.
a. స్మార్ట్ డిజైన్ను సృష్టించి, కావలసిన కోర్ను కాన్ఫిగర్ చేసి, దానిని స్మార్ట్ డిజైన్ కాంపోనెంట్లో ఇన్స్టాంటియేట్ చేయండి.
బి. అన్ని పిన్లను ఉన్నత స్థాయికి ప్రమోట్ చేయండి.
సి. స్మార్ట్ డిజైన్ను రూపొందించండి.
d. సిమ్యులేటర్ను ఇన్వోక్ చేయడానికి సిమ్యులేట్ టూల్ (ప్రీ-సింథసిస్ లేదా పోస్ట్-సింథసిస్ లేదా పోస్ట్-లేఅవుట్ ఎంపికలలో ఏదైనా) పై డబుల్ క్లిక్ చేయండి. సిమ్యులేటర్ను ఇన్వోక్ చేసిన తర్వాత మీరు దాని నుండి నిష్క్రమించవచ్చు. ఈ దశ సిమ్యులేషన్ను ఉత్పత్తి చేస్తుంది. fileమీ ప్రాజెక్ట్కు అవసరం.
చిట్కా: మీరు మీరు లిబెరో వెలుపల మీ డిజైన్ను అనుకరించాలనుకుంటే ఈ దశను తప్పక చేయాలి.
మరిన్ని వివరాలకు, మీ డిజైన్ను అనుకరించడం చూడండి.
e. మీ ప్రాజెక్ట్ను సేవ్ చేయండి—ఇది మీ రిఫరెన్స్ ప్రాజెక్ట్.
2.2 కాంపోనెంట్ మానిఫెస్ట్లు (ఒక ప్రశ్న అడగండి)
మీరు మీ భాగాలను ఉత్పత్తి చేసినప్పుడు, ఒక సెట్ fileప్రతి కాంపోనెంట్ కోసం s ఉత్పత్తి అవుతుంది. కాంపోనెంట్ మానిఫెస్ట్ నివేదిక సెట్ను వివరిస్తుంది fileప్రతి తదుపరి దశలో (సింథసిస్, సిమ్యులేషన్, ఫర్మ్వేర్ జనరేషన్, మొదలైనవి) ఉత్పత్తి చేయబడతాయి మరియు ఉపయోగించబడతాయి. ఈ నివేదిక మీకు ఉత్పత్తి చేయబడిన అన్ని స్థానాలను అందిస్తుంది. fileకస్టమ్ ఫ్లోతో కొనసాగడానికి లు అవసరం. మీరు రిపోర్ట్స్ ప్రాంతంలో కాంపోనెంట్ మానిఫెస్ట్ను యాక్సెస్ చేయవచ్చు: రిపోర్ట్స్ ట్యాబ్ను తెరవడానికి డిజైన్ > రిపోర్ట్స్ క్లిక్ చేయండి. రిపోర్ట్స్ ట్యాబ్లో, మీరు manifest.txt సెట్ను చూస్తారు. files (పైగాview), మీరు ఉత్పత్తి చేసిన ప్రతి భాగానికి ఒకటి.
చిట్కా: కాంపోనెంట్ మానిఫెస్ట్ను చూడటానికి మీరు ఒక కాంపోనెంట్ లేదా మాడ్యూల్ను '”రూట్”'గా సెట్ చేయాలి. file నివేదికలు ట్యాబ్లోని విషయాలు.
ప్రత్యామ్నాయంగా, మీరు వ్యక్తిగత మానిఫెస్ట్ నివేదికను యాక్సెస్ చేయవచ్చు fileప్రతి కోర్ కాంపోనెంట్ ఉత్పత్తి చేయబడిన లేదా స్మార్ట్ డిజైన్ కాంపోనెంట్ నుండి /భాగం/పని/ / / _manifest.txt లేదా /భాగం/పని/ / _manifest.txt. మీరు మానిఫెస్ట్ను కూడా యాక్సెస్ చేయవచ్చు file లిబెరోలోని కొత్త కాంపోనెంట్స్ ట్యాబ్ నుండి ఉత్పత్తి చేయబడిన ప్రతి కాంపోనెంట్ యొక్క కంటెంట్లు, ఇక్కడ file ప్రాజెక్ట్ డైరెక్టరీకి సంబంధించి స్థానాలు ప్రస్తావించబడ్డాయి.కింది కాంపోనెంట్ మానిఫెస్ట్ నివేదికలపై దృష్టి పెట్టండి:
- మీరు కోర్లను స్మార్ట్డిజైన్గా ఇన్స్టాంటియేట్ చేసి ఉంటే, చదవండి file _మానిఫెస్ట్.టెక్స్ట్.
- మీరు కోర్ల కోసం భాగాలను సృష్టించినట్లయితే, చదవండి _మానిఫెస్ట్.టెక్స్ట్.
మీ డిజైన్కు వర్తించే అన్ని కాంపోనెంట్ మానిఫెస్ట్ల నివేదికలను మీరు ఉపయోగించాలి. ఉదాహరణకుampలేదా, మీ ప్రాజెక్ట్లో ఒకటి లేదా అంతకంటే ఎక్కువ కోర్ కాంపోనెంట్లు ఇన్స్టాంటియేట్ చేయబడిన స్మార్ట్డిజైన్ ఉంటే మరియు మీరు వాటన్నింటినీ మీ తుది డిజైన్లో ఉపయోగించాలని అనుకుంటే, మీరు తప్పక ఎంచుకోవాలి fileమీ డిజైన్ ఫ్లోలో ఉపయోగించడానికి ఆ అన్ని భాగాల యొక్క కాంపోనెంట్ మానిఫెస్ట్ నివేదికలలో జాబితా చేయబడినవి.
2.3 మానిఫెస్ట్ను వివరించడం Fileలు (ఒక ప్రశ్న అడగండి)
మీరు కాంపోనెంట్ మానిఫెస్ట్ను తెరిచినప్పుడు file, మీరు మార్గాలను చూస్తారు fileమీ లిబెరో ప్రాజెక్ట్లో లు మరియు వాటిని డిజైన్ ఫ్లోలో ఎక్కడ ఉపయోగించాలో సూచనలు. మీరు ఈ క్రింది రకాలను చూడవచ్చు fileమానిఫెస్ట్లో s file:
- HDL మూలం fileఅన్ని సింథసిస్ మరియు సిమ్యులేషన్ సాధనాలకు లు
- ఉద్దీపన fileఅన్ని సిమ్యులేషన్ సాధనాలకు s
- నిర్బంధం files
పోలార్ ఫైర్ కోర్ కాంపోనెంట్ యొక్క కాంపోనెంట్ మానిఫెస్ట్ క్రింది విధంగా ఉంది.ప్రతి రకం file మీ డిజైన్ ప్రవాహంలో దిగువన అవసరం. కింది విభాగాలు ఏకీకరణను వివరిస్తాయి fileమానిఫెస్ట్ నుండి మీ డిజైన్ ప్రవాహంలోకి.
పరిమితి జనరేషన్ (ఒక ప్రశ్న అడగండి)
కాన్ఫిగరేషన్ మరియు జనరేషన్ చేస్తున్నప్పుడు, SDC/PDC/NDC పరిమితిని వ్రాయడం/జనరేట్ చేయడం నిర్ధారించుకోండి. fileడిజైన్ వాటిని సింథసిస్, ప్లేస్-అండ్-రూట్ మరియు వెరిఫై టైమింగ్ టూల్స్కు పంపడానికి.
లిబెరో ఎన్విరాన్మెంట్ వెలుపల డెరివ్ కన్స్ట్రెయిన్ట్స్ యుటిలిటీని ఉపయోగించి వాటిని మాన్యువల్గా వ్రాయడానికి బదులుగా వాటిని రూపొందించండి. లిబెరో ఎన్విరాన్మెంట్ వెలుపల డెరివ్ కన్స్ట్రెయిన్ట్ యుటిలిటీని ఉపయోగించడానికి, మీరు తప్పక:
- సరఫరా వినియోగదారు HDL, కాంపోనెంట్ HDL, మరియు కాంపోనెంట్ SDC పరిమితి files
- ఉన్నత స్థాయి మాడ్యూల్ను పేర్కొనండి
- ఉత్పన్నమైన పరిమితిని సృష్టించే స్థానాన్ని పేర్కొనండి files
SDC కాంపోనెంట్ పరిమితులు కింద అందుబాటులో ఉన్నాయి /భాగం/పని/ / కాంపోనెంట్ కాన్ఫిగరేషన్ మరియు జనరేషన్ తర్వాత / డైరెక్టరీ.
మీ డిజైన్ కోసం అడ్డంకులను ఎలా సృష్టించాలో మరిన్ని వివరాల కోసం, అనుబంధం C—ఉత్పన్న పరిమితులను చూడండి.
మీ డిజైన్ను సంశ్లేషణ చేయడం (ఒక ప్రశ్న అడగండి)
కస్టమ్ ఫ్లో యొక్క ప్రాథమిక లక్షణాలలో ఒకటి మీరు మూడవ పక్ష సంశ్లేషణను ఉపయోగించడానికి అనుమతించడం
లిబెరో వెలుపల ఉన్న సాధనం. కస్టమ్ ఫ్లో సినాప్సిస్ సిన్ప్లిఫైప్రో వాడకానికి మద్దతు ఇస్తుంది. మీ సంశ్లేషణ చేయడానికి
ప్రాజెక్ట్, ఈ క్రింది విధానాన్ని ఉపయోగించండి:
- మీరు సృష్టించిన లిబెరో ప్రాజెక్ట్ వలె అదే పరికర కుటుంబం, డై మరియు ప్యాకేజీని లక్ష్యంగా చేసుకుని, మీ సింథసిస్ సాధనంలో కొత్త ప్రాజెక్ట్ను సృష్టించండి.
ఎ. మీ స్వంత RTL ను దిగుమతి చేసుకోండి fileమీరు సాధారణంగా చేసే విధంగానే.
బి. సింథసిస్ అవుట్పుట్ను స్ట్రక్చరల్ వెరిలాగ్ (.vm) గా సెట్ చేయండి.
చిట్కా: నిర్మాణాత్మకం పోలార్ఫైర్లో వెరిలాగ్ (.vm) మాత్రమే మద్దతు ఉన్న సింథసిస్ అవుట్పుట్ ఫార్మాట్. - HDL కాంపోనెంట్ను దిగుమతి చేసుకోండి fileమీ సింథసిస్ ప్రాజెక్ట్లోకి ప్రవేశించండి:
a. ప్రతి కాంపోనెంట్ మానిఫెస్ట్ నివేదిక కోసం: ప్రతిదానికీ file HDL మూలం కింద fileఅన్ని సింథసిస్ మరియు సిమ్యులేషన్ సాధనాల కోసం, దిగుమతి చేసుకోండి file మీ సింథసిస్ ప్రాజెక్ట్లోకి. - దిగుమతి చేసుకోండి file polarfire_syn_comps.v (Synopsys Synplify ఉపయోగిస్తుంటే) నుండి
మీ సింథసిస్ ప్రాజెక్ట్కి ఇన్స్టాలేషన్ లొకేషన్>/డేటా/aPA5M. - గతంలో జనరేట్ చేసిన SDCని దిగుమతి చేయండి file డెరైవ్డ్ కాన్స్ట్రైంట్ టూల్ ద్వారా (అనుబంధం చూడండి)
ఎ—ఎస్ampSDC పరిమితులు) సింథసిస్ సాధనంలోకి చేర్చండి. ఈ పరిమితి file తక్కువ ప్రయత్నం మరియు తక్కువ డిజైన్ పునరావృతాలతో టైమింగ్ క్లోజర్ సాధించడానికి సింథసిస్ సాధనాన్ని పరిమితం చేస్తుంది.
ముఖ్యమైన:
- మీరు అదే *.sdc ని ఉపయోగించాలని ప్లాన్ చేస్తే file డిజైన్ అమలు దశలో ప్లేస్-అండ్-రూట్ను పరిమితం చేయడానికి, మీరు ఈ *.sdcని సింథసిస్ ప్రాజెక్ట్లోకి దిగుమతి చేసుకోవాలి. డిజైన్ ప్రక్రియ అమలు దశలో సింథసిస్ చేయబడిన నెట్లిస్ట్ మరియు ప్లేస్-అండ్-రూట్ అడ్డంకులలో డిజైన్ ఆబ్జెక్ట్ పేరు అసమతుల్యతలు లేవని నిర్ధారించుకోవడానికి ఇది జరుగుతుంది. మీరు ఈ *.sdcని చేర్చకపోతే file సింథసిస్ దశలో, డిజైన్ ఆబ్జెక్ట్ పేరు అసమతుల్యత కారణంగా సింథసిస్ నుండి ఉత్పత్తి చేయబడిన నెట్లిస్ట్ ప్లేస్ మరియు రూట్ దశను విఫలం చేయవచ్చు.
a. నెట్లిస్ట్ లక్షణాలు *.ndc, ఏదైనా ఉంటే, సింథసిస్ సాధనంలోకి దిగుమతి చేయండి.
బి. సింథసిస్ను అమలు చేయండి. - మీ సింథసిస్ టూల్ అవుట్పుట్ ఉన్న ప్రదేశంలో *.vm నెట్లిస్ట్ ఉంటుంది. file సంశ్లేషణ తర్వాత రూపొందించబడింది. డిజైన్ ప్రక్రియను కొనసాగించడానికి మీరు నెట్లిస్ట్ను లిబెరో ఇంప్లిమెంటేషన్ ప్రాజెక్ట్లోకి దిగుమతి చేసుకోవాలి.
మీ డిజైన్ను అనుకరించడం (ఒక ప్రశ్న అడగండి)
లిబెరో వెలుపల మీ డిజైన్ను అనుకరించడానికి (అంటే, మీ స్వంత అనుకరణ వాతావరణం మరియు సిమ్యులేటర్ను ఉపయోగించడం), ఈ క్రింది దశలను చేయండి:
- డిజైన్ Files:
ఎ. ప్రీ-సింథసిస్ సిమ్యులేషన్:
• మీ సిమ్యులేషన్ ప్రాజెక్ట్లోకి మీ RTLని దిగుమతి చేసుకోండి.
• ప్రతి కాంపోనెంట్ మానిఫెస్ట్ నివేదిక కోసం.
– ప్రతి ఒక్కటి దిగుమతి చేసుకోండి file HDL మూలం కింద fileమీ సిమ్యులేషన్ ప్రాజెక్ట్లోని అన్ని సింథసిస్ మరియు సిమ్యులేషన్ సాధనాల కోసం.
• వీటిని కంపైల్ చేయండి fileమీ సిమ్యులేటర్ సూచనల ప్రకారం.
బి. పోస్ట్-సింథసిస్ సిమ్యులేషన్:
• మీ పోస్ట్-సింథసిస్ *.vm నెట్లిస్ట్ (సింథసైజింగ్ యువర్ డిజైన్లో ఉత్పత్తి చేయబడినది) ను మీ సిమ్యులేషన్ ప్రాజెక్ట్లోకి దిగుమతి చేసుకోండి మరియు దానిని కంపైల్ చేయండి.
సి. పోస్ట్-లేఅవుట్ సిమ్యులేషన్:
• ముందుగా, మీ డిజైన్ను అమలు చేయడం పూర్తి చేయండి (మీ డిజైన్ను అమలు చేయడం చూడండి). మీ చివరి లిబెరో ప్రాజెక్ట్ పోస్ట్-లేఅవుట్ స్థితిలో ఉందని నిర్ధారించుకోండి.
• జనరేట్ బ్యాక్అనోటేటెడ్ పై డబుల్-క్లిక్ చేయండి Fileలిబెరో డిజైన్ ఫ్లో విండోలో లు. ఇది రెండు ఉత్పత్తి చేస్తుంది files:
/డిజైనర్/ / _బా.వి/విహెచ్డి /డిజైనర్/
/ _బా.ఎస్డీఎఫ్
• ఈ రెండింటినీ దిగుమతి చేసుకోండి fileమీ సిమ్యులేషన్ టూల్లోకి నమోదు చేయండి. - ఉద్దీపన మరియు ఆకృతీకరణ files:
a. ప్రతి కాంపోనెంట్ మానిఫెస్ట్ నివేదిక కోసం:
• అన్నీ కాపీ చేయి fileఉద్దీపన కింద Fileమీ సిమ్యులేషన్ ప్రాజెక్ట్ యొక్క రూట్ డైరెక్టరీకి అన్ని సిమ్యులేషన్ టూల్స్ విభాగాల కోసం s.
బి. ఏదైనా Tcl ఉండేలా చూసుకోండి fileమునుపటి జాబితాలలోని (దశ 2.a లో) s అనుకరణ ప్రారంభానికి ముందు ముందుగా అమలు చేయబడతాయి.
c. UPROM.mem: మీరు మీ డిజైన్లో UPROM కోర్ను Use content for simulation enabled for one or more data storage clients అనే ఆప్షన్తో ఉపయోగిస్తే, UPROM.mem ను రూపొందించడానికి మీరు executable pa4rtupromgen (windowsలో pa4rtupromgen.exe) ను ఉపయోగించాలి. file. pa4rtupromgen ఎక్జిక్యూటబుల్ UPROM.cfg ని తీసుకుంటుంది. file Tcl స్క్రిప్ట్ ద్వారా ఇన్పుట్లుగా file మరియు UPROM.mem ను అవుట్పుట్ చేస్తుంది file అనుకరణలకు అవసరం. ఈ UPROM.mem file సిమ్యులేషన్ రన్ చేయడానికి ముందు సిమ్యులేషన్ ఫోల్డర్కు కాపీ చేయాలి. ఒక examppa4rtupromgen ఎక్జిక్యూటబుల్ వాడకాన్ని చూపించే విధానం క్రింది దశల్లో అందించబడింది. file డైరెక్టరీలో అందుబాటులో ఉంది /భాగం/పని/ / మీరు UPROM భాగాన్ని ఉత్పత్తి చేయడానికి ఉపయోగించిన లిబెరో ప్రాజెక్ట్లో.
d. snvm.mem: మీరు మీ డిజైన్లో సిస్టమ్ సర్వీసెస్ కోర్ను ఉపయోగించి, మీరు సిమ్యులేట్ చేయాలనుకుంటున్న ఒకటి లేదా అంతకంటే ఎక్కువ క్లయింట్ల కోసం Use content for simulation enabled అనే ఆప్షన్తో కోర్లోని sNVM ట్యాబ్ను కాన్ఫిగర్ చేస్తే, snvm.mem file స్వయంచాలకంగా జనరేట్ అవుతుంది
డైరెక్టరీ /భాగం/పని/ / మీరు సిస్టమ్ సర్వీసెస్ కాంపోనెంట్ను రూపొందించడానికి ఉపయోగించిన లిబెరో ప్రాజెక్ట్లో. ఈ snvm.mem file సిమ్యులేషన్ రన్ చేయడానికి ముందు సిమ్యులేషన్ ఫోల్డర్కి కాపీ చేయాలి. - పనిచేసే ఫోల్డర్ కింద సిమ్యులేషన్ అనే పేరుతో ఒక వర్కింగ్ ఫోల్డర్ మరియు సబ్ ఫోల్డర్ను సృష్టించండి.
pa4rtupromgen ఎక్జిక్యూటబుల్ పనిచేసే ఫోల్డర్లో సిమ్యులేషన్ సబ్ ఫోల్డర్ ఉనికిని ఆశిస్తుంది మరియు *.tcl స్క్రిప్ట్ సిమ్యులేషన్ సబ్ ఫోల్డర్లో ఉంచబడుతుంది. - UPROM.cfg ని కాపీ చేయండి file పని చేసే ఫోల్డర్లోకి కాంపోనెంట్ జనరేషన్ కోసం సృష్టించబడిన మొదటి లిబెరో ప్రాజెక్ట్ నుండి.
- కింది ఆదేశాలను *.tcl స్క్రిప్ట్లో అతికించి, దశ 3లో సృష్టించబడిన సిమ్యులేషన్ ఫోల్డర్లో ఉంచండి.
SampURPOM.mem ను ఉత్పత్తి చేయడానికి PolarFire మరియు PolarFire Soc ఫ్యామిలీ పరికరాల కోసం le *.tcl file
UPROM.cfg నుండి
సెట్_డివైస్ -ఫ్యామ్ -చనిపో -పీకేజీ
సెట్_ఇన్పుట్_సిఎఫ్జి -పాత్
సెట్_సిమ్_మెమ్ -మార్గంFile/UPROM.mem> లో
gen_sim -use_init తప్పు
డై మరియు ప్యాకేజీ కోసం ఉపయోగించాల్సిన సరైన అంతర్గత పేరు కోసం, *.prjx చూడండి file మొదటి లిబెరో ప్రాజెక్ట్ (భాగాల ఉత్పత్తికి ఉపయోగించబడుతుంది).
use_init అనే ఆర్గ్యుమెంట్ను తప్పుకు సెట్ చేయాలి.
అవుట్పుట్కు మార్గాన్ని పేర్కొనడానికి set_sim_mem ఆదేశాన్ని ఉపయోగించండి. file UPROM.mem అంటే
స్క్రిప్ట్ అమలు చేయబడినప్పుడు రూపొందించబడింది file pa4rtupromgen ఎక్జిక్యూటబుల్ తో. - కమాండ్ ప్రాంప్ట్ లేదా సిగ్విన్ టెర్మినల్ వద్ద, దశ 3 లో సృష్టించబడిన వర్కింగ్ డైరెక్టరీకి వెళ్ళండి.
–script ఎంపికతో pa4rtupromgen ఆదేశాన్ని అమలు చేయండి మరియు మునుపటి దశలో సృష్టించబడిన *.tcl స్క్రిప్ట్ను దానికి పాస్ చేయండి.
Windows కోసం
/డిజైనర్/బిన్/pa4rtupromgen.exe \
–స్క్రిప్ట్./సిమ్యులేషన్/ .టిసిఎల్
Linux కోసం:
/బిన్/పా4ర్టుప్రోమ్జెన్
–స్క్రిప్ట్./సిమ్యులేషన్/ .టిసిఎల్ - pa4rtupromgen ఎక్జిక్యూటబుల్ విజయవంతంగా అమలు చేయబడిన తర్వాత, UPROM.mem ని తనిఖీ చేయండి file *.tcl స్క్రిప్ట్లోని set_sim_mem కమాండ్లో పేర్కొన్న స్థానంలో ఉత్పత్తి అవుతుంది.
- sNVM ను సిమ్యులేట్ చేయడానికి, snvm.mem ను కాపీ చేయండి file మీ మొదటి లిబెరో ప్రాజెక్ట్ (కాంపోనెంట్ కాన్ఫిగరేషన్ కోసం ఉపయోగించబడుతుంది) నుండి మీ సిమ్యులేషన్ ప్రాజెక్ట్ యొక్క టాప్ లెవల్ సిమ్యులేషన్ ఫోల్డర్లోకి సిమ్యులేషన్ను అమలు చేయడానికి (లిబెరో SoC వెలుపల). UPROM కంటెంట్లను సిమ్యులేట్ చేయడానికి, జనరేట్ చేయబడిన UPROM.memను కాపీ చేయండి. file సిమ్యులేషన్ను అమలు చేయడానికి మీ సిమ్యులేషన్ ప్రాజెక్ట్ యొక్క ఉన్నత స్థాయి సిమ్యులేషన్ ఫోల్డర్లోకి (లిబెరో SoC వెలుపల).
ముఖ్యమైనది: కు SoC కాంపోనెంట్స్ యొక్క కార్యాచరణను అనుకరించడానికి, ముందుగా కంపైల్ చేయబడిన పోలార్ ఫైర్ సిమ్యులేషన్ లైబ్రరీలను డౌన్లోడ్ చేసుకోండి మరియు ఇక్కడ వివరించిన విధంగా వాటిని మీ సిమ్యులేషన్ ఎన్విరాన్మెంట్లోకి దిగుమతి చేసుకోండి. మరిన్ని వివరాల కోసం, అనుబంధం B—సిమ్యులేషన్ ఎన్విరాన్మెంట్లోకి సిమ్యులేషన్ లైబ్రరీలను దిగుమతి చేసుకోవడం చూడండి.
మీ డిజైన్ను అమలు చేయడం (ఒక ప్రశ్న అడగండి)
మీ వాతావరణంలో సింథసిస్ మరియు పోస్ట్-సింథసిస్ సిమ్యులేషన్ను పూర్తి చేసిన తర్వాత, మీ డిజైన్ను భౌతికంగా అమలు చేయడానికి, టైమింగ్ మరియు పవర్ విశ్లేషణను అమలు చేయడానికి మరియు మీ ప్రోగ్రామింగ్ను రూపొందించడానికి మీరు మళ్ళీ లిబెరోను ఉపయోగించాలి. file.
- డిజైన్ యొక్క భౌతిక అమలు మరియు లేఅవుట్ కోసం కొత్త లిబెరో ప్రాజెక్ట్ను సృష్టించండి. కాంపోనెంట్ కాన్ఫిగరేషన్లో మీరు సృష్టించిన రిఫరెన్స్ ప్రాజెక్ట్లోని అదే పరికరాన్ని లక్ష్యంగా చేసుకోండి.
- ప్రాజెక్ట్ సృష్టి తర్వాత, డిజైన్ ఫ్లో విండోలోని టూల్ చైన్ నుండి సింథసిస్ను తీసివేయండి (ప్రాజెక్ట్ > ప్రాజెక్ట్ సెట్టింగ్లు > డిజైన్ ఫ్లో > ఎనేబుల్ సింథసిస్ను అన్చెక్ చేయండి).
- మీ పోస్ట్-సింథసిస్ *.vm ని దిగుమతి చేసుకోండి file ఈ ప్రాజెక్టులోకి, (File > దిగుమతి > సింథసైజ్డ్ వెరిలాగ్ నెట్లిస్ట్ (VM)).
చిట్కా: మీరు దీనికి లింక్ను సృష్టించాలని సిఫార్సు చేయబడింది file, తద్వారా మీరు మీ డిజైన్ను తిరిగి సంశ్లేషణ చేస్తే, లిబెరో ఎల్లప్పుడూ తాజా పోస్ట్-సింథసిస్ నెట్లిస్ట్ను ఉపయోగిస్తుంది.
a. డిజైన్ హైరార్కీ విండోలో, రూట్ మాడ్యూల్ పేరును గమనించండి. - లిబెరో ప్రాజెక్ట్లోకి పరిమితులను దిగుమతి చేసుకోండి. *.pdc/*.sdc/*.ndc పరిమితులను దిగుమతి చేసుకోవడానికి పరిమితుల నిర్వాహకుడిని ఉపయోగించండి.
a. దిగుమతి I/O *.pdc పరిమితి files (అడ్డంకుల నిర్వాహకుడు > I/O లక్షణాలు >దిగుమతి).
బి. దిగుమతి ఫ్లోర్ ప్లానింగ్ *.pdc పరిమితి files (కన్స్ట్రైంట్ మేనేజర్ > ఫ్లోర్ ప్లానర్ >ఇంపోర్ట్).
c. దిగుమతి *.sdc సమయ పరిమితి files (కన్స్ట్రైంట్ మేనేజర్ > టైమింగ్ > దిగుమతి). మీ డిజైన్లో ఓవర్లో జాబితా చేయబడిన ఏవైనా కోర్లు ఉంటేview, SDC ని దిగుమతి చేసుకోండి file డెరివ్ కన్స్ట్రైంట్ టూల్ ద్వారా ఉత్పత్తి చేయబడింది.
d. దిగుమతి *.ndc పరిమితి files (కన్స్ట్రైంట్ మేనేజర్ > నెట్లిస్ట్ అట్రిబ్యూట్స్ > ఇంపోర్ట్). - అనుబంధ పరిమితులు Fileఉపకరణాలను రూపొందించడానికి.
a. కాన్స్ట్రైంట్ మేనేజర్ను తెరవండి (కన్స్ట్రైంట్లను నిర్వహించండి > కాన్స్ట్రైంట్లను నిర్వహించండి తెరవండి View).
పరిమితి పక్కన ఉన్న ప్లేస్-అండ్-రూట్ మరియు టైమింగ్ వెరిఫికేషన్ చెక్ బాక్స్ను ఎంచుకోండి. file పరిమితిని ఏర్పాటు చేయడానికి file మరియు సాధన అనుబంధం. *.pdc పరిమితిని Place-andRoute కి మరియు *.sdc ని Place-and-Route మరియు టైమింగ్ ధృవీకరణ రెండింటికీ అనుబంధించండి. *.ndc ని అనుబంధించండి. file నెట్లిస్ట్ను కంపైల్ చేయడానికి.
చిట్కా: ఉంటే ఈ *.sdc పరిమితితో ప్లేస్ మరియు రూట్ విఫలమవుతుంది. file, ఆపై ఇదే *.sdc ని దిగుమతి చేయండి file సంశ్లేషణ మరియు సంశ్లేషణను తిరిగి అమలు చేయడానికి.
- లేఅవుట్ దశను పూర్తి చేయడానికి కంపైల్ నెట్లిస్ట్ను క్లిక్ చేసి, ఆపై ప్లేస్ మరియు రూట్ను క్లిక్ చేయండి.
- కాన్ఫిగర్ డిజైన్ ఇనిషియలైజేషన్ డేటా అండ్ మెమోరీస్ టూల్, నాన్వోలేటైల్ µPROM, sNVM లేదా ఎక్స్టర్నల్ SPI ఫ్లాష్ స్టోరేజ్ మెమరీలో నిల్వ చేయబడిన డేటాను ఉపయోగించి LSRAM, µSRAM, XCVR (ట్రాన్స్సీవర్లు) మరియు PCIe వంటి డిజైన్ బ్లాక్లను ఇనిషియలైజ్ చేయడానికి మిమ్మల్ని అనుమతిస్తుంది. డిజైన్ ఇనిషియలైజేషన్ సీక్వెన్స్, ఇనిషియలైజేషన్ క్లయింట్ల స్పెసిఫికేషన్, యూజర్ డేటా క్లయింట్లను నిర్వచించడానికి ఈ టూల్ కింది ట్యాబ్లను కలిగి ఉంది.
– డిజైన్ ఇనిషియలైజేషన్ ట్యాబ్
– µPROM ట్యాబ్
– sNVM ట్యాబ్
– SPI ఫ్లాష్ ట్యాబ్
– ఫాబ్రిక్ RAMల ట్యాబ్
డిజైన్ ఇనిషియలైజేషన్ డేటా మరియు మెమరీలను కాన్ఫిగర్ చేయడానికి టూల్లోని ట్యాబ్లను ఉపయోగించండి.కాన్ఫిగరేషన్ను పూర్తి చేసిన తర్వాత, ప్రారంభ డేటాను ప్రోగ్రామ్ చేయడానికి క్రింది దశలను చేయండి:
• ఇనిషియలైజేషన్ క్లయింట్లను రూపొందించండి
• బిట్స్ట్రీమ్ను రూపొందించండి లేదా ఎగుమతి చేయండి
• పరికరాన్ని ప్రోగ్రామ్ చేయండి
ఈ సాధనాన్ని ఎలా ఉపయోగించాలో వివరణాత్మక సమాచారం కోసం, లిబెరో SoC డిజైన్ ఫ్లో యూజర్ గైడ్ చూడండి. సాధనంలో వివిధ ట్యాబ్లను కాన్ఫిగర్ చేయడానికి మరియు మెమరీ కాన్ఫిగరేషన్ను పేర్కొనడానికి ఉపయోగించే Tcl ఆదేశాల గురించి మరింత సమాచారం కోసం. files (*.cfg), చూడండి Tcl ఆదేశాల రిఫరెన్స్ గైడ్. - ప్రోగ్రామింగ్ను రూపొందించండి File ఈ ప్రాజెక్ట్ నుండి మరియు మీ FPGA ని ప్రోగ్రామ్ చేయడానికి దీన్ని ఉపయోగించండి.
అనుబంధం A—SampSDC పరిమితులు (ఒక ప్రశ్న అడగండి
లిబెరో SoC, CCC, OSC, ట్రాన్స్సీవర్ మొదలైన కొన్ని IP కోర్ల కోసం SDC టైమింగ్ పరిమితులను ఉత్పత్తి చేస్తుంది. SDC పరిమితులను డిజైన్ సాధనాలకు పాస్ చేయడం వలన తక్కువ ప్రయత్నం మరియు తక్కువ డిజైన్ పునరావృతాలతో టైమింగ్ క్లోజర్ను తీర్చే అవకాశం పెరుగుతుంది. పరిమితుల్లో సూచించబడిన అన్ని డిజైన్ వస్తువులకు ఉన్నత-స్థాయి ఉదాహరణ నుండి పూర్తి క్రమానుగత మార్గం ఇవ్వబడుతుంది.
7.1 SDC సమయ పరిమితులు (ఒక ప్రశ్న అడగండి)
లిబెరో IP కోర్ రిఫరెన్స్ ప్రాజెక్ట్లో, ఈ ఉన్నత-స్థాయి SDC పరిమితి file కన్స్ట్రైంట్ మేనేజర్ (డిజైన్ ఫ్లో > ఓపెన్ మేనేజ్ కన్స్ట్రైంట్) నుండి అందుబాటులో ఉంది. View >సమయం > పరిమితులను పొందడం).
ముఖ్యమైనది: చూడండి ఇది file మీ డిజైన్లో CCC, OSC, ట్రాన్స్సీవర్ మరియు ఇతర భాగాలు ఉంటే SDC పరిమితులను సెట్ చేయడానికి. అవసరమైతే, మీ డిజైన్ సోపానక్రమానికి సరిపోలడానికి పూర్తి క్రమానుగత మార్గాన్ని సవరించండి లేదా కాంపోనెంట్ స్థాయి SDCలో అనుబంధం C—Derive Constraintsలోని Derive_Constraints యుటిలిటీ మరియు దశలను ఉపయోగించండి. file.
సేవ్ చేయండి file వేరే పేరుకు మార్చండి మరియు SDC ని దిగుమతి చేసుకోండి file ఇతర SDC పరిమితి లాగే, సింథసిస్ టూల్, ప్లేస్-అండ్-రూట్ టూల్ మరియు టైమింగ్ వెరిఫికేషన్లకు files.
7.1.1 ఉత్పన్నమైన SDC File (ఒక ప్రశ్న అడగండి)
# ఇది file కింది SDC మూలం ఆధారంగా రూపొందించబడింది files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
సిఎల్కె_డిఐవి/సిఎల్కె_డిఐవి_0/సిఎల్కె_డిఐవి_సిఎల్కె_డిఐవి_0_పిఎఫ్_సిఎల్కె_డిఐవి.ఎస్డిసి
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ట్రాన్స్మిట్_పిఎల్ఎల్/ట్రాన్స్మిట్_పిఎల్ఎల్_0/ట్రాన్స్మిట్_పిఎల్ఎల్_ట్రాన్స్మిట్_పిఎల్ఎల్_0_పిఎఫ్_టిఎక్స్_పిఎల్ఎల్.ఎస్డిసి
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
డిఎంఎ_ఇన్ఐటిఐఏటర్/డిఎంఎ_ఇన్ఐటిఐఏటర్_0/డిఎంఎ_ఇన్ఐటిఐఏటర్.ఎస్డిసి
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ఐసిఐసిఎల్ఈ_ఎంఎస్ఎస్/ఐసిఐసిఎల్ఈ_ఎంఎస్ఎస్.ఎస్డిసి
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
పిసిఐఇ_ఇనిటిఐఏటర్/పిసిఐఇ_ఇనిటిఐఏటర్_0/పిసిఐఇ_ఇనిటిఐఏటర్.ఎస్డిసి
# /drive/aPA5M/cores/constraits/osc_rc160mhz.sdc
# *** దీనికి ఏవైనా మార్పులు file ఉత్పన్నమైన అడ్డంకులను తిరిగి అమలు చేస్తే పోతుంది. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -కాలం 6.25
[ get_pins { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -period 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -పీరియడ్ 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25_ద్వారా_గుణించండి -32_ద్వారా_భాగహారం చేయండి -మూలం
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -దశ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -25_ద్వారా_గుణించండి -32_ద్వారా_భాగహారం చేయండి -మూలం
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -దశ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -25_ద్వారా_గుణించండి -32_ద్వారా_భాగహారం చేయండి -మూలం
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -దశ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -25_ద్వారా_గుణించండి -64_ద్వారా_భాగహారం చేయండి -మూలం
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -దశ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 ద్వారా_భాగస్వామ్యం -మూలం
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayకౌంటర్*/cntGray* } ] -కు [ సెల్స్_గెట్ చేయండి { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayకౌంటర్*/cntGray* } ] -కు [ సెల్స్_గెట్ చేయండి { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ]
అనుబంధం B—సిమ్యులేషన్ లైబ్రరీలను సిమ్యులేషన్ ఎన్విరాన్మెంట్లోకి దిగుమతి చేసుకోవడం (ఒక ప్రశ్న అడగండి)
లిబెరో SoC తో RTL సిమ్యులేషన్ కోసం డిఫాల్ట్ సిమ్యులేటర్ మోడల్సిమ్ ME ప్రో.
డిఫాల్ట్ సిమ్యులేటర్ కోసం ప్రీ-కంపైల్డ్ లైబ్రరీలు డైరెక్టరీలో లిబెరో ఇన్స్టాలేషన్తో అందుబాటులో ఉన్నాయి. /Designer/lib/modelsimpro/precompiled/vlog for® మద్దతు ఉన్న కుటుంబాలు. Libero SoC మోడల్సిమ్, క్వెస్టాసిమ్, VCS, Xcelium యొక్క ఇతర మూడవ-పక్ష సిమ్యులేటర్ల ఎడిషన్లకు కూడా మద్దతు ఇస్తుంది.
, యాక్టివ్ HDL, మరియు రివేరా ప్రో. సంబంధిత ప్రీ-కంపైల్డ్ లైబ్రరీలను దీని నుండి డౌన్లోడ్ చేసుకోండి లిబెరో SoC v12.0 మరియు తరువాత సిమ్యులేటర్ మరియు దాని వెర్షన్ ఆధారంగా.
లిబెరో ఎన్విరాన్మెంట్ లాగానే, run.do file లిబెరో వెలుపల అనుకరణను అమలు చేయడానికి సృష్టించబడాలి.
ఒక సాధారణ run.do ని సృష్టించండి file సంకలన ఫలితాలు, లైబ్రరీ మ్యాపింగ్, సంకలనం మరియు అనుకరణ కోసం లైబ్రరీని స్థాపించడానికి ఆదేశాలను కలిగి ఉంటుంది. ప్రాథమిక run.doని సృష్టించడానికి దశలను అనుసరించండి. file.
- vlib కమాండ్ vlib presynth ఉపయోగించి సంకలన ఫలితాలను నిల్వ చేయడానికి లాజికల్ లైబ్రరీని సృష్టించండి.
- vmap కమాండ్ vmap ఉపయోగించి లాజికల్ లైబ్రరీ పేరును ముందే కంపైల్ చేసిన లైబ్రరీ డైరెక్టరీకి మ్యాప్ చేయండి. .
- మూలాన్ని కంపైల్ చేయండి files—డిజైన్ను కంపైల్ చేయడానికి భాష-నిర్దిష్ట కంపైలర్ ఆదేశాలను ఉపయోగించండి fileవర్కింగ్ డైరెక్టరీలోకి ప్రవేశిస్తుంది.
– .v/.sv కోసం వ్లాగ్
– .vhd కోసం vcom - ఏదైనా ఉన్నత-స్థాయి మాడ్యూల్ పేరును పేర్కొనడం ద్వారా vsim కమాండ్ ఉపయోగించి సిమ్యులేషన్ కోసం డిజైన్ను లోడ్ చేయండి.
- రన్ కమాండ్ ఉపయోగించి డిజైన్ను సిమ్యులేట్ చేయండి.
డిజైన్ను లోడ్ చేసిన తర్వాత, సిమ్యులేషన్ సమయం సున్నాకి సెట్ చేయబడుతుంది మరియు మీరు సిమ్యులేషన్ను ప్రారంభించడానికి రన్ కమాండ్ను నమోదు చేయవచ్చు.
సిమ్యులేటర్ ట్రాన్స్క్రిప్ట్ విండోలో, run.do ని అమలు చేయండి file run.do అనుకరణను అమలు చేస్తుంది. Sampలే రన్.డూ file క్రింది విధంగా.
నిశ్శబ్దంగా ACTELLIBNAME PolarFire ని సెట్ చేయండి PROJECT_DIR ని “W:/Test/basic_test” ని సెట్ చేయండి
{[file presynth/_info ఉంది]} { echo “INFO: సిమ్యులేషన్ లైబ్రరీ presynth ఉంది” } else
{ file తొలగించు -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -వర్క్ ప్రీసింత్
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -వర్క్ ప్రీసింత్ “$
{PROJECT_DIR}/స్టిమ్యులస్/tb.v” vsim -L పోలార్ ఫైర్ -L ప్రీసింత్ -t 1ps ప్రీసింత్.tb వేవ్ /tb/* ని జోడించండి
1000ns లాగ్ /tb/* నిష్క్రమించును అమలు చేయండి
అనుబంధం C—ఉత్పన్న పరిమితులు (ఒక ప్రశ్న అడగండి)
ఈ అనుబంధం డెరివ్ కాన్స్ట్రైంట్స్ Tcl ఆదేశాలను వివరిస్తుంది.
9.1 ఉత్పన్న పరిమితులు Tcl ఆదేశాలు (ఒక ప్రశ్న అడగండి)
లిబెరో SoC డిజైన్ ఎన్విరాన్మెంట్ వెలుపల ఉన్న RTL లేదా కాన్ఫిగరేటర్ నుండి పరిమితులను పొందడంలో derive_constraints యుటిలిటీ మీకు సహాయపడుతుంది. మీ డిజైన్ కోసం పరిమితులను రూపొందించడానికి, మీకు యూజర్ HDL, కాంపోనెంట్ HDL మరియు కాంపోనెంట్ పరిమితులు అవసరం. files. SDC భాగం పరిమితులు fileలు కింద అందుబాటులో ఉన్నాయి /భాగం/పని/ / కాంపోనెంట్ కాన్ఫిగరేషన్ మరియు జనరేషన్ తర్వాత / డైరెక్టరీ.
ప్రతి భాగం పరిమితి file ఇది set_component tcl కమాండ్ (భాగం పేరును పేర్కొంటుంది) మరియు కాన్ఫిగరేషన్ తర్వాత జనరేట్ చేయబడిన అడ్డంకుల జాబితాను కలిగి ఉంటుంది. కాన్ఫిగరేషన్ ఆధారంగా కాన్ఫిగరేషన్లు జనరేట్ చేయబడతాయి మరియు ప్రతి కాంపోనెంట్కు ప్రత్యేకంగా ఉంటాయి.
Example 9-1. కాంపోనెంట్ పరిమితి File PF_CCC కోర్ కోసం
ఇక్కడ ఒక మాజీampకాంపోనెంట్ పరిమితి యొక్క లె file PF_CCC కోర్ కోసం:
సెట్_కాంపోనెంట్ PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# మైక్రోచిప్ కార్పొరేషన్.
# తేదీ: 2021-అక్టోబర్-26 04:36:00
# PLL #0 కోసం బేస్ క్లాక్
create_clock -period 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -divide_by 1 -source [ get_pins { pll_inst_0/
REF_CLK_0 } ] -దశ 0 [ get_pins { pll_inst_0/OUT0 } ] ఇక్కడ, create_clock మరియు create_generated_clock అనేవి వరుసగా రిఫరెన్స్ మరియు అవుట్పుట్ క్లాక్ పరిమితులు, ఇవి కాన్ఫిగరేషన్ ఆధారంగా ఉత్పత్తి చేయబడతాయి.
9.1.1 derive_constraints యుటిలిటీతో పనిచేయడం (ఒక ప్రశ్న అడగండి)
డిజైన్ ద్వారా అడ్డంకులను పొందండి మరియు గతంలో అందించిన కాంపోనెంట్ SDC ఆధారంగా కాంపోనెంట్ యొక్క ప్రతి సందర్భానికి కొత్త అడ్డంకులను కేటాయించండి. files. CCC రిఫరెన్స్ క్లాక్ల కోసం, రిఫరెన్స్ క్లాక్ యొక్క మూలాన్ని కనుగొనడానికి ఇది డిజైన్ ద్వారా తిరిగి వ్యాపిస్తుంది. మూలం I/O అయితే, రిఫరెన్స్ క్లాక్ పరిమితి I/Oపై సెట్ చేయబడుతుంది. అది CCC అవుట్పుట్ లేదా మరొక క్లాక్ సోర్స్ అయితే (ఉదాహరణకుample, ట్రాన్స్సీవర్, ఓసిలేటర్), ఇది ఇతర భాగం నుండి గడియారాన్ని ఉపయోగిస్తుంది మరియు విరామాలు సరిపోలకపోతే హెచ్చరికను నివేదిస్తుంది. మీ RTLలో ఆన్-చిప్ ఓసిలేటర్ల వంటి కొన్ని మాక్రోలకు కూడా డెరివ్ అడ్డంకులు నిర్బంధాలను కేటాయిస్తాయి.
derive_constraints యుటిలిటీని అమలు చేయడానికి, మీరు తప్పనిసరిగా .tcl ని సరఫరా చేయాలి file పేర్కొన్న క్రమంలో కింది సమాచారంతో కమాండ్-లైన్ ఆర్గ్యుమెంట్.
- set_device విభాగంలోని సమాచారాన్ని ఉపయోగించి పరికర సమాచారాన్ని పేర్కొనండి.
- RTL కి మార్గాన్ని పేర్కొనండి fileread_verilog లేదా read_vhdl విభాగంలోని సమాచారాన్ని ఉపయోగించి.
- set_top_level విభాగంలోని సమాచారాన్ని ఉపయోగించి ఉన్నత స్థాయి మాడ్యూల్ను సెట్ చేయండి.
- SDC కాంపోనెంట్ కు పాత్ ను పేర్కొనండి fileread_sdc లేదా read_ndc విభాగంలోని సమాచారాన్ని ఉపయోగించి.
- అమలు చేయండి filederive_constraints విభాగంలోని సమాచారాన్ని ఉపయోగించి.
- SDC ఉత్పన్నమైన అడ్డంకులకు మార్గాన్ని పేర్కొనండి file write_sdc లేదా write_pdc లేదా write_ndc విభాగంలోని సమాచారాన్ని ఉపయోగించి.
Example 9-2. derive.tcl యొక్క అమలు మరియు విషయాలు File
కిందిది మాజీampderive_constraints యుటిలిటీని అమలు చేయడానికి le కమాండ్-లైన్ ఆర్గ్యుమెంట్.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl యొక్క కంటెంట్లు file:
# పరికర సమాచారం
సెట్_డివైస్ -ఫ్యామిలీ పోలార్ ఫైర్ -డై MPF100T -స్పీడ్ -1
# ఆర్టీఎల్ files
read_verilog -mode system_verilog ప్రాజెక్ట్/భాగం/పని/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v ద్వారా
read_verilog -mode system_verilog {ప్రాజెక్ట్/కంపోనెంట్/వర్క్/txpll0/txpll0.v}
read_verilog -మోడ్ సిస్టమ్_వెరిలాగ్ {ప్రాజెక్ట్/కాంపోనెంట్/వర్క్/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v} ద్వారా
read_verilog -mode system_verilog {ప్రాజెక్ట్/కంపోనెంట్/వర్క్/xcvr0/xcvr0.v}
read_vhdl -మోడ్ vhdl_2008 {ప్రాజెక్ట్/hdl/xcvr1.vhd}
#కాంపోనెంట్ SDC files
సెట్_టాప్_లెవల్ {xcvr1}
read_sdc -భాగం {ప్రాజెక్ట్/భాగం/పని/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc} ద్వారా
read_sdc -కంపోనెంట్ {ప్రాజెక్ట్/కాంపోనెంట్/వర్క్/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc} ద్వారా
#derive_constraint కమాండ్ ఉపయోగించండి
ఉత్పన్నం_అడ్డంకులు
#SDC/PDC/NDC ఫలితం files
write_sdc {ప్రాజెక్ట్/కన్స్ట్రైంట్/xcvr1_derived_constraints.sdc}
write_pdc {ప్రాజెక్ట్/కన్స్ట్రైంట్/fp/xcvr1_derived_constraints.pdc}
9.1.2 సెట్_డివైస్ (ఒక ప్రశ్న అడగండి)
వివరణ
కుటుంబ పేరు, డై పేరు మరియు స్పీడ్ గ్రేడ్ను పేర్కొనండి.
సెట్_డివైస్ -కుటుంబం -చనిపో -వేగం
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
-కుటుంబం | స్ట్రింగ్ | కుటుంబ పేరును పేర్కొనండి. సాధ్యమయ్యే విలువలు PolarFire®, PolarFire SoC. |
-చనిపో | స్ట్రింగ్ | చావడి పేరును పేర్కొనండి. |
-వేగం | స్ట్రింగ్ | పరికర వేగ గ్రేడ్ను పేర్కొనండి. సాధ్యమయ్యే విలువలు STD లేదా -1. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | అవసరమైన పరామితి—డై లేదు | డై ఎంపిక తప్పనిసరి మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
ERR0005 | తెలియని డై 'MPF30' | -die ఆప్షన్ విలువ సరైనది కాదు. ఆప్షన్ వివరణలో సాధ్యమయ్యే విలువల జాబితాను చూడండి. |
ERR0023 | పరామితి—డై విలువ లేదు | డై ఎంపిక విలువ లేకుండా పేర్కొనబడింది. |
ERR0023 | అవసరమైన పరామితి—కుటుంబం లేదు | కుటుంబ ఎంపిక తప్పనిసరి మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
ERR0004 | తెలియని కుటుంబం 'పోలార్ఫైర్®' | కుటుంబ ఎంపిక సరైనది కాదు. ఎంపిక వివరణలో సాధ్యమయ్యే విలువల జాబితాను చూడండి. |
………… కొనసాగింది | ||
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | పరామితి—కుటుంబం విలువ లేదు | కుటుంబ ఎంపిక విలువ లేకుండా పేర్కొనబడింది. |
ERR0023 | అవసరమైన పరామితి—వేగం లేదు | వేగం ఎంపిక తప్పనిసరి మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
ERR0007 | తెలియని వేగం ' ' | వేగం ఎంపిక సరైనది కాదు. ఎంపిక వివరణలో సాధ్యమయ్యే విలువల జాబితాను చూడండి. |
ERR0023 | పరామితి—వేగం విలువ లేదు | వేగం ఎంపిక విలువ లేకుండా పేర్కొనబడింది. |
Example
సెట్_డివైస్ -ఫ్యామిలీ {పోలార్ ఫైర్} -డై {MPF300T_ES} -స్పీడ్ -1
set_device -family SmartFusion 2 -die M2S090T -స్పీడ్ -1
9.1.3 రీడ్_వెరిలాగ్ (ఒక ప్రశ్న అడగండి)
వివరణ
వెరిలాగ్ చదవండి file వెరిఫిక్ ఉపయోగించి.
read_verilog [-lib] చదవడానికి ] [-మోడ్ ]fileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
-లిబ్ | స్ట్రింగ్ | లైబ్రరీకి జోడించాల్సిన మాడ్యూళ్ళను కలిగి ఉన్న లైబ్రరీని పేర్కొనండి. |
-మోడ్ | స్ట్రింగ్ | వెరిలాగ్ ప్రమాణాన్ని పేర్కొనండి. సాధ్యమయ్యే విలువలు verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. విలువలు కేస్ ఇన్సెన్సిటివ్. డిఫాల్ట్ verilog_2k. |
fileపేరు | స్ట్రింగ్ | వెరిలోగ్ file పేరు. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | పరామితి—lib విలువ లేదు | lib ఆప్షన్ విలువ లేకుండా పేర్కొనబడింది. |
ERR0023 | పరామితి—మోడ్లో విలువ లేదు | మోడ్ ఎంపిక విలువ లేకుండా పేర్కొనబడింది. |
ERR0015 | తెలియని మోడ్ ' ' | పేర్కొన్న వెరిలాగ్ మోడ్ తెలియదు.—మోడ్ ఎంపిక వివరణలో సాధ్యమయ్యే వెరిలాగ్ మోడ్ జాబితాను చూడండి. |
ERR0023 | అవసరమైన పరామితి file పేరు లేదు. | వెరిలాగ్ లేదు file మార్గం అందించబడింది. |
ERR0016 | వెరిఫిక్ పార్సర్ కారణంగా విఫలమైంది. | వెరిలాగ్లో సింటాక్స్ లోపం file. ఎర్రర్ మెసేజ్ పైన ఉన్న కన్సోల్లో వెరిఫిక్ పార్సర్ను గమనించవచ్చు. |
ERR0012 | set_device పిలువబడలేదు | పరికర సమాచారం పేర్కొనబడలేదు. పరికరాన్ని వివరించడానికి set_device ఆదేశాన్ని ఉపయోగించండి. |
Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -మోడ్ system_verilog_mfcu design.v
9.1.4 రీడ్_విహెచ్డిఎల్ (ఒక ప్రశ్న అడగండి)
వివరణ
VHDL ని జోడించండి file VHDL జాబితాలోకి files.
read_vhdl [-lib ] [-మోడ్ ]fileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
-లిబ్ | — | కంటెంట్ను జోడించాల్సిన లైబ్రరీని పేర్కొనండి. |
-మోడ్ | — | VHDL ప్రమాణాన్ని పేర్కొంటుంది. డిఫాల్ట్ VHDL_93. సాధ్యమయ్యే విలువలు vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. విలువలు కేస్ ఇన్సెన్సిటివ్. |
fileపేరు | — | VHDL file పేరు. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | పరామితి—lib విలువ లేదు | lib ఆప్షన్ విలువ లేకుండా పేర్కొనబడింది. |
ERR0023 | పరామితి—మోడ్లో విలువ లేదు | మోడ్ ఎంపిక విలువ లేకుండా పేర్కొనబడింది. |
ERR0018 | తెలియని మోడ్ ' ' | పేర్కొన్న VHDL మోడ్ తెలియదు.—మోడ్ ఎంపిక వివరణలో సాధ్యమయ్యే VHDL మోడ్ జాబితాను చూడండి. |
ERR0023 | అవసరమైన పరామితి file పేరు లేదు. | VHDL లేదు file మార్గం అందించబడింది. |
ERR0019 | invalid_path.v ని నమోదు చేయడం సాధ్యం కాలేదు. file | పేర్కొన్న VHDL file ఉనికిలో లేదు లేదా చదవడానికి అనుమతులు లేవు. |
ERR0012 | set_device పిలువబడలేదు | పరికర సమాచారం పేర్కొనబడలేదు. పరికరాన్ని వివరించడానికి set_device ఆదేశాన్ని ఉపయోగించండి. |
Example
read_vhdl -మోడ్ vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 సెట్_టాప్_లెవల్ (ఒక ప్రశ్న అడగండి)
వివరణ
RTL లో ఉన్నత స్థాయి మాడ్యూల్ పేరును పేర్కొనండి.
సెట్_టాప్_లెవెల్ [-లిబ్ ]
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
-లిబ్ | స్ట్రింగ్ | ఉన్నత స్థాయి మాడ్యూల్ లేదా ఎంటిటీ కోసం శోధించడానికి లైబ్రరీ (ఐచ్ఛికం). |
పేరు | స్ట్రింగ్ | ఉన్నత-స్థాయి మాడ్యూల్ లేదా ఎంటిటీ పేరు. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | అవసరమైన పరామితి ఉన్నత స్థాయి లేదు. | అగ్ర స్థాయి ఎంపిక తప్పనిసరి మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
ERR0023 | పరామితి—lib విలువ లేదు | lib ఆప్షన్ విలువలు లేకుండా పేర్కొనబడింది. |
ERR0014 | ఉన్నత స్థాయిని కనుగొనలేకపోయాము లైబ్రరీలో | అందించిన లైబ్రరీలో పేర్కొన్న ఉన్నత-స్థాయి మాడ్యూల్ నిర్వచించబడలేదు. ఈ లోపాన్ని పరిష్కరించడానికి, ఎగువ మాడ్యూల్ లేదా లైబ్రరీ పేరును సరిచేయాలి. |
ERR0017 | ఎలాబరేట్ విఫలమైంది | RTL విస్తరణ ప్రక్రియలో లోపం. కన్సోల్ నుండి దోష సందేశాన్ని గమనించవచ్చు. |
Example
సెట్_టాప్_లెవెల్ {టాప్}
set_top_level -lib hdl టాప్
9.1.6 read_sdc (ప్రశ్న అడగండి)
వివరణ
SDC చదవండి file కాంపోనెంట్ డేటాబేస్లోకి.
read_sdc -భాగంfileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
-భాగం | — | మనం పరిమితులను ఉత్పన్నం చేసినప్పుడు read_sdc కమాండ్ కోసం ఇది తప్పనిసరి ఫ్లాగ్. |
fileపేరు | స్ట్రింగ్ | SDC కి మార్గం file. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | అవసరమైన పరామితి file పేరు లేదు. | తప్పనిసరి ఎంపిక file పేరు పేర్కొనబడలేదు. |
ERR0000 | SDC file <file_path> చదవగలిగేది కాదు. | పేర్కొన్న SDC file చదవడానికి అనుమతులు లేవు. |
ERR0001 | తెరవడం సాధ్యం కాలేదుfile_మార్గం> file. | SDC file ఉనికిలో లేదు. మార్గాన్ని సరిచేయాలి. |
ERR0008 | లో set_component కమాండ్ లేదుfile_మార్గం> file | SDC యొక్క పేర్కొన్న భాగం file భాగాన్ని పేర్కొనలేదు. |
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0009 | <List of errors from sdc file> | SDC file తప్పు sdc ఆదేశాలను కలిగి ఉంది. ఉదాహరణకుampలే,
set_multicycle_path పరిమితిలో లోపం ఉన్నప్పుడు: read_sdc ఆదేశాన్ని అమలు చేస్తున్నప్పుడు లోపం: infile_మార్గం> file: set_multicycle_path కమాండ్లో లోపం: తెలియని పరామితి [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (ప్రశ్న అడగండి)
వివరణ
NDC చదవండి file కాంపోనెంట్ డేటాబేస్లోకి.
read_ndc -భాగంfileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
-భాగం | — | మనం పరిమితులను ఉత్పన్నం చేసినప్పుడు read_ndc కమాండ్ కోసం ఇది తప్పనిసరి ఫ్లాగ్. |
fileపేరు | స్ట్రింగ్ | NDC కి మార్గం file. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0001 | తెరవడం సాధ్యం కాలేదుfile_మార్గం> file | NDC file ఉనికిలో లేదు. మార్గాన్ని సరిచేయాలి. |
ERR0023 | అవసరమైన పరామితి—AtclParamO_ లేదు. | తప్పనిసరి ఎంపిక fileపేరు పేర్కొనబడలేదు. |
ERR0023 | అవసరమైన పరామితి - భాగం లేదు. | కాంపోనెంట్ ఎంపిక తప్పనిసరి మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
ERR0000 | NDC file 'file_path>' చదవడానికి వీలుగా లేదు. | పేర్కొన్న NDC file చదవడానికి అనుమతులు లేవు. |
Example
read_ndc -భాగం {భాగం/పని/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (ప్రశ్న అడగండి)
వివరణ
కాంపోనెంట్ SDC ని ఇన్స్టాంటియేట్ చేయండి fileడిజైన్-స్థాయి డేటాబేస్లోకి లు.
ఉత్పన్నం_అడ్డంకులు
వాదనలు
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0013 | ఉన్నత స్థాయి నిర్వచించబడలేదు | దీని అర్థం ఉన్నత స్థాయి మాడ్యూల్ లేదా ఎంటిటీ పేర్కొనబడలేదు. ఈ కాల్ను పరిష్కరించడానికి, జారీ చేయండి derive_constraints కమాండ్ కు ముందు set_top_level కమాండ్ ను వాడండి. |
Example
ఉత్పన్నం_అడ్డంకులు
9.1.9 write_sdc (ప్రశ్న అడగండి)
వివరణ
ఒక పరిమితిని వ్రాస్తుంది file SDC ఫార్మాట్లో.
వ్రాయండి_ఎస్డీసీfileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
<fileపేరు> | స్ట్రింగ్ | SDC కి మార్గం file ఉత్పత్తి అవుతుంది. ఇది తప్పనిసరి ఎంపిక. ఒకవేళ file ఉంటే, అది ఓవర్రైట్ చేయబడుతుంది. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0003 | తెరవడం సాధ్యం కాలేదుfile మార్గం> file. | File పాత్ సరైనది కాదు. పేరెంట్ డైరెక్టరీలు ఉన్నాయో లేదో తనిఖీ చేయండి. |
ERR0002 | SDC file 'file 'మార్గం' వ్రాయదగినది కాదు. | పేర్కొన్న SDC file వ్రాతపూర్వక అనుమతి లేదు. |
ERR0023 | అవసరమైన పరామితి file పేరు లేదు. | SDC file path తప్పనిసరి ఎంపిక మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
Example
write_sdc “derived.sdc”
9.1.10 write_pdc (ప్రశ్న అడగండి)
వివరణ
భౌతిక పరిమితులను వ్రాస్తుంది (డెరివ్ పరిమితులు మాత్రమే).
వ్రాయండి_పిడిసిfileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
<fileపేరు> | స్ట్రింగ్ | PDC కి మార్గం file ఉత్పత్తి అవుతుంది. ఇది తప్పనిసరి ఎంపిక. ఒకవేళ file మార్గం ఉంటే, అది ఓవర్రైట్ చేయబడుతుంది. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ సందేశాలు | వివరణ |
ERR0003 | తెరవడం సాధ్యం కాలేదుfile మార్గం> file | ది file పాత్ సరైనది కాదు. పేరెంట్ డైరెక్టరీలు ఉన్నాయో లేదో తనిఖీ చేయండి. |
ERR0002 | PDC file 'file 'మార్గం' వ్రాయదగినది కాదు. | పేర్కొన్న PDC file వ్రాతపూర్వక అనుమతి లేదు. |
ERR0023 | అవసరమైన పరామితి file పేరు లేదు. | పిడిసి file path తప్పనిసరి ఎంపిక మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
Example
write_pdc “derived.pdc”
9.1.11 write_ndc (ప్రశ్న అడగండి)
వివరణ
NDC పరిమితులను a గా వ్రాస్తుంది file.
write_ndc ద్వారా వ్రాయండిfileపేరు>
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
fileపేరు | స్ట్రింగ్ | NDC కి మార్గం file ఉత్పత్తి అవుతుంది. ఇది తప్పనిసరి ఎంపిక. ఒకవేళ file ఉంటే, అది ఓవర్రైట్ చేయబడుతుంది. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ సందేశాలు | వివరణ |
ERR0003 | తెరవడం సాధ్యం కాలేదుfile_మార్గం> file. | File పాత్ సరైనది కాదు. పేరెంట్ డైరెక్టరీలు లేవు. |
ERR0002 | NDC file 'file_path>' వ్రాయదగినది కాదు. | పేర్కొన్న NDC file వ్రాతపూర్వక అనుమతి లేదు. |
ERR0023 | అవసరమైన పరామితి _AtclParamO_ లేదు. | NDC file path తప్పనిసరి ఎంపిక మరియు తప్పనిసరిగా పేర్కొనబడాలి. |
Example
write_ndc “derived.ndc”
9.1.12 add_include_path (ప్రశ్న అడగండి)
వివరణ
శోధనకు మార్గాన్ని నిర్దేశిస్తుంది, ఇందులో ఇవి ఉన్నాయి fileRTL చదువుతున్నప్పుడు files.
చేర్చు_మార్గం
వాదనలు
పరామితి | టైప్ చేయండి | వివరణ |
డైరెక్టరీ | స్ట్రింగ్ | శోధనకు మార్గాన్ని నిర్దేశిస్తుంది, ఇందులో ఇవి ఉన్నాయి fileRTL చదువుతున్నప్పుడు files. ఈ ఎంపిక తప్పనిసరి. |
రిటర్న్ రకం | వివరణ |
0 | ఆదేశం విజయవంతమైంది. |
రిటర్న్ రకం | వివరణ |
1 | కమాండ్ విఫలమైంది. ఒక లోపం ఉంది. మీరు కన్సోల్లో ఎర్రర్ సందేశాన్ని గమనించవచ్చు. |
లోపాల జాబితా
ఎర్రర్ కోడ్ | ఎర్రర్ మెసేజ్ | వివరణ |
ERR0023 | అవసరమైన పరామితిలో పాత్ లేదు. | డైరెక్టరీ ఎంపిక తప్పనిసరి మరియు తప్పక అందించాలి. |
గమనిక: ఉంటే డైరెక్టరీ పాత్ సరిగ్గా లేదు, అప్పుడు add_include_path ఎర్రర్ లేకుండా పాస్ అవుతుంది.
అయితే, వెరిఫిక్ పార్సర్ కారణంగా read_verilog/read_vhd ఆదేశాలు విఫలమవుతాయి.
Example
add_include_path భాగం/పని/COREABC0/COREABC0_0/rtl/vlog/core
పునర్విమర్శ చరిత్ర (ఒక ప్రశ్న అడగండి)
పునర్విమర్శ చరిత్ర పత్రంలో అమలు చేయబడిన మార్పులను వివరిస్తుంది. మార్పులు అత్యంత ప్రస్తుత ప్రచురణతో ప్రారంభించి పునర్విమర్శ ద్వారా జాబితా చేయబడ్డాయి.
పునర్విమర్శ | తేదీ | వివరణ |
F | 08/2024 | ఈ పునర్విమర్శలో కింది మార్పులు చేయబడ్డాయి: • నవీకరించబడిన విభాగం అనుబంధం B—సిమ్యులేషన్ లైబ్రరీలను సిమ్యులేషన్ ఎన్విరాన్మెంట్లోకి దిగుమతి చేయడం. |
E | 08/2024 | ఈ పునర్విమర్శలో కింది మార్పులు చేయబడ్డాయి: • విభాగం నవీకరించబడిందిview. • నవీకరించబడిన విభాగం ఉత్పన్నమైన SDC File. • నవీకరించబడిన విభాగం అనుబంధం B—సిమ్యులేషన్ లైబ్రరీలను సిమ్యులేషన్ ఎన్విరాన్మెంట్లోకి దిగుమతి చేయడం. |
D | 02/2024 | ఈ పత్రం v2024.1 నుండి మార్పులు లేకుండా లిబెరో 2023.2 SoC డిజైన్ సూట్తో విడుదల చేయబడింది. నవీకరించబడిన విభాగం derive_constraints యుటిలిటీతో పని చేస్తోంది |
C | 08/2023 | ఈ పత్రం v2023.2 నుండి మార్పులు లేకుండా లిబెరో 2023.1 SoC డిజైన్ సూట్తో విడుదల చేయబడింది. |
B | 04/2023 | ఈ పత్రం v2023.1 నుండి మార్పులు లేకుండా లిబెరో 2022.3 SoC డిజైన్ సూట్తో విడుదల చేయబడింది. |
A | 12/2022 | ప్రారంభ పునర్విమర్శ. |
మైక్రోచిప్ FPGA మద్దతు
మైక్రోచిప్ FPGA ఉత్పత్తుల సమూహం దాని ఉత్పత్తులకు కస్టమర్ సర్వీస్, కస్టమర్ టెక్నికల్ సపోర్ట్ సెంటర్, a webసైట్ మరియు ప్రపంచవ్యాప్త విక్రయ కార్యాలయాలు.
కస్టమర్లు సపోర్ట్ని సంప్రదించే ముందు మైక్రోచిప్ ఆన్లైన్ వనరులను సందర్శించాలని సూచించారు, ఎందుకంటే వారి ప్రశ్నలకు ఇప్పటికే సమాధానం లభించే అవకాశం ఉంది.
ద్వారా సాంకేతిక సహాయ కేంద్రాన్ని సంప్రదించండి webసైట్ వద్ద www.microchip.com/support. FPGA పరికరం పార్ట్ నంబర్ను పేర్కొనండి, తగిన కేస్ కేటగిరీని ఎంచుకుని, డిజైన్ని అప్లోడ్ చేయండి fileసాంకేతిక మద్దతు కేసును సృష్టిస్తున్నప్పుడు s.
ఉత్పత్తి ధర, ఉత్పత్తి అప్గ్రేడ్లు, అప్డేట్ సమాచారం, ఆర్డర్ స్థితి మరియు అధికారీకరణ వంటి సాంకేతికేతర ఉత్పత్తి మద్దతు కోసం కస్టమర్ సేవను సంప్రదించండి.
- ఉత్తర అమెరికా నుండి, 800.262.1060కి కాల్ చేయండి
- ప్రపంచంలోని ఇతర ప్రాంతాల నుండి, 650.318.4460కి కాల్ చేయండి
- ఫ్యాక్స్, ప్రపంచంలో ఎక్కడి నుండైనా, 650.318.8044
మైక్రోచిప్ సమాచారం
మైక్రోచిప్ Webసైట్
మైక్రోచిప్ మా ద్వారా ఆన్లైన్ మద్దతును అందిస్తుంది webసైట్ వద్ద www.microchip.com/. ఈ webసైట్ చేయడానికి ఉపయోగించబడుతుంది fileలు మరియు సమాచారం వినియోగదారులకు సులభంగా అందుబాటులో ఉంటుంది. అందుబాటులో ఉన్న కంటెంట్లో కొన్ని:
- ఉత్పత్తి మద్దతు - డేటా షీట్లు మరియు తప్పులు, అప్లికేషన్ నోట్స్ మరియు sample ప్రోగ్రామ్లు, డిజైన్ వనరులు, వినియోగదారు మార్గదర్శకాలు మరియు హార్డ్వేర్ మద్దతు పత్రాలు, తాజా సాఫ్ట్వేర్ విడుదలలు మరియు ఆర్కైవ్ చేసిన సాఫ్ట్వేర్
- సాధారణ సాంకేతిక మద్దతు – తరచుగా అడిగే ప్రశ్నలు (FAQలు), సాంకేతిక మద్దతు అభ్యర్థనలు, ఆన్లైన్ చర్చా సమూహాలు, మైక్రోచిప్ డిజైన్ భాగస్వామి ప్రోగ్రామ్ సభ్యుల జాబితా
- మైక్రోచిప్ వ్యాపారం – ఉత్పత్తి ఎంపిక మరియు ఆర్డరింగ్ గైడ్లు, తాజా మైక్రోచిప్ ప్రెస్ రిలీజ్లు, సెమినార్లు మరియు ఈవెంట్ల జాబితా, మైక్రోచిప్ సేల్స్ ఆఫీసులు, డిస్ట్రిబ్యూటర్లు మరియు ఫ్యాక్టరీ ప్రతినిధుల జాబితాలు
ఉత్పత్తి మార్పు నోటిఫికేషన్ సేవ
మైక్రోచిప్ యొక్క ఉత్పత్తి మార్పు నోటిఫికేషన్ సేవ వినియోగదారులను మైక్రోచిప్ ఉత్పత్తులపై ఎప్పటికప్పుడు ఉంచడంలో సహాయపడుతుంది. పేర్కొన్న ఉత్పత్తి కుటుంబానికి లేదా ఆసక్తి ఉన్న డెవలప్మెంట్ టూల్కు సంబంధించి మార్పులు, అప్డేట్లు, పునర్విమర్శలు లేదా తప్పులు ఉన్నప్పుడు సబ్స్క్రైబర్లు ఇమెయిల్ నోటిఫికేషన్ను స్వీకరిస్తారు. నమోదు చేసుకోవడానికి, వెళ్ళండి www.microchip.com/pcn మరియు నమోదు సూచనలను అనుసరించండి.
కస్టమర్ మద్దతు
మైక్రోచిప్ ఉత్పత్తుల వినియోగదారులు అనేక ఛానెల్ల ద్వారా సహాయాన్ని పొందవచ్చు:
- పంపిణీదారు లేదా ప్రతినిధి
- స్థానిక విక్రయ కార్యాలయం
- ఎంబెడెడ్ సొల్యూషన్స్ ఇంజనీర్ (ESE)
- సాంకేతిక మద్దతు
మద్దతు కోసం కస్టమర్లు వారి పంపిణీదారుని, ప్రతినిధిని లేదా ESEని సంప్రదించాలి. వినియోగదారులకు సహాయం చేయడానికి స్థానిక విక్రయ కార్యాలయాలు కూడా అందుబాటులో ఉన్నాయి. విక్రయ కార్యాలయాలు మరియు స్థానాల జాబితా ఈ పత్రంలో చేర్చబడింది. ద్వారా సాంకేతిక మద్దతు లభిస్తుంది webసైట్: www.microchip.com/support
మైక్రోచిప్ పరికరాల కోడ్ రక్షణ ఫీచర్
మైక్రోచిప్ ఉత్పత్తులపై కోడ్ రక్షణ ఫీచర్ యొక్క క్రింది వివరాలను గమనించండి:
- మైక్రోచిప్ ఉత్పత్తులు వాటి నిర్దిష్ట మైక్రోచిప్ డేటా షీట్లో ఉన్న స్పెసిఫికేషన్లకు అనుగుణంగా ఉంటాయి.
- మైక్రోచిప్ దాని ఉత్పత్తుల కుటుంబాన్ని ఉద్దేశించిన పద్ధతిలో, ఆపరేటింగ్ స్పెసిఫికేషన్లలో మరియు సాధారణ పరిస్థితులలో ఉపయోగించినప్పుడు సురక్షితంగా ఉంటుందని నమ్ముతుంది.
- మైక్రోచిప్ దాని మేధో సంపత్తి హక్కులకు విలువ ఇస్తుంది మరియు దూకుడుగా రక్షిస్తుంది. మైక్రోచిప్ ఉత్పత్తి యొక్క కోడ్ రక్షణ లక్షణాలను ఉల్లంఘించే ప్రయత్నాలు ఖచ్చితంగా నిషేధించబడ్డాయి మరియు డిజిటల్ మిలీనియం కాపీరైట్ చట్టాన్ని ఉల్లంఘించవచ్చు.
- మైక్రోచిప్ లేదా ఏ ఇతర సెమీకండక్టర్ తయారీదారు దాని కోడ్ యొక్క భద్రతకు హామీ ఇవ్వలేరు. కోడ్ రక్షణ అంటే ఉత్పత్తి "అన్బ్రేకబుల్" అని మేము హామీ ఇస్తున్నామని కాదు. కోడ్ రక్షణ నిరంతరం అభివృద్ధి చెందుతోంది. మైక్రోచిప్ మా ఉత్పత్తుల యొక్క కోడ్ రక్షణ లక్షణాలను నిరంతరం మెరుగుపరచడానికి కట్టుబడి ఉంది.
లీగల్ నోటీసు
మీ అప్లికేషన్తో మైక్రోచిప్ ఉత్పత్తులను డిజైన్ చేయడం, పరీక్షించడం మరియు ఇంటిగ్రేట్ చేయడంతో సహా ఈ ప్రచురణ మరియు ఇక్కడ ఉన్న సమాచారం మైక్రోచిప్ ఉత్పత్తులతో మాత్రమే ఉపయోగించబడుతుంది. ఈ సమాచారాన్ని ఏదైనా ఇతర పద్ధతిలో ఉపయోగించడం ఈ నిబంధనలను ఉల్లంఘిస్తుంది. పరికర అనువర్తనాలకు సంబంధించిన సమాచారం మీ సౌలభ్యం కోసం మాత్రమే అందించబడింది మరియు నవీకరణల ద్వారా భర్తీ చేయబడవచ్చు. మీ అప్లికేషన్ మీ స్పెసిఫికేషన్లకు అనుగుణంగా ఉండేలా చూసుకోవడం మీ బాధ్యత. అదనపు మద్దతు కోసం మీ స్థానిక మైక్రోచిప్ విక్రయాల కార్యాలయాన్ని సంప్రదించండి లేదా అదనపు మద్దతును పొందండి www.microchip.com/en-us/support/design-help/client-support-services.
ఈ సమాచారం మైక్రోచిప్ ద్వారా అందించబడుతుంది. MICROCHIP ఏ విధమైన ప్రాతినిధ్యాలు లేదా వారెంటీలు చేయదు. ఉల్లంఘన, వాణిజ్యం మరియు ప్రత్యేక ప్రయోజనం కోసం ఫిట్నెస్ లేదా వారెంటీలు దాని పరిస్థితి, నాణ్యత లేదా పనితీరుకు సంబంధించినది. ఎట్టి పరిస్థితుల్లోనూ మైక్రోచిప్ ఏదైనా పరోక్ష, ప్రత్యేక, శిక్షాత్మక, యాదృచ్ఛిక లేదా పర్యవసానంగా వచ్చే నష్టం, నష్టం, ఖర్చు, లేదా వాటికి సంబంధించిన ఏదైనా వ్యయానికి బాధ్యత వహించదు మైక్రోచిప్కి సలహా ఇచ్చినప్పటికీ, ఉపయోగించబడింది సంభావ్యత లేదా నష్టాలు ఊహించదగినవి. చట్టం ద్వారా అనుమతించబడిన పూర్తి స్థాయిలో, సమాచారం లేదా దాని ఉపయోగం సంబంధిత అన్ని క్లెయిమ్లపై మైక్రోచిప్ యొక్క మొత్తం బాధ్యత, ఆ మేరకు ఫీడ్ల మొత్తాన్ని మించదు. సమాచారం కోసం రోచిప్.
లైఫ్ సపోర్ట్ మరియు/లేదా సేఫ్టీ అప్లికేషన్లలో మైక్రోచిప్ పరికరాల వాడకం పూర్తిగా కొనుగోలుదారుడి బాధ్యత, మరియు అటువంటి ఉపయోగం వల్ల కలిగే ఏవైనా మరియు అన్ని నష్టాలు, దావాలు, దావాలు లేదా ఖర్చుల నుండి మైక్రోచిప్ను రక్షించడానికి, నష్టపరిహారం చెల్లించడానికి మరియు హానిచేయని వాటిని ఉంచడానికి కొనుగోలుదారు అంగీకరిస్తాడు. వేరే విధంగా పేర్కొనకపోతే, ఏదైనా మైక్రోచిప్ మేధో సంపత్తి హక్కుల కింద ఎటువంటి లైసెన్స్లు పరోక్షంగా లేదా ఇతరత్రా తెలియజేయబడవు.
ట్రేడ్మార్క్లు
మైక్రోచిప్ పేరు మరియు లోగో, మైక్రోచిప్ లోగో, అడాప్టెక్, AVR, AVR లోగో, AVR ఫ్రీక్స్, బెస్ట్ టైమ్, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeLX, MackLoq, KeeLoq, అయ్యో, MediaLB, megaAVR, మైక్రోసెమి, మైక్రోసెమి లోగో, అత్యంత, అత్యంత లోగో, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 లోగో, పోలార్ఫైర్, ప్రోచిప్ డిజైనర్, QTouch, SAM-BA, SenGenuity, SpyNIC, SpyNIC, SST, , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron మరియు XMEGA USA మరియు ఇతర దేశాలలో ఇన్కార్పొరేటెడ్ మైక్రోచిప్ టెక్నాలజీ యొక్క రిజిస్టర్డ్ ట్రేడ్మార్క్లు.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logo, SmartFusioni, Quiet, TimeCesium, TimeHub, TimePictra, TimeProvider మరియు ZL అనేవి USAలో విలీనం చేయబడిన మైక్రోచిప్ టెక్నాలజీ యొక్క రిజిస్టర్డ్ ట్రేడ్మార్క్లు
ప్రక్కనే ఉన్న కీ సప్రెషన్, AKS, అనలాగ్-ఫర్-ది-డిజిటల్ ఏజ్, ఏదైనా కెపాసిటర్, AnyIn, AnyOut, ఆగ్మెంటెడ్ స్విచింగ్, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoCompanion, CryptoCompanion, CryptoCompanion. డైనమిక్ సగటు సరిపోలిక , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, ఇన్-సర్క్యూట్ సీరియల్ ప్రోగ్రామింగ్, ICSP, INICnet, ఇంటెలిజెంట్ ప్యారలలింగ్, IntelliMOS, ఇంటర్-చిప్ కనెక్టివిటీ, Kitterblocker-Ditterblocker- గరిష్టంగాView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB సర్టిఫైడ్ లోగో, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient కోడ్ జనరేషన్, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Powermarilticon , QMatrix, రియల్ ICE, అలల బ్లాకర్, RTAX, RTG7, SAM-ICE, సీరియల్ క్వాడ్ I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchroancedcdcdc , విశ్వసనీయ సమయం, TSHARC, ట్యూరింగ్, USBచెక్, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect మరియు ZENA USA మరియు ఇతర దేశాలలో విలీనం చేయబడిన మైక్రోచిప్ టెక్నాలజీ యొక్క ట్రేడ్మార్క్లు.
SQTP అనేది USAలో విలీనం చేయబడిన మైక్రోచిప్ టెక్నాలజీ యొక్క సేవా చిహ్నం
Adaptec లోగో, ఫ్రీక్వెన్సీ ఆన్ డిమాండ్, సిలికాన్ స్టోరేజ్ టెక్నాలజీ మరియు Symmcom ఇతర దేశాలలో మైక్రోచిప్ టెక్నాలజీ ఇంక్. యొక్క రిజిస్టర్డ్ ట్రేడ్మార్క్లు.
GestIC అనేది ఇతర దేశాలలో మైక్రోచిప్ టెక్నాలజీ ఇంక్. యొక్క అనుబంధ సంస్థ అయిన మైక్రోచిప్ టెక్నాలజీ జర్మనీ II GmbH & Co. KG యొక్క నమోదిత ట్రేడ్మార్క్.
ఇక్కడ పేర్కొన్న అన్ని ఇతర ట్రేడ్మార్క్లు వారి సంబంధిత కంపెనీల ఆస్తి.
2024, మైక్రోచిప్ టెక్నాలజీ ఇన్కార్పొరేటెడ్ మరియు దాని అనుబంధ సంస్థలు. అన్ని హక్కులూ ప్రత్యేకించుకోవడమైనది.
ISBN: 978-1-6683-0183-8
నాణ్యత నిర్వహణ వ్యవస్థ
మైక్రోచిప్ యొక్క నాణ్యత నిర్వహణ వ్యవస్థలకు సంబంధించిన సమాచారం కోసం, దయచేసి సందర్శించండి www.microchip.com/qualitty.
ప్రపంచవ్యాప్త అమ్మకాలు మరియు సేవ
అమెరికా | ASIA/PACIFIC | ASIA/PACIFIC | యూరోప్ |
కార్పొరేట్ కార్యాలయం 2355 వెస్ట్ చాండ్లర్ Blvd. చాండ్లర్, AZ 85224-6199 టెలి: 480-792-7200 ఫ్యాక్స్: 480-792-7277 సాంకేతిక మద్దతు: www.microchip.com/support Web చిరునామా: www.microchip.com అట్లాంటా డులుత్, GA టెలి: 678-957-9614 ఫ్యాక్స్: 678-957-1455 ఆస్టిన్, TX టెలి: 512-257-3370 బోస్టన్ వెస్ట్బరో, MA టెలి: 774-760-0087 ఫ్యాక్స్: 774-760-0088 చికాగో ఇటాస్కా, IL టెలి: 630-285-0071 ఫ్యాక్స్: 630-285-0075 డల్లాస్ అడిసన్, TX టెలి: 972-818-7423 ఫ్యాక్స్: 972-818-2924 డెట్రాయిట్ నోవి, MI టెలి: 248-848-4000 హ్యూస్టన్, TX టెలి: 281-894-5983 ఇండియానాపోలిస్ నోబుల్స్విల్లే, IN టెలి: 317-773-8323 ఫ్యాక్స్: 317-773-5453 టెలి: 317-536-2380 లాస్ ఏంజిల్స్ మిషన్ వీజో, CA టెలి: 949-462-9523 ఫ్యాక్స్: 949-462-9608 టెలి: 951-273-7800 రాలీ, NC టెలి: 919-844-7510 న్యూయార్క్, NY టెలి: 631-435-6000 శాన్ జోస్, CA టెలి: 408-735-9110 టెలి: 408-436-4270 కెనడా - టొరంటో టెలి: 905-695-1980 ఫ్యాక్స్: 905-695-2078 |
ఆస్ట్రేలియా - సిడ్నీ టెలి: 61-2-9868-6733 చైనా - బీజింగ్ టెలి: 86-10-8569-7000 చైనా - చెంగ్డు టెలి: 86-28-8665-5511 చైనా - చాంగ్కింగ్ టెలి: 86-23-8980-9588 చైనా - డాంగువాన్ టెలి: 86-769-8702-9880 చైనా - గ్వాంగ్జౌ టెలి: 86-20-8755-8029 చైనా - హాంగ్జౌ టెలి: 86-571-8792-8115 చైనా - హాంకాంగ్ SAR టెలి: 852-2943-5100 చైనా - నాన్జింగ్ టెలి: 86-25-8473-2460 చైనా - కింగ్డావో టెలి: 86-532-8502-7355 చైనా - షాంఘై టెలి: 86-21-3326-8000 చైనా - షెన్యాంగ్ టెలి: 86-24-2334-2829 చైనా - షెన్జెన్ టెలి: 86-755-8864-2200 చైనా - సుజౌ టెలి: 86-186-6233-1526 చైనా - వుహాన్ టెలి: 86-27-5980-5300 చైనా - జియాన్ టెలి: 86-29-8833-7252 చైనా - జియామెన్ టెలి: 86-592-2388138 చైనా - జుహై టెలి: 86-756-3210040 |
భారతదేశం - బెంగళూరు టెలి: 91-80-3090-4444 భారతదేశం - న్యూఢిల్లీ టెలి: 91-11-4160-8631 భారతదేశం - పూణే టెలి: 91-20-4121-0141 జపాన్ - ఒసాకా టెలి: 81-6-6152-7160 జపాన్ - టోక్యో టెలి: 81-3-6880- 3770 కొరియా - డేగు టెలి: 82-53-744-4301 కొరియా - సియోల్ టెలి: 82-2-554-7200 మలేషియా - కౌలాలంపూర్ టెలి: 60-3-7651-7906 మలేషియా - పెనాంగ్ టెలి: 60-4-227-8870 ఫిలిప్పీన్స్ - మనీలా టెలి: 63-2-634-9065 సింగపూర్ టెలి: 65-6334-8870 తైవాన్ - హ్సిన్ చు టెలి: 886-3-577-8366 తైవాన్ - Kaohsiung టెలి: 886-7-213-7830 తైవాన్ - తైపీ టెలి: 886-2-2508-8600 థాయిలాండ్ - బ్యాంకాక్ టెలి: 66-2-694-1351 వియత్నాం - హో చి మిన్ టెలి: 84-28-5448-2100 |
ఆస్ట్రియా - వెల్స్ టెలి: 43-7242-2244-39 ఫ్యాక్స్: 43-7242-2244-393 డెన్మార్క్ - కోపెన్హాగన్ టెలి: 45-4485-5910 ఫ్యాక్స్: 45-4485-2829 ఫిన్లాండ్ - ఎస్పూ టెలి: 358-9-4520-820 ఫ్రాన్స్ - పారిస్ Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 జర్మనీ - గార్చింగ్ టెలి: 49-8931-9700 జర్మనీ - హాన్ టెలి: 49-2129-3766400 జర్మనీ - హీల్బ్రోన్ టెలి: 49-7131-72400 జర్మనీ - కార్ల్స్రూ టెలి: 49-721-625370 జర్మనీ - మ్యూనిచ్ Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 జర్మనీ - రోసెన్హీమ్ టెలి: 49-8031-354-560 ఇజ్రాయెల్ - హోడ్ హషారోన్ టెలి: 972-9-775-5100 ఇటలీ - మిలన్ టెలి: 39-0331-742611 ఫ్యాక్స్: 39-0331-466781 ఇటలీ - పడోవా టెలి: 39-049-7625286 నెదర్లాండ్స్ - డ్రునెన్ టెలి: 31-416-690399 ఫ్యాక్స్: 31-416-690340 నార్వే - ట్రోండ్హీమ్ టెలి: 47-72884388 పోలాండ్ - వార్సా టెలి: 48-22-3325737 రొమేనియా - బుకారెస్ట్ Tel: 40-21-407-87-50 స్పెయిన్ - మాడ్రిడ్ Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 స్వీడన్ - గోథెన్బర్గ్ Tel: 46-31-704-60-40 స్వీడన్ - స్టాక్హోమ్ టెలి: 46-8-5090-4654 UK - వోకింగ్హామ్ టెలి: 44-118-921-5800 ఫ్యాక్స్: 44-118-921-5820 |
పత్రాలు / వనరులు
![]() |
మైక్రోచిప్ DS00004807F పోలార్ఫైర్ ఫ్యామిలీ FPGA కస్టమ్ ఫ్లో [pdf] యూజర్ గైడ్ DS00004807F పోలార్ ఫైర్ ఫ్యామిలీ FPGA కస్టమ్ ఫ్లో, DS00004807F, పోలార్ ఫైర్ ఫ్యామిలీ FPGA కస్టమ్ ఫ్లో, ఫ్యామిలీ FPGA కస్టమ్ ఫ్లో, కస్టమ్ ఫ్లో, ఫ్లో |