Mwongozo wa Mtumiaji wa Mtiririko Maalum wa PolarFire Family FPGA
Libero SoC v2024.2
Utangulizi (Uliza Swali)
Programu ya Libero System-on-Chip (SoC) hutoa mazingira ya usanifu yaliyojumuishwa kikamilifu ya Field Programmable Gate Array (FPGA). Walakini, watumiaji wachache wanaweza kutaka kutumia usanisi wa wahusika wengine na zana za kuiga nje ya mazingira ya Libero SoC. Libero sasa inaweza kuunganishwa katika mazingira ya muundo wa FPGA. Inapendekezwa kutumia Libero SoC kudhibiti mtiririko mzima wa muundo wa FPGA.
Mwongozo huu wa mtumiaji unafafanua Mtiririko Maalum wa vifaa vya PolarFire na PolarFire SoC Family, mchakato wa kuunganisha Libero kama sehemu ya mtiririko mkubwa wa muundo wa FPGA. Kifaa Kinachotumika Families® Jedwali lifuatalo linaorodhesha familia za vifaa ambazo Libero SoC hutumia. Hata hivyo, baadhi ya maelezo katika mwongozo huu yanaweza kutumika tu kwa familia mahususi ya vifaa. Katika kesi hii, habari kama hiyo imetambuliwa wazi.
Jedwali la 1. Familia za Kifaa Zinazotumika na Libero SoC
Kifaa cha Familia | Maelezo |
PolarFire® | PolarFire FPGAs hutoa nguvu ya chini kabisa ya tasnia katika msongamano wa kati na usalama wa kipekee na kutegemewa. |
PolarFire SoC | PolarFire SoC ndiyo FPGA ya kwanza ya SoC iliyo na nguzo ya kuamua, thabiti ya RISC-V ya CPU, na mfumo mdogo wa kumbukumbu wa L2 unaowezesha Linux® na programu za wakati halisi. |
Zaidiview (Uliza Swali)
Ingawa Libero SoC hutoa mazingira ya muundo wa mwisho-hadi-mwisho yaliyounganishwa kikamilifu ili kukuza miundo ya SoC na FPGA, pia hutoa unyumbufu wa kutekeleza usanisi na uigaji na zana za wahusika wengine nje ya mazingira ya Libero SoC. Walakini, hatua zingine za muundo lazima zibaki ndani ya mazingira ya Libero SoC.
Jedwali lifuatalo linaorodhesha hatua kuu katika mtiririko wa muundo wa FPGA na linaonyesha hatua ambazo Libero SoC lazima itumike.
Jedwali 1-1. Mtiririko wa Ubunifu wa FPGA
Hatua ya Mtiririko wa Kubuni | Lazima Utumie Libero | Maelezo |
Ingizo la Kubuni: HDL | Hapana | Tumia zana ya kihariri/kikagua ya HDL ya wahusika wengine nje ya Libero® SoC ukipenda. |
Ingizo la Kubuni: Visanidi | Ndiyo | Unda mradi wa kwanza wa Libero kwa utengenezaji wa sehemu kuu ya katalogi ya IP. |
Uzalishaji wa kizuizi wa PDC/SDC otomatiki | Hapana | Vikwazo vinavyotokana vinahitaji HDL yote files na huduma ya derive_constraints inapotekelezwa nje ya Libero SoC, kama ilivyofafanuliwa katika Kiambatisho C—Toa Vikwazo. |
Uigaji | Hapana | Tumia zana ya wahusika wengine nje ya Libero SoC, ikiwa inataka. Inahitaji upakuaji wa maktaba za uigaji zilizokusanywa mapema kwa kifaa lengwa, kiigaji lengwa, na toleo lengwa la Libero linalotumika kwa utekelezaji wa mazingira nyuma. |
Usanisi | Hapana | Tumia zana ya mtu wa tatu nje ya Libero SoC ikiwa inataka. |
Utekelezaji wa Muundo: Dhibiti Vikwazo, Unganisha Orodha ya Mtandao, Mahali-na- Njia (tazama Zaidiview) | Ndiyo | Unda mradi wa pili wa Libero kwa utekelezaji wa nyuma. |
Muda na Uthibitishaji wa Nguvu | Ndiyo | Kaa katika mradi wa pili wa Libero. |
Sanidi Data ya Uanzishaji wa Usanifu na Kumbukumbu | Ndiyo | Tumia zana hii kudhibiti aina tofauti za kumbukumbu na uanzishaji wa muundo kwenye kifaa. Kaa katika mradi wa pili. |
Kupanga programu File Kizazi | Ndiyo | Kaa katika mradi wa pili. |
Muhimu: Wewe lazima upakue maktaba zilizokusanywa mapema zinazopatikana kwenye Maktaba za Uigaji Zilizoundwa Kabla ukurasa wa kutumia kiigaji cha wahusika wengine.
Katika mtiririko safi wa FPGA ya kitambaa, weka muundo wako ukitumia HDL au ingizo la kimkakati na uipitishe moja kwa moja
kwa zana za awali. Mtiririko bado unaungwa mkono. PolarFire na PolarFire SoC FPGAs zina muhimu
vizuizi vya IP vya umiliki vinavyohitaji matumizi ya viini vya usanidi (SgCores) kutoka kwa IP ya Libero SoC.
katalogi. Ushughulikiaji maalum unahitajika kwa vizuizi vyovyote ambavyo vinajumuisha utendaji wa SoC:
- PolarFire
– PF_UPROM
- PF_SYSTEM_SERVICES
- PF_CCC
- PF CLK DIV
– PF_CRIPTO
– PF_DRI
– PF_INIT_MONITOR
- PF_NGMUX
- PF_OSC
- RAM (TPSRAM, DPSRAM, URAM)
– PF_SRAM_AHBL_AXI
– PF_XCVR_ERM
– PF_XCVR_REF_CLK
- PF_TX_PLL
- PF_PCIE
- PF_IO
– PF_IOD_CDR
– PF_IOD_CDR_CCC
– PF_IOD_GENERIC_RX
– PF_IOD_GENERIC_TX
– PF_IOD_GENERIC_TX_CCC
– PF_RGMII_TO_GMII
– PF_IOD_OCTAL_DDR
- PF_DDR3
- PF_DDR4
– PF_LPDDR3
- PF_QDR
– PF_CORESMARTBERT
– PF_TAMPER
- PF_TVS, na kadhalika.
Kando na SgCores zilizoorodheshwa hapo awali, kuna IP nyingi laini za DirectCore zinazopatikana kwa familia za vifaa vya PolarFire na PolarFire SoC katika Katalogi ya Libero SoC ambayo hutumia rasilimali za kitambaa za FPGA.
Kwa ingizo la muundo, ikiwa unatumia mojawapo ya vipengele vilivyotangulia, lazima utumie Libero SoC kwa sehemu ya ingizo la muundo (Usanidi wa Kipengele), lakini unaweza kuendelea na Ingizo lako la Muundo (ingizo la HDL, na kadhalika) nje ya Libero. Ili kudhibiti mtiririko wa muundo wa FPGA nje ya Libero, fuata hatua zilizotolewa katika mwongozo huu uliosalia.
1.1 Mzunguko wa Maisha wa Sehemu (Uliza Swali)
Hatua zifuatazo zinaelezea mzunguko wa maisha wa kijenzi cha SoC na kutoa maagizo ya jinsi ya kushughulikia data.
- Tengeneza kijenzi kwa kutumia kisanidi chake katika Libero SoC. Hii inazalisha aina zifuatazo za data:
- HDL files
- Kumbukumbu files
- Kichocheo na Uigaji files
Sehemu ya SDC file - Kwa HDL files, zithibitishe na uziunganishe katika muundo mwingine wa HDL kwa kutumia zana/mchakato wa usanifu wa nje.
- Kutoa kumbukumbu files na kichocheo files kwa zana yako ya kuiga.
- Sehemu ya Ugavi SDC file kupata zana ya Kizuizi kwa Kizazi cha Kizuizi. Tazama Kiambatisho C—Toa Vikwazo kwa maelezo zaidi.
- Lazima uunde mradi wa pili wa Libero, ambapo unaingiza orodha ya wavu baada ya Usanifu na metadata ya sehemu yako, na hivyo kukamilisha muunganisho kati ya ulichotengeneza na unachopanga.
1.2 Uundaji wa Mradi wa Libero SoC (Uliza Swali)
Baadhi ya hatua za muundo lazima ziendeshwe ndani ya mazingira ya Libero SoC (Jedwali 1-1). Ili hatua hizi ziendeshwe, lazima uunde miradi miwili ya Libero SoC. Mradi wa kwanza hutumiwa kwa usanidi wa sehemu ya kubuni na kizazi, na mradi wa pili ni wa utekelezaji wa kimwili wa muundo wa ngazi ya juu.
1.3 Mtiririko Maalum (Uliza Swali)
Kielelezo kifuatacho kinaonyesha:
- Libero SoC inaweza kuunganishwa kama sehemu ya mtiririko mkubwa wa muundo wa FPGA na usanisi wa watu wengine na zana za kuiga nje ya mazingira ya Libero SoC.
- Hatua mbalimbali zinazohusika katika mtiririko, kuanzia uundaji wa muundo na kuunganisha njia yote ya kupanga kifaa.
- Ubadilishanaji wa data (pembejeo na matokeo) ambayo lazima yatokee katika kila hatua ya mtiririko wa muundo.
Kidokezo:
- SNVM.cfg, UROMM.cfg
- *.mem file kizazi cha Uigaji: pa4rtupromgen.exe huchukua UPROM.cfg kama ingizo na hutoa UPROM.mem.
Zifuatazo ni hatua katika mtiririko maalum:
- Usanidi na utengenezaji wa sehemu:
a. Unda mradi wa kwanza wa Libero (kutumika kama Mradi wa Marejeleo).
b. Chagua Core kutoka kwa Katalogi. Bofya mara mbili msingi ili kukipa jina la kijenzi na usanidi kijenzi.
Hii inasafirisha kiotomatiki data ya sehemu na files. Dhihirisho za Kipengele pia hutolewa. Tazama Maonyesho ya Vipengele kwa maelezo. Kwa maelezo zaidi, angalia Usanidi wa Sehemu. - Kamilisha muundo wako wa RTL nje ya Libero:
a. Anzisha kipengele cha HDL files.
b. Eneo la HDL files imeorodheshwa katika Dhihirisho za Vipengele files. - Tengeneza vikwazo vya SDC kwa vipengele. Tumia matumizi ya Derive Constrints ili kutoa kikwazo cha muda file(SDC) kulingana na:
a. Sehemu ya HDL files
b. Sehemu ya SDC files
c. Mtumiaji HDL files
Kwa maelezo zaidi, angalia Kiambatisho C—Toa Vikwazo. - Zana ya usanisi/zana ya kuiga:
a. Pata HDL files, kichocheo files, na data ya sehemu kutoka kwa maeneo mahususi kama ilivyobainishwa katika Dhihirisho za Kipengele.
b. Unganisha na uige muundo ukitumia zana za wahusika wengine nje ya Libero SoC. - Unda Mradi wako wa pili (Utekelezaji) wa Libero.
- Ondoa usanisi kutoka kwa msururu wa zana za utiririshaji wa muundo (Mradi > Mipangilio ya Mradi > Mtiririko wa Usanifu > futa kisanduku tiki cha Wezesha Usanisi).
- Ingiza chanzo cha muundo files (baada ya usanisi *.vm orodha ya wavu kutoka kwa zana ya usanisi):
- Ingiza orodha ya baada ya usanisi *.vm (File>Ingiza> Orodha ya Wavu ya Verilog Iliyosawazishwa (VM)).
- Metadata ya kipengele *.cfg files kwa uPROM na/au sNVM. - Ingiza kijenzi chochote cha kuzuia Libero SoC files. Kizuizi files lazima iwe katika *.cxz file umbizo.
Kwa habari zaidi juu ya jinsi ya kuunda block, ona Mwongozo wa Mtumiaji wa Mtiririko wa PolarFire. - Ingiza vikwazo vya muundo:
- Ingiza kizuizi cha I/O files (Kidhibiti cha Vikwazo > I/OAttributes > Ingiza).
– Leta upangaji sakafu *.pdc files (Kidhibiti cha Vikwazo > Mpangaji wa Sakafu > Ingiza).
– Leta *.sdc kizuizi cha muda files (Kidhibiti cha Vikwazo > Muda > Ingiza). Ingiza SDC file zinazozalishwa kupitia zana ya Derive Constraint.
– Kuagiza *.ndc kizuizi files (Kidhibiti cha Vikwazo > NetlistAttributes > Ingiza), ikiwa ipo. - Kizuizi file na muungano wa zana
- Katika Kidhibiti cha Vikwazo, unganisha *.pdc files kuweka na njia, *.sdc files kuweka na uthibitishaji wa njia na wakati, na *.ndc files Kukusanya Orodha ya Wavu. - Utekelezaji kamili wa muundo
- Mahali na njia, thibitisha muda na nguvu, sanidi data ya uanzishaji wa muundo na kumbukumbu, na upangaji file kizazi. - Thibitisha muundo
- Thibitisha muundo kwenye FPGA na utatue inapohitajika kwa kutumia zana za muundo zilizotolewa na muundo wa Libero SoC.
Usanidi wa Kijenzi (Uliza Swali)
Hatua ya kwanza katika mtiririko maalum ni kusanidi vipengee vyako kwa kutumia mradi wa marejeleo wa Libero (pia unaitwa mradi wa kwanza wa Libero katika Jedwali 1-1). Katika hatua zinazofuata, unatumia data kutoka kwa mradi huu wa marejeleo.
Ikiwa unatumia vipengele vilivyoorodheshwa hapo awali, chini ya Overview katika muundo wako, fanya hatua zilizoelezwa katika sehemu hii.
Ikiwa hutumii kipengele chochote kati ya vilivyo hapo juu, unaweza kuandika RTL yako nje ya Libero na kuiingiza moja kwa moja kwenye zana zako za Usanisi na Uigaji. Kisha unaweza kuendelea hadi sehemu ya baada ya usanisi na kuagiza tu orodha yako ya baada ya usanisi *.vm kwenye mradi wako wa mwisho wa utekelezaji wa Libero (pia unaitwa mradi wa pili wa Libero katika Jedwali 1-1).
2.1 Usanidi wa Sehemu Kwa Kutumia Libero (Uliza Swali)
Baada ya kuchagua vipengele ambavyo lazima vitumike kutoka kwenye orodha iliyotangulia, fanya hatua zifuatazo:
- Unda mradi mpya wa Libero (Usanidi wa Msingi na Kizazi): Chagua Kifaa na Familia ambayo unalenga muundo wako wa mwisho.
- Tumia cores moja au zaidi zilizotajwa katika Mtiririko Maalum.
a. Unda SmartDesign na usanidi msingi unaohitajika na uiakishe katika kipengele cha SmartDesign.
b. Pandisha pini zote hadi kiwango cha juu.
c. Tengeneza SmartDesign.
d. Bofya mara mbili Zana ya Kuiga (chaguo zozote za Usanisi wa Awali au Muundo wa Baada au Muundo wa Baada) ili kuomba kiigaji. Unaweza kuondoka kwenye kiigaji baada ya kuingiliwa. Hatua hii inazalisha simulation files muhimu kwa mradi wako.
Kidokezo: Wewe lazima utekeleze hatua hii ikiwa unataka kuiga muundo wako nje ya Libero.
Kwa maelezo zaidi, angalia Kuiga Muundo Wako.
e. Hifadhi mradi wako—huu ni mradi wako wa marejeleo.
2.2 Dhihirisho za Vipengele (Uliza Swali)
Unapotengeneza vipengele vyako, seti ya files hutolewa kwa kila sehemu. Ripoti ya Maelezo ya Kipengele inaeleza kuhusu seti ya files zinazozalishwa na kutumika katika kila hatua inayofuata (Utangulizi, Uigaji, Uzalishaji wa Firmware, na kadhalika). Ripoti hii inakupa maeneo ya yote yaliyotolewa fileinahitajika ili kuendelea na Mtiririko Maalum. Unaweza kufikia faili ya maelezo ya kipengele katika eneo la Ripoti: Bofya Muundo > Ripoti ili kufungua kichupo cha Ripoti. Katika kichupo cha Ripoti, unaona seti ya manifest.txt files (Zaidiview), moja kwa kila sehemu uliyotengeneza.
Kidokezo: Ni lazima uweke kijenzi au moduli kama ""mzizi"' ili kuona faili ya maelezo ya kipengele file yaliyomo kwenye kichupo cha Ripoti.
Vinginevyo, unaweza kufikia ripoti ya mtu binafsi ya faili ya maelezo files kwa kila sehemu ya msingi inayozalishwa au sehemu ya SmartDesign kutoka /sehemu/kazi/ / / _manifest.txt au /sehemu/kazi/ / _manifest.txt. Unaweza pia kufikia faili ya maelezo file yaliyomo katika kila sehemu inayotokana na kichupo kipya cha Vipengele huko Libero, ambapo file maeneo yametajwa kwa heshima na saraka ya mradi.Zingatia ripoti zifuatazo za Manifest ya Sehemu:
- Ikiwa uliweka alama kwenye SmartDesign, soma file _manifest.txt.
- Ikiwa umeunda vipengele vya cores, soma _manifest.txt.
Ni lazima utumie ripoti zote za Maonyesho ya Vipengele vinavyotumika kwa muundo wako. Kwa mfanoampna, ikiwa mradi wako una SmartDesign iliyo na kipengee kimoja au zaidi cha msingi ndani yake na unakusudia kuvitumia vyote katika muundo wako wa mwisho, basi lazima uchague. files zilizoorodheshwa katika ripoti za Maonyesho ya Vipengele vya vipengele hivyo vyote kwa ajili ya matumizi katika mtiririko wako wa muundo.
2.3 Dhihirisho la Ukalimani Files (Uliza Swali)
Unapofungua onyesho la sehemu file, unaona njia za kwenda files kwenye mradi wako wa Libero na vielelezo vya wapi katika mtiririko wa muundo wa kuzitumia. Unaweza kuona aina zifuatazo za files katika onyesho file:
- Chanzo cha HDL files kwa zana zote za Usanisi na Uigaji
- Kichocheo files kwa zana zote za Uigaji
- Kizuizi files
Ifuatayo ni Dhihirisho la Kipengele cha kipengele cha msingi cha PolarFire.Kila aina ya file inahitajika chini ya mkondo katika mtiririko wako wa muundo. Sehemu zifuatazo zinaelezea ujumuishaji wa files kutoka faili ya maelezo hadi mtiririko wa muundo wako.
Kizazi cha Vikwazo (Uliza Swali)
Wakati wa kufanya usanidi na uundaji, hakikisha kuandika/kutoa kikwazo cha SDC/PDC/NDC files kwa muundo kuzipitisha kwa Usanisi, Mahali-na-Njia, na Thibitisha zana za Kuweka Muda.
Tumia matumizi ya Derive Constraints nje ya mazingira ya Libero ili kutoa vikwazo badala ya kuviandika wewe mwenyewe. Ili kutumia matumizi ya Derive Constraint nje ya mazingira ya Libero, lazima:
- Sambaza HDL ya mtumiaji, kijenzi cha HDL, na kikwazo cha kipengele cha SDC files
- Taja moduli ya kiwango cha juu
- Bainisha mahali pa kutoa kizuizi kilichotolewa files
Vikwazo vya sehemu ya SDC vinapatikana chini ya /sehemu/kazi/ / / saraka baada ya usanidi wa sehemu na kizazi.
Kwa maelezo zaidi kuhusu jinsi ya kutengeneza vikwazo kwa muundo wako, angalia Kiambatisho C—Toa Vikwazo.
Kuunganisha Muundo Wako (Uliza Swali)
Mojawapo ya vipengele vya msingi vya Mtiririko Maalum ni kukuruhusu kutumia usanisi wa watu wengine
chombo nje ya Libero. Mtiririko maalum unaauni matumizi ya Synopsys SynplifyPro. Ili kuunganisha yako
mradi, tumia utaratibu ufuatao:
- Unda mradi mpya katika zana yako ya Usanisi, ukilenga familia ya kifaa sawa, kufa na kifurushi kama mradi wa Libero uliounda.
a. Ingiza RTL yako mwenyewe filekama kawaida yako.
b. Weka pato la Usanisi kuwa Verilog ya Muundo (.vm).
Kidokezo: Kimuundo Verilog (.vm) ndiyo umbizo pekee la usanisi linalotumika katika PolarFire. - Ingiza Sehemu ya HDL files kwenye mradi wako wa Synthesis:
a. Kwa kila Ripoti ya Madhihirisho ya Kipengele: Kwa kila moja file chini ya chanzo cha HDL files kwa zana zote za Usanisi na Uigaji, agiza faili ya file kwenye Mradi wako wa Synthesis. - Ingiza file polarfire_syn_comps.v (ikiwa unatumia Synopsy Synplify) kutoka
Mahali pa kusakinisha>/data/aPA5M kwa mradi wako wa Mchanganyiko. - Ingiza SDC iliyotengenezwa hapo awali file kupitia zana ya Kizuizi Inayotokana (tazama Kiambatisho
A-Sample Vizuizi vya SDC) kwenye zana ya Usanisi. Kizuizi hiki file huzuia zana ya usanisi kufikia kufungwa kwa muda kwa juhudi kidogo na marudio machache ya muundo.
Muhimu:
- Ikiwa unapanga kutumia *.sdc sawa file ili kulazimisha Mahali-na-Njia wakati wa awamu ya utekelezaji wa muundo, lazima uingize hii *.sdc kwenye mradi wa usanisi. Hii ni kuhakikisha kuwa hakuna jina la kitu cha muundo lisilolingana katika orodha ya wavu iliyosanisishwa na vikwazo vya Mahali-na-Njia wakati wa awamu ya utekelezaji wa mchakato wa usanifu. Ikiwa hutajumuisha hii *.sdc file katika hatua ya Usanisi, orodha ya wavu inayotokana na Usanifu inaweza kushindwa hatua ya Mahali na Njia kwa sababu ya kutolingana kwa jina la kitu.
a. Ingiza Sifa za Netlist *.ndc, kama zipo, kwenye zana ya Usanisi.
b. Run Synthesis. - Mahali pa pato la zana yako ya Mchanganyiko lina orodha ya wavu *.vm file yanayotokana post Synthesis. Lazima uingize orodha ya wavu kwenye Mradi wa Utekelezaji wa Libero ili kuendelea na mchakato wa kubuni.
Kuiga Muundo Wako (Uliza Swali)
Ili kuiga muundo wako nje ya Libero (yaani, kutumia mazingira yako mwenyewe ya kuiga na kiigaji), fanya hatua zifuatazo:
- Kubuni Files:
a. Uigaji wa awali wa Usanifu:
• Leta RTL yako kwenye mradi wako wa kuiga.
• Kwa kila Ripoti ya Madhihirisho ya Kipengele.
- Ingiza kila moja file chini ya chanzo cha HDL files kwa zana zote za Usanisi na Uigaji katika mradi wako wa kuiga.
• Kusanya haya files kulingana na maagizo ya simulator yako.
b. Uigaji wa baada ya usanisi:
• Leta orodha yako ya baada ya kusanisi *.vm (iliyotolewa katika Kusanikisha Muundo Wako) kwenye mradi wako wa uigaji na uukusanye.
c. Uigaji wa baada ya mpangilio:
• Kwanza, kamilisha kutekeleza muundo wako (tazama Utekelezaji wa Muundo Wako). Hakikisha kuwa mradi wako wa mwisho wa Libero uko katika hali ya baada ya mpangilio.
• Bofya mara mbili Zalisha BackAnnotated Files kwenye dirisha la Libero Design Flow. Inazalisha mbili files:
/mbunifu/ / _ba.v/vhd /mbunifu/
/ _ba.sdf
• Leta zote mbili hizi files kwenye zana yako ya kuiga. - Kichocheo na Usanidi files:
a. Kwa kila Ripoti ya Maonyesho ya Kipengele:
• Nakili zote files chini ya Kichocheo Files kwa sehemu zote za Zana za Kuiga hadi saraka ya mizizi ya mradi wako wa Uigaji.
b. Hakikisha kuwa Tcl yoyote files katika orodha zilizotangulia (katika hatua ya 2.a) hutekelezwa kwanza, kabla ya kuanza kwa simulizi.
c. UPROM.mem: Ikiwa unatumia msingi wa UPROM katika muundo wako na chaguo la Tumia maudhui ya uigaji yaliyowezeshwa kwa mteja mmoja au zaidi ya kuhifadhi data ambayo ungependa kuiga, lazima utumie pa4rtupromgen inayoweza kutekelezwa (pa4rtupromgen.exe kwenye windows) kutengeneza UPROM.mem. file. Pa4rtupromgen inayoweza kutekelezwa inachukua UPROM.cfg file kama pembejeo kupitia hati ya Tcl file na kutoa UPROM.mem file inahitajika kwa masimulizi. UROMM.mem hii file lazima kunakiliwa kwa folda ya simulation kabla ya kukimbia kwa simulation. Example inayoonyesha matumizi ya pa4rtupromgen inayoweza kutekelezwa imetolewa katika hatua zifuatazo. UROMM.cfg file inapatikana kwenye saraka /sehemu/kazi/ / katika mradi wa Libero ambao ulitumia kutengeneza sehemu ya UPROM.
d. snvm.mem: Ikiwa unatumia msingi wa Huduma za Mfumo katika muundo wako na kusanidi kichupo cha sNVM katika msingi na chaguo la Tumia maudhui ya kuiga yaliyowezeshwa kwa mteja mmoja au zaidi ambao ungependa kuiga, snvm.mem file inazalishwa kiotomatiki kwa
saraka /sehemu/kazi/ / katika mradi wa Libero uliotumia kutengeneza sehemu ya Huduma za Mfumo. Hii snvm.mem file lazima kunakiliwa kwa folda ya simulation kabla ya kukimbia kwa simulation. - Unda folda ya kufanya kazi na folda ndogo inayoitwa simulation chini ya folda ya kufanya kazi.
Pa4rtupromgen inayoweza kutekelezeka inatarajia kuwepo kwa folda ndogo ya uigaji kwenye folda inayofanya kazi na hati ya *.tcl imewekwa kwenye folda ndogo ya simulation. - Nakili UROMM.cfg file kutoka kwa mradi wa kwanza wa Libero iliyoundwa kwa kizazi cha sehemu kwenye folda inayofanya kazi.
- Bandika amri zifuatazo katika hati ya *.tcl na uiweke kwenye folda ya uigaji iliyoundwa katika hatua ya 3.
Sample *.tcl kwa vifaa vya PolarFire na PolarFire Soc Family kutengeneza URPOM.mem file
kutoka kwa UROMM.cfg
set_device -fam -kufa -pkg
set_input_cfg -njia
set_sim_mem -njiaFile/UPROM.mem>
gen_sim -tumia_init uongo
Kwa jina linalofaa la ndani la kutumia kufa na kifurushi, angalia *.prjx file ya mradi wa kwanza wa Libero (unaotumika kwa utengenezaji wa sehemu).
Hoja use_init lazima iwekwe kuwa sivyo.
Tumia set_sim_mem amri kutaja njia ya pato file UPROM.mem yaani
yanayotokana na utekelezaji wa hati file na pa4rtupromgen inayoweza kutekelezwa. - Kwa haraka ya amri au terminal ya cygwin, nenda kwenye saraka ya kufanya kazi iliyoundwa katika hatua ya 3.
Tekeleza amri ya pa4rtupromgen kwa chaguo la-hati na upitishe kwake hati ya *.tcl iliyoundwa katika hatua ya awali.
Kwa Windows
/designer/bin/pa4rtupromgen.exe \
-script./simulation/ .tcl
Kwa Linux:
/bin/pa4rtupromgen
-script./simulation/ .tcl - Baada ya utekelezaji mzuri wa pa4rtupromgen inayoweza kutekelezwa, angalia kuwa UPROM.mem file inatolewa katika eneo lililobainishwa katika amri ya set_sim_mem katika hati ya *.tcl.
- Ili kuiga sNVM, nakili snvm.mem file kutoka kwa mradi wako wa kwanza wa Libero (unaotumika kwa usanidi wa sehemu) hadi folda ya kiwango cha juu cha uigaji wa mradi wako wa uigaji ili kutekeleza uigaji (nje ya Libero SoC). Ili kuiga yaliyomo kwenye UPROM, nakili UROMM.mem iliyotengenezwa file kwenye folda ya uigaji ya kiwango cha juu cha mradi wako wa kuiga ili kuendesha simulizi (nje ya Libero SoC).
Muhimu: Kwa iga utendakazi wa Vipengee vya SoC, pakua maktaba za uigaji zilizokusanywa awali za PolarFire na uziingize katika mazingira yako ya kuiga kama ilivyoelezwa hapa. Kwa maelezo zaidi, angalia Kiambatisho B—Kuingiza Maktaba za Uigaji katika Mazingira ya Kuiga.
Utekelezaji wa Muundo wako (Uliza Swali)
Baada ya kukamilisha uigaji wa Usanifu na Baada ya Usanifu katika mazingira yako, lazima utumie Libero tena ili kutekeleza muundo wako, kuendesha muda na uchanganuzi wa nguvu, na kutoa programu yako. file.
- Unda mradi mpya wa Libero kwa utekelezaji wa kimwili na mpangilio wa muundo. Hakikisha kuwa umelenga kifaa sawa na katika mradi wa marejeleo uliounda katika Usanidi wa Sehemu.
- Baada ya kuunda mradi, ondoa Usanifu kutoka kwa msururu wa zana katika dirisha la Mtiririko wa Usanifu (Mradi > Mipangilio ya Mradi > Mtiririko wa Usanifu > Ondoa Uteuzi Wezesha Usanisi).
- Ingiza usanisi wako wa baada *.vm file katika mradi huu, (File > Ingiza > Orodha ya Netilogi Iliyounganishwa ya Verilog (VM)).
Kidokezo: Inapendekezwa kwamba uunde kiungo cha hii file, ili ukikusanya upya muundo wako, Libero hutumia kila mara orodha ya hivi punde ya baada ya kusanisi.
a. Katika dirisha la Uongozi wa Kubuni, kumbuka jina la moduli ya mizizi. - Ingiza vikwazo kwenye mradi wa Libero. Tumia Kidhibiti cha Vikwazo kuleta *.pdc/*.sdc/*.ndc vikwazo.
a. Ingiza I/O *.pdc kizuizi files (Kidhibiti cha Vikwazo > Sifa za I/O > Ingiza).
b. Leta Floorplanning *.pdc kizuizi files (Kidhibiti cha Vikwazo > Mpangaji wa Sakafu > Ingiza).
c. Ingiza *.sdc kizuizi cha muda files (Kidhibiti cha Vikwazo > Muda > Ingiza). Ikiwa muundo wako una alama zozote zilizoorodheshwa kwenye Overview, kuhakikisha kuingiza SDC file yanayotokana na zana ya kizuizi cha upataji.
d. Ingiza *.ndc kizuizi files (Kidhibiti cha Vikwazo > Sifa za Orodha ya Mtandao > Ingiza). - Vikwazo vinavyohusiana Files kubuni zana.
a. Fungua Kidhibiti cha Vikwazo (Dhibiti Vikwazo> Fungua Dhibiti Vikwazo View).
Teua kisanduku tiki cha Mahali-na-Njia na Uthibitishaji wa Majira karibu na kikwazo file kuweka kizuizi file na muungano wa zana. Husisha kizuizi cha *.pdc kwa Mahali-naNjia na *.sdc kwa Mahali-na-Njia na Uthibitishaji wa Muda. Husisha *.ndc file Kukusanya Netlist.
Kidokezo: Ikiwa Mahali na Njia imeshindwa na kikwazo hiki cha *.sdc file, kisha ingiza *.sdc hii sawa file kusanisi na kuendesha tena usanisi.
- Bofya Unganisha Orodha ya Wavu kisha Weka na Njia ili kukamilisha hatua ya mpangilio.
- Zana ya Kuanzisha Data na Kumbukumbu za Usanifu hukuruhusu kuanzisha vizuizi vya muundo, kama vile LSRAM, µSRAM, XCVR (transceivers), na PCIe kwa kutumia data iliyohifadhiwa katika µPROM, sNVM, au kumbukumbu ya nje ya hifadhi ya SPI Flash. Chombo kina vichupo vifuatavyo vya kufafanua uainishaji wa mlolongo wa uanzishaji wa muundo, maelezo ya wateja wa uanzishaji, wateja wa data ya mtumiaji.
- Kichupo cha Kuanzisha muundo
- kichupo cha µPROM
- kichupo cha sNVM
- SPI Flash tab
- Kichupo cha RAM za kitambaa
Tumia vichupo kwenye zana ili kusanidi data na kumbukumbu za uanzishaji wa muundo.Baada ya kukamilisha usanidi, fanya hatua zifuatazo ili kupanga data ya uanzishaji:
• Tengeneza wateja wa uanzishaji
• Tengeneza au hamisha mkondo mdogo
• Panga kifaa
Kwa maelezo ya kina kuhusu jinsi ya kutumia zana hii, angalia Mwongozo wa Mtumiaji wa Usanifu wa Libero SoC. Kwa habari zaidi juu ya amri za Tcl zinazotumiwa kusanidi tabo mbalimbali kwenye zana na kubainisha usanidi wa kumbukumbu. files (*.cfg), ona Mwongozo wa Marejeleo wa Amri za Tcl. - Tengeneza Programu File kutoka kwa mradi huu na uitumie kupanga FPGA yako.
Kiambatisho A—SampVikwazo vya SDC (Uliza Swali
Libero SoC inazalisha vizuizi vya wakati wa SDC kwa cores fulani za IP, kama vile CCC, OSC, Transceiver na kadhalika. Kupitisha vizuizi vya SDC ili kubuni zana huongeza nafasi ya kufikia kufungwa kwa saa kwa juhudi kidogo na marudio machache ya muundo. Njia kamili ya daraja kutoka kwa mfano wa kiwango cha juu imetolewa kwa vitu vyote vya muundo vilivyorejelewa katika vizuizi.
7.1 Vikwazo vya Muda wa SDC (Uliza Swali)
Katika mradi wa marejeleo wa msingi wa Libero IP, kizuizi hiki cha kiwango cha juu cha SDC file inapatikana kutoka kwa Kidhibiti cha Vikwazo (Mtiririko wa Usanifu > Fungua Dhibiti Kizuizi View > Muda > Pata Vikwazo).
Muhimu: Tazama hii file kuweka vikwazo vya SDC ikiwa muundo wako una CCC, OSC, Transceiver, na vipengele vingine. Rekebisha njia kamili ya madaraja, ikihitajika, ili ilingane na daraja la muundo wako au utumie matumizi ya Derive_Constraints na hatua katika Kiambatisho C—Toa Vikwazo kwenye kiwango cha kipengele cha SDC. file.
Hifadhi file kwa jina tofauti na uingize SDC file kwa zana ya usanisi, Zana ya Mahali-na-Njia, na Uthibitishaji wa Majira, kama kikwazo kingine chochote cha SDC. files.
7.1.1 SDC Iliyotolewa File (Uliza Swali)
#Hii file ilitolewa kwa kuzingatia chanzo kifuatacho cha SDC files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** Marekebisho yoyote kwa hii file itapotea ikiwa vikwazo vilivyotolewa vitatekelezwa tena. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -kipindi cha 6.25
[ pata_pini { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] tengeneza_saa -jina {REF_CLK_PAD_P} -kipindi cha 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_ist_PLL
DIV_CLK} -kipindi cha 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CCCC0_CLL_CLL_PF_inst_
OUT0} -zidisha_kwa 25 -gawanya_kwa 32 -chanzo
[ pata_pini { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -awamu 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_CLL_0PF_CLL_0CC_0
OUT1} -zidisha_kwa 25 -gawanya_kwa 32 -chanzo
[ pata_pini { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -awamu 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_CLL_0PF_CLL_0CC_0
OUT2} -zidisha_kwa 25 -gawanya_kwa 32 -chanzo
[ pata_pini { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -awamu 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_CLL_0PF_CLL_0CC_0
OUT3} -zidisha_kwa 25 -gawanya_kwa 64 -chanzo
[ pata_pini { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -awamu 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_80MHz_CLK_CD_0_CD_XNUMX
Y_DIV} -gawanya_kwa 2 -chanzo
[ pata_pini { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ pata_pini { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz_DIV_CD_CD_CD_CD_ set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins {PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE/PCIE/PC_0PF_
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [get_stINI_TIATOR/PC}
Kiambatisho B—Kuingiza Maktaba za Uigaji katika Mazingira ya Kuiga (Uliza Swali)
Kiigaji chaguomsingi cha uigaji wa RTL na Libero SoC ni ModelSim ME Pro.
Maktaba zilizokusanywa mapema za kiigaji chaguo-msingi zinapatikana kwa usakinishaji wa Libero kwenye saraka /Designer/lib/modelsimpro/precompiled/vlog kwa® familia zinazotumika. Libero SoC pia inasaidia matoleo mengine ya viigaji vya wahusika wengine wa ModelSim, Questasim, VCS, Xcelium.
, HDL Inayotumika, na Riviera Pro. Pakua maktaba husika zilizokusanywa awali kutoka Libero SoC v12.0 na baadaye kulingana na simulator na toleo lake.
Sawa na mazingira ya Libero, run.do file lazima iundwe ili kuendesha uigaji nje ya Libero.
Unda run.do rahisi file ambayo ina maagizo ya kuanzisha maktaba kwa matokeo ya mkusanyiko, ramani ya maktaba, ujumuishaji, na uigaji. Fuata hatua ili kuunda run.do ya msingi file.
- Unda maktaba yenye mantiki ili kuhifadhi matokeo ya mkusanyo kwa kutumia vlib amri vlib presynth.
- Ramani ya jina la maktaba yenye mantiki kwenye saraka ya maktaba iliyokusanywa mapema kwa kutumia vmap amri vmap .
- Kukusanya chanzo files-tumia amri za mkusanyaji wa lugha mahususi kukusanya muundo files kwenye saraka ya kufanya kazi.
– blogu ya .v/.sv
– vcom kwa .vhd - Pakia muundo wa kuiga kwa kutumia amri ya vsim kwa kubainisha jina la moduli yoyote ya kiwango cha juu.
- Iga muundo kwa kutumia amri ya kukimbia.
Baada ya kupakia muundo, wakati wa kuiga umewekwa hadi sifuri, na unaweza kuingiza amri ya kukimbia ili kuanza kuiga.
Katika kidirisha cha nakala ya kiigaji, tekeleza run.do file kama run.do endesha simulation. Sample run.do file kama ifuatavyo.
weka kimya kimya ACTELLIBNAME PolarFire weka PROJECT_DIR "W:/Test/basic_test" ikiwa
{[file ipo presynth/_info]} { echo “INFO: Simulation maktaba presynth ipo” } vinginevyo
{ file delete -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
"X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire" vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” vlog ya vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb ongeza wimbi /tb/*
endesha 1000ns log /tb/* toka
Kiambatisho C—Toa Vikwazo (Uliza Swali)
Kiambatisho hiki kinaelezea amri za Derive Constraints Tcl.
9.1 Pata Vikwazo Amri za Tcl (Uliza Swali)
Huduma ya derive_constraints hukusaidia kupata vikwazo kutoka kwa RTL au kisanidi nje ya mazingira ya muundo wa Libero SoC. Ili kuunda vizuizi vya muundo wako, unahitaji HDL ya Mtumiaji, HDL ya Kijenzi, na Vikwazo vya Vipengele files. Vikwazo vya sehemu ya SDC files zinapatikana chini /sehemu/kazi/ / / saraka baada ya usanidi wa sehemu na kizazi.
Kila kizuizi cha sehemu file inajumuisha set_component tcl amri (inabainisha jina la sehemu) na orodha ya vikwazo vinavyotokana baada ya usanidi. Vikwazo vinazalishwa kulingana na usanidi na ni maalum kwa kila sehemu.
Example 9-1. Kizuizi cha Vipengele File kwa PF_CCC Core
Hapa kuna example ya kizuizi cha sehemu file kwa msingi wa PF_CCC:
set_component PF_CCC_C0_PF_CCC_C0_0_PF_CCC
#Microchip Corp.
# Tarehe: 2021-Okt-26 04:36:00
# Saa ya msingi ya PLL #0
create_clock -period 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -divide_by 1 -source [ get_pins { pll_inst_0/
REF_CLK_0 } ] -awamu 0 [ get_pins { pll_inst_0/OUT0 } ] Hapa, create_clock na create_generated_clock ni marejeleo na vizuizi vya saa za kutoa mtawalia, ambavyo huzalishwa kulingana na usanidi.
9.1.1 Kufanya kazi na shirika la derive_constraints (Uliza Swali)
Pata vizuizi kupitia muundo na kutenga vizuizi vipya kwa kila mfano wa kijenzi kulingana na kipengele kilichotolewa hapo awali cha SDC. files. Kwa saa za marejeleo za CCC, hueneza kupitia muundo ili kupata chanzo cha saa ya marejeleo. Ikiwa chanzo ni I/O, kizuizi cha saa ya marejeleo kitawekwa kwenye I/O. Ikiwa ni pato la CCC au chanzo kingine cha saa (kwa mfanoample, Transceiver, oscillator), hutumia saa kutoka kwa sehemu nyingine na kuripoti onyo ikiwa vipindi havilingani. Vizuizi vya kupata pia vitatenga vizuizi kwa baadhi ya macros kama oscillators kwenye-chip ikiwa unayo kwenye RTL yako.
Ili kutekeleza matumizi ya derive_constraints, lazima utoe .tcl file hoja ya mstari wa amri na habari ifuatayo kwa mpangilio maalum.
- Bainisha maelezo ya kifaa kwa kutumia maelezo katika sehemu set_device.
- Bainisha njia ya RTL files kwa kutumia taarifa katika sehemu read_verilog au read_vhdl.
- Weka sehemu ya kiwango cha juu kwa kutumia maelezo katika sehemu set_top_level.
- Bainisha njia ya sehemu ya SDC files kwa kutumia maelezo katika sehemu read_sdc au read_ndc.
- Tekeleza files kwa kutumia maelezo katika sehemu deive_constraints.
- Bainisha njia ya vikwazo vinavyotokana na SDC file kwa kutumia maelezo katika sehemu ya write_sdc au write_pdc au write_ndc.
Example 9-2. Utekelezaji na Yaliyomo kwenye derive.tcl File
Ifuatayo ni example hoja ya mstari wa amri kutekeleza matumizi ya derive_constraints.
$ /bin{64}/derive_constraints derive.tcl
Yaliyomo kwenye derive.tcl file:
# Habari ya kifaa
set_device -familia PolarFire -die MPF100T -kasi -1
#RTL files
read_verilog -mode system_verilog project/component/work/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {project/component/work/txpll0/txpll0.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {project/hdl/xcvr1.vhd}
#Sehemu ya SDC files
set_top_level {xcvr1}
read_sdc -component {project/component/work/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -component {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#Tumia amri_ya_kuzuia
pata_vikwazo
Matokeo ya #SDC/PDC/NDC files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 seti_kifaa (Uliza Swali)
Maelezo
Bainisha jina la familia, jina la kufa na daraja la kasi.
set_device -familia -kufa -kasi
Hoja
Kigezo | Aina | Maelezo |
-familia | Kamba | Bainisha jina la familia. Thamani zinazowezekana ni PolarFire®, PolarFire SoC. |
-kufa | Kamba | Taja jina la kifafa. |
-kasi | Kamba | Bainisha kiwango cha kasi cha kifaa. Thamani zinazowezekana ni STD au -1. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kigezo kinachohitajika-kufa haipo | Chaguo la kufa ni la lazima na lazima libainishwe. |
0005. Mchezaji hajali | 'MPF30' isiyojulikana | Thamani ya -die chaguo sio sahihi. Tazama orodha inayowezekana ya maadili katika maelezo ya chaguo. |
0023. Mchezaji hajali | Parameta-kufa haina thamani | Chaguo la kufa limebainishwa bila thamani. |
0023. Mchezaji hajali | Kigezo kinachohitajika—familia haipo | Chaguo la familia ni la lazima na lazima libainishwe. |
0004. Mchezaji hajali | Familia isiyojulikana 'PolarFire®' | Chaguo la familia sio sahihi. Tazama orodha inayowezekana ya maadili katika maelezo ya chaguo. |
………… iliendelea | ||
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kigezo—familia haina thamani | Chaguo la familia limebainishwa bila thamani. |
0023. Mchezaji hajali | Kigezo kinachohitajika-kasi haipo | Chaguo la kasi ni la lazima na lazima lielezwe. |
0007. Mchezaji hajali | Kasi isiyojulikana ' | Chaguo la kasi sio sahihi. Tazama orodha inayowezekana ya maadili katika maelezo ya chaguo. |
0023. Mchezaji hajali | Kigezo-kasi haina thamani | Chaguo la kasi ni maalum bila thamani. |
Example
set_device -family {PolarFire} -kufa {MPF300T_ES} -kasi -1
set_device -family SmartFusion 2 -die M2S090T -kasi -1
9.1.3 soma_verilogi (Uliza Swali)
Maelezo
Soma Verilog file kwa kutumia Uthibitishaji.
soma_verilog [-lib ] [-mode ]filejina>
Hoja
Kigezo | Aina | Maelezo |
-lib | Kamba | Bainisha maktaba ambayo ina moduli za kuongezwa kwenye maktaba. |
- hali | Kamba | Bainisha kiwango cha Verilog. Thamani zinazowezekana ni verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. Thamani hazijalishi. Chaguomsingi ni verilog_2k. |
filejina | Kamba | Verilog file jina. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kigezo-lib haina thamani | Chaguo la lib limebainishwa bila thamani. |
0023. Mchezaji hajali | Kigezo-modi haina thamani | Chaguo la hali imebainishwa bila thamani. |
0015. Mchezaji hajali | Hali isiyojulikana ' ' | Hali maalum ya verilog haijulikani. Tazama orodha ya uwezekano wa hali ya verilog katika maelezo ya chaguo la modi. |
0023. Mchezaji hajali | Kigezo kinachohitajika file jina halipo | Hakuna verilog file njia hutolewa. |
0016. Mchezaji hajali | Imeshindwa kwa sababu ya kichanganuzi cha Verific | Hitilafu ya sintaksia katika verilog file. Kichanganuzi cha Verific kinaweza kuangaliwa kwenye koni iliyo juu ya ujumbe wa hitilafu. |
0012. Mchezaji hajali | set_device haijaitwa | Taarifa ya kifaa haijabainishwa. Tumia set_device amri kuelezea kifaa. |
Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -mode system_verilog_mfcu design.v
9.1.4 soma_vhdl (Uliza Swali)
Maelezo
Ongeza VHDL file kwenye orodha ya VHDL files.
soma_vhdl [-lib ] [-mode ]filejina>
Hoja
Kigezo | Aina | Maelezo |
-lib | — | Bainisha maktaba ambayo maudhui lazima yaongezwe. |
- hali | — | Inabainisha kiwango cha VHDL. Chaguomsingi ni VHDL_93. Thamani zinazowezekana ni vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. Thamani hazijalishi. |
filejina | — | VHDL file jina. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kigezo-lib haina thamani | Chaguo la lib limebainishwa bila thamani. |
0023. Mchezaji hajali | Kigezo-modi haina thamani | Chaguo la hali imebainishwa bila thamani. |
0018. Mchezaji hajali | Hali isiyojulikana ' ' | Hali maalum ya VHDL haijulikani. Tazama orodha ya modi ya VHDL inayowezekana katika maelezo ya chaguo la modi. |
0023. Mchezaji hajali | Kigezo kinachohitajika file jina halipo | Hakuna VHDL file njia hutolewa. |
0019. Mchezaji hajali | Imeshindwa kusajili invalid_path.v file | VHDL iliyoainishwa file haipo au haina ruhusa za kusoma. |
0012. Mchezaji hajali | set_device haijaitwa | Taarifa ya kifaa haijabainishwa. Tumia set_device amri kuelezea kifaa. |
Example
read_vhdl -mode vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 seti_kiwango_cha_juu (Uliza Swali)
Maelezo
Bainisha jina la moduli ya kiwango cha juu katika RTL.
set_top_level [-lib ]
Hoja
Kigezo | Aina | Maelezo |
-lib | Kamba | Maktaba ya kutafuta moduli ya kiwango cha juu au huluki (Si lazima). |
jina | Kamba | Moduli ya kiwango cha juu au jina la huluki. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kiwango cha juu cha kigezo kinachohitajika hakipo | Chaguo la kiwango cha juu ni la lazima na lazima lielezwe. |
0023. Mchezaji hajali | Kigezo-lib haina thamani | Chaguo la lib limebainishwa bila maadili. |
0014. Mchezaji hajali | Haijaweza kupata kiwango cha juu katika maktaba | Sehemu iliyobainishwa ya kiwango cha juu haijafafanuliwa katika maktaba iliyotolewa. Ili kurekebisha hitilafu hii, moduli ya juu au jina la maktaba lazima lirekebishwe. |
0017. Mchezaji hajali | Imeshindwa kufafanua | Hitilafu katika mchakato wa ufafanuzi wa RTL. Ujumbe wa makosa unaweza kuzingatiwa kutoka kwa koni. |
Example
set_top_level {juu}
set_top_level -lib hdl juu
9.1.6 soma_sdc (Uliza Swali)
Maelezo
Soma SDC file kwenye hifadhidata ya sehemu.
soma_sdc -kipengelefilejina>
Hoja
Kigezo | Aina | Maelezo |
-kipengele | — | Hii ni bendera ya lazima kwa amri ya read_sdc tunapopata vikwazo. |
filejina | Kamba | Njia ya SDC file. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kigezo kinachohitajika file jina halipo. | Chaguo la lazima file jina halijabainishwa. |
0000. Mchezaji hajali | SDC file <file_path> haisomeki. | SDC iliyobainishwa file haina ruhusa za kusoma. |
0001. Mchezaji hajali | Imeshindwa kufunguafile_njia> file. | Chama cha SDC file haipo. Njia lazima irekebishwe. |
0008. Mchezaji hajali | Inakosa set_component amri ndanifile_njia> file | Sehemu iliyobainishwa ya SDC file haina bayana sehemu. |
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0009. Mchezaji hajali | <List of errors from sdc file> | Chama cha SDC file ina amri zisizo sahihi za sdc. Kwa mfanoample,
wakati kuna hitilafu katika kizuizi cha set_multicycle_path: Kosa wakati wa kutekeleza amri read_sdc: infile_njia> file: Hitilafu katika amri set_multicycle_path: Kigezo kisichojulikana [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 soma_ndc (Uliza Swali)
Maelezo
Soma NDC file kwenye hifadhidata ya sehemu.
soma_ndc -kipengelefilejina>
Hoja
Kigezo | Aina | Maelezo |
-kipengele | — | Hii ni bendera ya lazima kwa amri ya read_ndc tunapopata vikwazo. |
filejina | Kamba | Njia ya NDC file. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0001. Mchezaji hajali | Imeshindwa kufunguafile_njia> file | Chama cha NDC file haipo. Njia lazima irekebishwe. |
0023. Mchezaji hajali | Kigezo kinachohitajika—AtclParamO_ haipo. | Chaguo la lazima filejina halijabainishwa. |
0023. Mchezaji hajali | Kigezo kinachohitajika-kipengele hakipo. | Chaguo la sehemu ni la lazima na lazima libainishwe. |
0000. Mchezaji hajali | NDC file 'file_path>' haisomeki. | NDC iliyoainishwa file haina ruhusa za kusoma. |
Example
read_ndc -component {component/work/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 hupata_vikwazo (Uliza Swali)
Maelezo
Sakinisha sehemu ya SDC files kwenye hifadhidata ya kiwango cha muundo.
pata_vikwazo
Hoja
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0013. Mchezaji hajali | Kiwango cha juu hakijafafanuliwa | Hii inamaanisha kuwa moduli ya kiwango cha juu au huluki haijabainishwa. Ili kurekebisha simu hii, toa set_top_level amri kabla ya derive_constraints amri. |
Example
pata_vikwazo
9.1.9 write_sdc (Uliza Swali)
Maelezo
Anaandika kizuizi file katika muundo wa SDC.
andika_sdcfilejina>
Hoja
Kigezo | Aina | Maelezo |
<filejina> | Kamba | Njia ya SDC file itatolewa. Hili ni chaguo la lazima. Ikiwa file ipo, itaandikwa tena. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0003. Mchezaji hajali | Imeshindwa kufunguafile njia> file. | File njia sio sahihi. Angalia ikiwa saraka kuu zipo. |
0002. Mchezaji hajali | SDC file 'file path>' haiandikiki. | SDC iliyobainishwa file hana ruhusa ya kuandika. |
0023. Mchezaji hajali | Kigezo kinachohitajika file jina halipo. | Chama cha SDC file njia ni chaguo la lazima na lazima ibainishwe. |
Example
write_sdc "derived.sdc"
9.1.10 write_pdc (Uliza Swali)
Maelezo
Huandika vikwazo vya kimwili (Toa Vikwazo pekee).
andika_pdcfilejina>
Hoja
Kigezo | Aina | Maelezo |
<filejina> | Kamba | Njia ya PDC file itatolewa. Hili ni chaguo la lazima. Ikiwa file njia ipo, itaandikwa tena. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0003. Mchezaji hajali | Imeshindwa kufunguafile njia> file | The file njia sio sahihi. Angalia ikiwa saraka kuu zipo. |
0002. Mchezaji hajali | PDC file 'file path>' haiwezi kuandikwa. | PDC iliyoainishwa file hana ruhusa ya kuandika. |
0023. Mchezaji hajali | Kigezo kinachohitajika file jina halipo | Chama cha PDC file njia ni chaguo la lazima na lazima ibainishwe. |
Example
write_pdc "derived.pdc"
9.1.11 andika_ndc (Uliza Swali)
Maelezo
Huandika vikwazo vya NDC kuwa a file.
andika_ndcfilejina>
Hoja
Kigezo | Aina | Maelezo |
filejina | Kamba | Njia ya NDC file itatolewa. Hili ni chaguo la lazima. Ikiwa file ipo, itaandikwa tena. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0003. Mchezaji hajali | Imeshindwa kufunguafile_njia> file. | File njia sio sahihi. Saraka kuu hazipo. |
0002. Mchezaji hajali | NDC file 'file_path>' haiandikiki. | NDC iliyoainishwa file hana ruhusa ya kuandika. |
0023. Mchezaji hajali | Kigezo kinachohitajika _AtclParamO_ hakipo. | Chama cha NDC file njia ni chaguo la lazima na lazima ibainishwe. |
Example
write_ndc “derived.ndc”
9.1.12 ongeza_jumuisha_njia (Uliza Swali)
Maelezo
Inabainisha njia ya kutafuta ni pamoja na files wakati wa kusoma RTL files.
ongeza_jumuisha_njia
Hoja
Kigezo | Aina | Maelezo |
saraka | Kamba | Inabainisha njia ya kutafuta ni pamoja na files wakati wa kusoma RTL files. Chaguo hili ni la lazima. |
Aina ya Kurudi | Maelezo |
0 | Amri ilifanikiwa. |
Aina ya Kurudi | Maelezo |
1 | Amri imeshindwa. Kuna hitilafu. Unaweza kuona ujumbe wa makosa kwenye koni. |
Orodha ya Makosa
Msimbo wa Hitilafu | Ujumbe wa Hitilafu | Maelezo |
0023. Mchezaji hajali | Kigezo kinachohitajika ni pamoja na njia haipo. | Chaguo la saraka ni la lazima na lazima litolewe. |
Kumbuka: Kama njia ya saraka si sahihi, basi add_include_path itapitishwa bila kosa.
Walakini, amri za kusoma_verilog/read_vhd zitashindwa kwa sababu ya kichanganuzi cha Verific.
Example
add_include_path component/work/CORABC0/CORABC0_0/rtl/vlog/core
Historia ya Usahihishaji (Uliza Swali)
Historia ya marekebisho inaeleza mabadiliko ambayo yalitekelezwa katika hati. Mabadiliko yameorodheshwa kwa marekebisho, kuanzia na uchapishaji wa sasa zaidi.
Marekebisho | Tarehe | Maelezo |
F | 08/2024 | Mabadiliko yafuatayo yanafanywa katika marekebisho haya: • Sehemu iliyosasishwa Kiambatisho B—Kuingiza Maktaba za Uigaji kwenye Mazingira ya Kuiga. |
E | 08/2024 | Mabadiliko yafuatayo yanafanywa katika marekebisho haya: • Sehemu iliyosasishwa Zaidiview. • Sehemu iliyosasishwa Inayotokana na SDC File. • Sehemu iliyosasishwa Kiambatisho B—Kuingiza Maktaba za Uigaji kwenye Mazingira ya Kuiga. |
D | 02/2024 | Hati hii imetolewa na Libero 2024.1 SoC Design Suite bila mabadiliko kutoka v2023.2. Sehemu iliyosasishwa Kufanya kazi na shirika la derive_constraints |
C | 08/2023 | Hati hii imetolewa na Libero 2023.2 SoC Design Suite bila mabadiliko kutoka v2023.1. |
B | 04/2023 | Hati hii imetolewa na Libero 2023.1 SoC Design Suite bila mabadiliko kutoka v2022.3. |
A | 12/2022 | Marekebisho ya Awali. |
Msaada wa Microchip FPGA
Kikundi cha bidhaa za Microchip FPGA kinarudisha bidhaa zake kwa huduma mbalimbali za usaidizi, ikiwa ni pamoja na Huduma kwa Wateja, Kituo cha Msaada wa Kiufundi kwa Wateja, a. webtovuti, na ofisi za mauzo duniani kote.
Wateja wanapendekezwa kutembelea nyenzo za mtandaoni za Microchip kabla ya kuwasiliana na usaidizi kwani kuna uwezekano mkubwa kwamba maswali yao tayari yamejibiwa.
Wasiliana na Kituo cha Usaidizi wa Kiufundi kupitia webtovuti kwenye www.microchip.com/support. Taja nambari ya Sehemu ya Kifaa ya FPGA, chagua aina ya kesi inayofaa, na upakie muundo files wakati wa kuunda kesi ya usaidizi wa kiufundi.
Wasiliana na Huduma kwa Wateja ili upate usaidizi wa bidhaa zisizo za kiufundi, kama vile bei ya bidhaa, uboreshaji wa bidhaa, taarifa za sasisho, hali ya agizo na uidhinishaji.
- Kutoka Amerika Kaskazini, piga simu 800.262.1060
- Kutoka kwa ulimwengu wote, piga simu 650.318.4460
- Faksi, kutoka popote duniani, 650.318.8044
Taarifa za Microchip
Microchip Webtovuti
Microchip hutoa usaidizi mkondoni kupitia yetu webtovuti kwenye www.microchip.com/. Hii webtovuti hutumiwa kutengeneza files na taarifa zinazopatikana kwa urahisi kwa wateja. Baadhi ya maudhui yanayopatikana ni pamoja na:
- Usaidizi wa Bidhaa - Karatasi za data na makosa, maelezo ya maombi na sampprogramu, rasilimali za muundo, miongozo ya mtumiaji na hati za usaidizi wa maunzi, matoleo ya hivi punde ya programu na programu zilizohifadhiwa kwenye kumbukumbu
- Usaidizi wa Jumla wa Kiufundi – Maswali Yanayoulizwa Mara Kwa Mara (FAQs), maombi ya usaidizi wa kiufundi, vikundi vya majadiliano ya mtandaoni, uorodheshaji wa wanachama wa programu ya mshirika wa Microchip
- Biashara ya Microchip - Miongozo ya kuchagua bidhaa na kuagiza, matoleo ya hivi karibuni ya vyombo vya habari vya Microchip, orodha ya semina na matukio, orodha ya ofisi za mauzo ya Microchip, wasambazaji na wawakilishi wa kiwanda.
Huduma ya Arifa ya Mabadiliko ya Bidhaa
Huduma ya arifa ya mabadiliko ya bidhaa ya Microchip husaidia kuweka wateja wa kisasa kuhusu bidhaa za Microchip. Wasajili watapokea arifa ya barua pepe wakati wowote kutakuwa na mabadiliko, masasisho, masahihisho au makosa yanayohusiana na familia maalum ya bidhaa au zana ya usanidi inayovutia. Ili kujiandikisha, nenda kwa www.microchip.com/pcn na kufuata maelekezo ya usajili.
Usaidizi wa Wateja
Watumiaji wa bidhaa za Microchip wanaweza kupokea usaidizi kupitia njia kadhaa:
- Msambazaji au Mwakilishi
- Ofisi ya Uuzaji wa Mitaa
- Mhandisi wa Suluhu Zilizopachikwa (ESE)
- Msaada wa Kiufundi
Wateja wanapaswa kuwasiliana na msambazaji wao, mwakilishi au ESE kwa usaidizi. Ofisi za mauzo za ndani zinapatikana pia kusaidia wateja. Orodha ya ofisi na maeneo ya mauzo imejumuishwa katika hati hii. Msaada wa kiufundi unapatikana kupitia webtovuti kwa: www.microchip.com/support
Kipengele cha Ulinzi wa Msimbo wa Vifaa vya Microchip
Kumbuka maelezo yafuatayo ya kipengele cha ulinzi wa msimbo kwenye bidhaa za Microchip:
- Bidhaa za Microchip hutimiza masharti yaliyomo katika Laha zao za Data za Microchip.
- Microchip inaamini kwamba familia yake ya bidhaa ni salama inapotumiwa kwa njia iliyokusudiwa, ndani ya vipimo vya uendeshaji, na chini ya hali ya kawaida.
- Thamani za microchip na kulinda kwa ukali haki zake za uvumbuzi. Majaribio ya kukiuka vipengele vya ulinzi wa msimbo wa bidhaa ya Microchip yamepigwa marufuku kabisa na yanaweza kukiuka Sheria ya Milenia ya Hakimiliki Dijiti.
- Wala Microchip au mtengenezaji mwingine yeyote wa semiconductor anaweza kuhakikisha usalama wa msimbo wake. Ulinzi wa msimbo haimaanishi kuwa tunahakikisha kuwa bidhaa "haiwezi kuvunjika". Ulinzi wa kanuni unaendelea kubadilika. Microchip imejitolea kuendelea kuboresha vipengele vya ulinzi wa kanuni za bidhaa zetu.
Notisi ya Kisheria
Chapisho hili na maelezo yaliyo hapa yanaweza kutumika tu na bidhaa za Microchip, ikijumuisha kubuni, kujaribu na kuunganisha bidhaa za Microchip na programu yako. Matumizi ya habari hii kwa njia nyingine yoyote inakiuka masharti haya. Taarifa kuhusu programu za kifaa hutolewa kwa urahisi wako tu na inaweza kubadilishwa na masasisho. Ni jukumu lako kuhakikisha kuwa programu yako inakidhi masharti yako. Wasiliana na ofisi ya mauzo ya Microchip iliyo karibu nawe kwa usaidizi zaidi au, pata usaidizi zaidi kwa www.microchip.com/en-us/support/design-help/client-support-services.
HABARI HII IMETOLEWA NA MICROCHIP "KAMA ILIVYO". MICROCHIP HAITOI UWAKILISHI AU DHAMANA YOYOTE IKIWA YA WAZI AU INAYODHANISHWA, MAANDISHI AU YA MDOMO, KISHERIA AU VINGINEVYO, INAYOHUSIANA NA HABARI IKIWEMO LAKINI HAIKUHUSIWA NA UDHAMINI WOWOTE ULIOHUSIKA, UTOAJI DHAHIRI NA UTEKELEZAJI WOWOTE ULIOHUSIKA. INAYOHUSIANA NA HALI, UBORA, AU UTENDAJI WAKE. HAKUNA TUKIO HILO MICROCHIP ITAWAJIBIKA KWA HASARA YOYOTE, MAALUM, ADHABU, TUKIO, AU MATOKEO YA HASARA, UHARIBIFU, GHARAMA, AU MATUMIZI YA AINA YOYOTE ILE YOYOTE INAYOHUSIANA NA HABARI AU MATUMIZI YAKE, HATA HIVYO IMETOKEA. UWEZEKANO AU MADHARA YANAONEKANA. KWA KIWANGO KAMILI KINACHORUHUSIWA NA SHERIA, WAJIBU WA JUMLA WA MICROCHIP JUU YA MADAI YOTE KWA NJIA YOYOTE INAYOHUSIANA NA MAELEZO AU MATUMIZI YAKE HAYATAZIDI KIASI CHA ADA, IKIWA HIYO, AMBAYO UMELIPA MOJA KWA MOJA KWA UTAJIRI.
Matumizi ya vifaa vya Microchip katika usaidizi wa maisha na/au maombi ya usalama yako hatarini kwa mnunuzi, na mnunuzi anakubali kutetea, kufidia na kushikilia Microchip isiyo na madhara kutokana na uharibifu wowote na wote, laims, suti au gharama zinazotokana na matumizi hayo. Hakuna leseni zinazowasilishwa, kwa njia isiyo wazi au vinginevyo, chini ya haki zozote za uvumbuzi za Microchip isipokuwa kama ilivyoelezwa vinginevyo.
Alama za biashara
Jina na nembo ya Microchip, nembo ya Microchip, Adaptec, AVR, nembo ya AVR, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus MediaLB, megaAVR, Microsemi, nembo ya Microsemi, MOST, MOST logo, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, nembo ya PIC32, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logo, SuperFlash, Symmetri , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, na XMEGA ni chapa za biashara zilizosajiliwa za Microchip Technology Incorporated nchini Marekani na nchi nyinginezo.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, nembo ya ProASIC Plus, Quiet-Wire, SmartFusion, SyncWorld, TimeCesium, TimeHub, TimePictra, TimeProvider, na ZL ni chapa za biashara zilizosajiliwa za Microchip Technology Incorporated nchini U.S.A.
Ukandamizaji wa Ufunguo wa Karibu, AKS, Umri wa Analogi kwa Dijiti, Kiwezeshaji Chochote, AnyIn, AnyOut, Ubadilishaji Ulioboreshwa, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM Average, dsPICDEM.net , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, JitterBlocker, Knob-on-Display, MaxCginryLipto, max. maxView, memBrain, Mindi, MiWi, MPASM, MPF, nembo iliyoidhinishwa na MPLAB, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS 7, PowerSilicon, PowerSmart, , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-I.S., storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance , Muda Unaoaminika, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect na ZENA ni chapa za biashara za Microchip Technology Incorporated nchini Marekani na nchi nyinginezo.
SQTP ni alama ya huduma ya Microchip Technology Incorporated nchini Marekani
Nembo ya Adaptec, Frequency on Demand, Silicon Storage Technology, na Symmcom ni alama za biashara zilizosajiliwa za Microchip Technology Inc. katika nchi nyingine.
GestIC ni chapa ya biashara iliyosajiliwa ya Microchip Technology Germany II GmbH & Co. KG, kampuni tanzu ya Microchip Technology Inc., katika nchi nyingine.
Alama zingine zote za biashara zilizotajwa hapa ni mali ya kampuni zao.
2024, Microchip Technology Incorporated na matawi yake. Haki Zote Zimehifadhiwa.
ISBN: 978-1-6683-0183-8
Mfumo wa Usimamizi wa Ubora
Kwa maelezo kuhusu Mifumo ya Kudhibiti Ubora ya Microchip, tafadhali tembelea www.microchip.com/quality.
Uuzaji na Huduma Ulimwenguni Pote
MAREKANI | ASIA/PACIFIC | ASIA/PACIFIC | ULAYA |
Ofisi ya Shirika 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Simu: 480-792-7200 Faksi: 480-792-7277 Usaidizi wa Kiufundi: www.microchip.com/support Web Anwani: www.microchip.com Atlanta Duluth, GA Simu: 678-957-9614 Faksi: 678-957-1455 Austin, TX Simu: 512-257-3370 Boston Westborough, MA Simu: 774-760-0087 Faksi: 774-760-0088 Chicago Itasca, IL Simu: 630-285-0071 Faksi: 630-285-0075 Dallas Addison, TX Simu: 972-818-7423 Faksi: 972-818-2924 Detroit Novi, MI Simu: 248-848-4000 Houston, TX Simu: 281-894-5983 Indianapolis Noblesville, IN Simu: 317-773-8323 Faksi: 317-773-5453 Simu: 317-536-2380 Los Angeles Mission Viejo, CA Simu: 949-462-9523 Faksi: 949-462-9608 Simu: 951-273-7800 Raleigh, NC Simu: 919-844-7510 New York, NY Simu: 631-435-6000 San Jose, CA Simu: 408-735-9110 Simu: 408-436-4270 Kanada - Toronto Simu: 905-695-1980 Faksi: 905-695-2078 |
Australia - Sydney Simu: 61-2-9868-6733 China - Beijing Simu: 86-10-8569-7000 China - Chengdu Simu: 86-28-8665-5511 Uchina - Chongqing Simu: 86-23-8980-9588 Uchina - Dongguan Simu: 86-769-8702-9880 Uchina - Guangzhou Simu: 86-20-8755-8029 Uchina - Hangzhou Simu: 86-571-8792-8115 Uchina - Hong Kong SAR Simu: 852-2943-5100 China - Nanjing Simu: 86-25-8473-2460 Uchina - Qingdao Simu: 86-532-8502-7355 Uchina - Shanghai Simu: 86-21-3326-8000 China - Shenyang Simu: 86-24-2334-2829 China - Shenzhen Simu: 86-755-8864-2200 Uchina - Suzhou Simu: 86-186-6233-1526 Uchina - Wuhan Simu: 86-27-5980-5300 China - Xian Simu: 86-29-8833-7252 China - Xiamen Simu: 86-592-2388138 Uchina - Zhuhai Simu: 86-756-3210040 |
India - Bangalore Simu: 91-80-3090-4444 India - New Delhi Simu: 91-11-4160-8631 Uhindi - Pune Simu: 91-20-4121-0141 Japan - Osaka Simu: 81-6-6152-7160 Japan - Tokyo Simu: 81-3-6880-3770 Korea - Daegu Simu: 82-53-744-4301 Korea - Seoul Simu: 82-2-554-7200 Malaysia - Kuala Lumpur Simu: 60-3-7651-7906 Malaysia - Penang Simu: 60-4-227-8870 Ufilipino - Manila Simu: 63-2-634-9065 Singapore Simu: 65-6334-8870 Taiwan - Hsin Chu Simu: 886-3-577-8366 Taiwan - Kaohsiung Simu: 886-7-213-7830 Taiwan - Taipei Simu: 886-2-2508-8600 Thailand - Bangkok Simu: 66-2-694-1351 Vietnam - Ho Chi Minh Simu: 84-28-5448-2100 |
Austria - Wels Simu: 43-7242-2244-39 Faksi: 43-7242-2244-393 Denmark - Copenhagen Simu: 45-4485-5910 Faksi: 45-4485-2829 Ufini - Espoo Simu: 358-9-4520-820 Ufaransa - Paris Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Ujerumani - Garching Simu: 49-8931-9700 Ujerumani - Haan Simu: 49-2129-3766400 Ujerumani - Heilbronn Simu: 49-7131-72400 Ujerumani - Karlsruhe Simu: 49-721-625370 Ujerumani - Munich Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Ujerumani - Rosenheim Simu: 49-8031-354-560 Israeli - Hod Hasharoni Simu: 972-9-775-5100 Italia - Milan Simu: 39-0331-742611 Faksi: 39-0331-466781 Italia - Padova Simu: 39-049-7625286 Uholanzi - Drunen Simu: 31-416-690399 Faksi: 31-416-690340 Norway - Trondheim Simu: 47-72884388 Poland - Warsaw Simu: 48-22-3325737 Romania - Bucharest Tel: 40-21-407-87-50 Uhispania - Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 Uswidi - Gothenberg Tel: 46-31-704-60-40 Uswidi - Stockholm Simu: 46-8-5090-4654 Uingereza - Wokingham Simu: 44-118-921-5800 Faksi: 44-118-921-5820 |
Nyaraka / Rasilimali
![]() |
Mtiririko Maalum wa Familia ya PolarFire MICROCHIP DS00004807F FPGA [pdf] Mwongozo wa Mtumiaji DS00004807F Mtiririko Maalum wa Familia ya PolarFire FPGA, DS00004807F, Mtiririko wa Familia wa PolarFire FPGA, Mtiririko Maalum wa FPGA wa Familia, Mtiririko Maalum, Mtiririko |