PolarFire Family FPGA Custom Flow felhasználói útmutató
Libero SoC v2024.2
Bevezetés (Tegyen fel egy kérdést)
A Libero System-on-Chip (SoC) szoftver egy teljesen integrált FPGA (Field Programmable Gate Array) tervezési környezetet biztosít. Néhány felhasználónak azonban előfordulhat, hogy a Libero SoC környezetén kívül harmadik féltől származó szintézis- és szimulációs eszközöket szeretne használni. A Libero mostantól integrálható az FPGA tervezési környezetbe. A teljes FPGA tervezési folyamat kezeléséhez ajánlott a Libero SoC használata.
Ez a felhasználói útmutató a PolarFire és a PolarFire SoC család eszközeinek egyéni folyamatát ismerteti, amely a Libero integrálását jelenti a nagyobb FPGA tervezési folyamat részévé. Támogatott eszközcsaládok® Az alábbi táblázat felsorolja a Libero SoC által támogatott eszközcsaládokat. Az útmutatóban található egyes információk azonban csak egy adott eszközcsaládra vonatkozhatnak. Ebben az esetben ezek az információk egyértelműen megjelölésre kerülnek.
1. táblázat: Libero SoC által támogatott eszközcsaládok
Eszközcsalád | Leírás |
PolarFire® | A PolarFire FPGA-k az iparág legalacsonyabb energiafogyasztását nyújtják közepes sűrűség mellett, kivételes biztonsággal és megbízhatósággal. |
PolarFire SoC | A PolarFire SoC az első SoC FPGA, amely determinisztikus, koherens RISC-V CPU klaszterrel és determinisztikus L2 memória alrendszerrel rendelkezik, amely lehetővé teszi a Linux® és a valós idejű alkalmazások futtatását. |
Felettview (Tegyen fel egy kérdést)
Míg a Libero SoC teljesen integrált, teljes körű tervezési környezetet biztosít SoC és FPGA tervek fejlesztéséhez, rugalmasságot biztosít a szintézis és szimuláció futtatásához harmadik féltől származó eszközökkel a Libero SoC környezeten kívül. Néhány tervezési lépésnek azonban a Libero SoC környezeten belül kell maradnia.
Az alábbi táblázat felsorolja az FPGA tervezési folyamatának főbb lépéseit, és jelzi azokat a lépéseket, amelyekhez Libero SoC-t kell használni.
1-1. táblázat. FPGA tervezési folyamat
Tervezési folyamat lépése | Libero-t kell használni | Leírás |
Tervezési bejegyzés: HDL | Nem | Ha szükséges, használjon harmadik féltől származó HDL-szerkesztő/ellenőrző eszközt a Libero® SoC-n kívül. |
Tervezési bejegyzés: Konfigurátorok | Igen | Hozzon létre első Libero projektet az IP-katalógus alapvető összetevőinek generálásához. |
Automatikus PDC/SDC kényszergenerálás | Nem | A származtatott megszorításokhoz minden HDL-re szükség van files és egy derive_constraints segédprogramot, amikor a Libero SoC-n kívül hajtják végre, a C. függelékben – Származtatási korlátozások – leírtak szerint. |
Szimuláció | Nem | Ha szükséges, használjon harmadik féltől származó eszközt a Libero SoC-n kívül. Előre lefordított szimulációs könyvtárak letöltése szükséges a céleszközhöz, a célszimulátorhoz és a háttérrendszer megvalósításához használt Libero célverzióhoz. |
Szintézis | Nem | Ha szükséges, használjon harmadik féltől származó eszközt a Libero SoC-n kívül. |
Tervezés megvalósítása: Korlátozások kezelése, Hálózati lista fordítása, Elhelyezés és útvonaltervezés (lásd a következő részt):view) | Igen | Hozzon létre második Libero projektet a háttérrendszer megvalósításához. |
Időzítés és teljesítmény ellenőrzése | Igen | Maradjon a második Libero projektben. |
Tervezési inicializálási adatok és memóriák konfigurálása | Igen | Ezzel az eszközzel kezelheti a különböző típusú memóriákat és a tervezés inicializálását az eszközben. Maradj a második projektben. |
Programozás File Generáció | Igen | Maradj a második projektben. |
Fontos: Te le kell töltenie az előre lefordított könyvtárakat, amelyek elérhetők a következő címen: Előre fordított szimulációs könyvtárak oldal egy harmadik féltől származó szimulátor használatához.
Egy tisztán Fabric FPGA folyamatban add meg a tervedet HDL vagy kapcsolási rajz használatával, és add át közvetlenül.
a szintéziseszközökhöz. A folyamat továbbra is támogatott. A PolarFire és a PolarFire SoC FPGA-k jelentős
saját fejlesztésű hardveres IP-blokkok, amelyek a Libero SoC IP-ből származó konfigurációs magok (SgCores) használatát igénylik
katalógus. Speciális kezelésre van szükség minden olyan blokk esetében, amely SoC funkciókat tartalmaz:
- PolarFire
– PF_UPROM
– PF_SYSTEM_SERVICES
– PF_CCC
– PF CLK OSZTÁLY
– PF_CRYPTO
– PF_DRI
– PF_INIT_MONITOR
– PF_NGMUX
– PF_OSC
– RAM-ok (TPSRAM, DPSRAM, URAM)
– PF_SRAM_AHBL_AXI
– PF_XCVR_ERM
– PF_XCVR_REF_CLK
– PF_TX_PLL
– PF_PCIE
– PF_IO
– PF_IOD_CDR
– PF_IOD_CDR_CCC
– PF_IOD_GENERIC_RX
– PF_IOD_GENERIC_TX
– PF_IOD_GENERIC_TX_CCC
– PF_RGMII_TO_GMII
– PF_IOD_OCTAL_DDR
– PF_DDR3
– PF_DDR4
– PF_LPDDR3
– PF_QDR
– PF_CORESMARTBERT
– PF_TAMPER
– PF_TVS, és így tovább.
Az előzőekben felsorolt SgCore-okon kívül számos DirectCore soft IP érhető el a PolarFire és PolarFire SoC eszközcsaládokhoz a Libero SoC katalógusban, amelyek FPGA fabric erőforrásokat használnak.
A tervbejegyzéshez, ha az előző komponensek bármelyikét használja, akkor a tervbejegyzés egy részéhez (komponens konfiguráció) Libero SoC-t kell használnia, de a tervbejegyzés többi részét (HDL bejegyzés stb.) a Libero-n kívül is folytathatja. Az FPGA tervezési folyamatának a Libero-n kívüli kezeléséhez kövesse az útmutató további részében leírt lépéseket.
1.1 Komponens életciklusa (Tegyen fel egy kérdést)
A következő lépések leírják egy SoC-komponens életciklusát, és útmutatást adnak az adatok kezeléséhez.
- Generálja a komponenst a Libero SoC konfigurátorával. Ez a következő típusú adatokat generálja:
– HDL files
– Memória files
– Stimulus és szimuláció files
– Komponens SDC file - HDL esetén files, példányosítsa és integrálja őket a HDL tervezés többi részébe a külső tervezési beviteli eszköz/folyamat segítségével.
- Tápellátási memória files és inger files a szimulációs eszközödhöz.
- Ellátási komponens SDC file a Derive Constraint eszközhöz a kényszerek generálásához. További részletekért lásd a C. függelék – Korlátozások levezetése című részt.
- Létre kell hoznod egy második Libero projektet, ahová importálod a szintézis utáni hálózati listát és az összetevők metaadatait, így lezárva a kapcsolatot a létrehozott és a programozott között.
1.2 Libero SoC projekt létrehozása (Tegyen fel egy kérdést)
Néhány tervezési lépést a Libero SoC környezetben kell végrehajtani (1-1. táblázat). A lépések futtatásához két Libero SoC projektet kell létrehoznia. Az első projekt a tervezési komponensek konfigurálására és generálására szolgál, a második projekt pedig a legfelső szintű tervezés fizikai megvalósítására szolgál.
1.3 Egyéni folyamat (Tegyen fel egy kérdést)
A következő ábra mutatja:
- A Libero SoC integrálható a nagyobb FPGA tervezési folyamat részévé harmadik féltől származó szintézis- és szimulációs eszközökkel a Libero SoC környezetén kívül.
- A folyamat különböző lépései a terv létrehozásától és a varrástól kezdve egészen az eszköz programozásáig.
- Az adatcsere (bemenetek és kimenetek), amelynek minden tervezési lépésben meg kell történnie.
Tipp:
- SNVM.cfg, UPROM.cfg
- *.mem file generálás szimulációhoz: a pa4rtupromgen.exe bemenetként az UPROM.cfg fájlt használja, és létrehozza az UPROM.mem fájlt.
A következő lépések láthatók az egyéni folyamatban:
- Komponens konfiguráció és generálás:
a. Hozzon létre egy első Libero projektet (hogy referenciaprojektként szolgáljon).
b. Válassza ki a katalógusból a magot. Kattintson duplán a magra, hogy nevet adjon neki, és konfigurálja a komponenst.
Ez automatikusan exportálja az alkatrészadatokat és files. A rendszer egy komponens manifesztációt is generál. Részletekért lásd az Összetevők jegyzékeit. További részletekért lásd: Összetevők konfigurációja. - Fejezd be az RTL dizájnt a Liberón kívül:
a. Hozz létre egy példányt a HDL komponensből files.
b. A HDL helye files szerepel az Összetevők jegyzékében files. - SDC-kényszerítések létrehozása az összetevőkhöz. Az időzítési kényszer létrehozásához használja a Derive Constraints segédprogramot. file(SDC) a következők alapján:
a. HDL komponens files
b. Komponens SDC files
c. Felhasználói HDL files
További részletekért lásd a C. függelék – Származtatási korlátozások című részt. - Szintézis eszköz/szimulációs eszköz:
a. HDL-szint meghatározása files, inger files, és az összetevők adatait az adott helyekről, ahogyan az az Összetevők Manifestekben szerepel.
b. Szintetizálja és szimulálja a tervezést harmadik féltől származó eszközökkel a Libero SoC-n kívül. - Hozd létre a második (Megvalósítási) Libero projektedet.
- Távolítsa el a szintézist a tervezési folyamat eszközláncából (Projekt > Projektbeállítások > Tervezési folyamat > törölje a Szintézis engedélyezése jelölőnégyzet jelölését).
- Tervezési forrás importálása files (szintézis utáni *.vm netlist a szintézis eszközből):
– Szintézis utáni *.vm netlist importálása (File>Importálás> Szintetizált Verilog Netlist (VM)).
– Komponens metaadatai *.cfg files uPROM és/vagy sNVM esetén. - Importáljon bármilyen Libero SoC blokk komponenst files. A blokk files-nek a *.cxz-ben kell lennie file formátum.
A blokkok létrehozásával kapcsolatos további információkért lásd: PolarFire Block Flow felhasználói útmutató. - Importálja a tervezési megszorításokat:
– Import I/O korlátozás files (Korlátozáskezelő > I/OAttribútumok > Importálás).
– Alaprajz importálása *.pdc formátumban files (Korlátozáskezelő > Floor Planner > Import).
– *.sdc időzítési megkötés importálása files (Korlátozáskezelő > Időzítés > Importálás). Importálja az SDC-t file a Derive Constraint eszközzel generált.
– *.ndc megszorítás importálása files (Constraints Manager > NetlistAttributes > Import), ha van ilyen. - Kényszer file és eszköztársítás
– A Korlátozáskezelőben társítsa a *.pdc fájlt files az elhelyezéshez és az útvonalhoz, a *.sdc files a hely- és útvonal- és időzítés ellenőrzésére, valamint a *.ndc files a Netlist összeállításához. - Teljes körű tervezés és kivitelezés
– Elhelyezés és útvonaltervezés, időzítés és teljesítmény ellenőrzése, tervezési inicializálási adatok és memóriák konfigurálása, valamint programozás file generáció. - A terv validálása
– Validálja a tervet FPGA-n, és szükség szerint hibakeresse a Libero SoC tervezőcsomagjához biztosított tervezőeszközökkel.
Komponens konfiguráció (Tegyen fel egy kérdést)
Az egyéni folyamat első lépése a komponensek konfigurálása egy Libero referenciaprojekt (az 1-1. táblázatban első Libero projektnek is nevezik) használatával. A következő lépésekben ebből a referenciaprojektből származó adatokat fogsz használni.
Ha a korábban felsorolt összetevőket használja, az Overview tervezésénél hajtsa végre az ebben a részben leírt lépéseket.
Ha nem használja a fenti komponensek egyikét sem, megírhatja RTL-jét a Libero-n kívül, és közvetlenül importálhatja azt a szintézis és szimulációs eszközeibe. Ezután továbbléphet a szintézis utáni szakaszra, és csak a szintézis utáni *.vm hálózati listát importálhatja a végső Libero implementációs projektbe (második Libero projektnek is nevezik az 1-1. táblázatban).
2.1 Komponens konfigurálása Libero használatával (Tegyen fel egy kérdést)
Miután az előző listából kiválasztotta a használni kívánt összetevőket, hajtsa végre a következő lépéseket:
- Hozz létre egy új Libero projektet (alapkonfiguráció és -generálás): Válaszd ki az eszközt és a családot, amelyre a végső tervet tervezed.
- Használjon egyet vagy többet a Custom Flow-ban említett magok közül.
a. Hozzon létre egy SmartDesign-ot, és konfigurálja a kívánt magot, és példányosítsa azt a SmartDesign komponensben.
b. Előléptesse az összes gombostűt a legfelső szintre.
c. A SmartDesign létrehozása.
d. Kattintson duplán a Szimuláció eszközre (bármely Pre-Synthesis vagy Post-Synthesis vagy Post-Layout opció) a szimulátor meghívásához. Meghívása után kiléphet a szimulátorból. Ez a lépés generálja a szimulációt fileszükséges a projektjéhez.
Tipp: Te Ezt a lépést el kell végezned, ha a tervedet a Liberón kívül szeretnéd szimulálni.
További információkért lásd: A tervezés szimulálása.
e. Mentse el a projektet – ez a referenciaprojektje.
2.2 Komponensmanifesztek (Tegyen fel egy kérdést)
Amikor létrehozza az összetevőket, egy halmazt files minden komponensre generálódik. Az Összetevők jegyzéke részletezi a készletet files előállítása és felhasználása minden következő lépésben (szintézis, szimuláció, firmware generálás stb.). Ez a jelentés megadja az összes generált hely helyét fileszükséges az egyéni folyamat folytatásához. Az összetevők jegyzékét a Jelentések területen érheti el: Kattintson a Tervezés > Jelentések elemre a Jelentések lap megnyitásához. A Jelentések lapon a manifest.txt fájlkészlet látható files (Végeview), egyet minden generált összetevőhöz.
Tipp: A komponens manifesztjének megtekintéséhez egy komponenst vagy modult „root”-ként kell beállítania. file tartalmat a Jelentések lapon.
Alternatív megoldásként elérheti az egyéni jegyzékjelentést files minden egyes generált alapkomponenshez vagy a SmartDesign összetevőhöz /component/work/ / / _manifest.txt vagy /component/work/ / _manifest.txt. Hozzáférhet a jegyzékhez is file az egyes összetevők tartalma a Libero új Komponensek lapjáról generált, ahol a file a helyszínek a projektkönyvtárral kapcsolatban vannak megemlítve.Összpontosítson a következő komponensjegyzék-jelentésekre:
- Ha egy SmartDesign-ba példányosítottál magokat, olvasd el a következőt: file _manifest.txt.
- Ha magokhoz készített komponenseket, olvassa el a _manifest.txt.
Használnia kell az összes, a tervére vonatkozó Összetevők jegyzékei jelentést. Plampha a projektben van egy SmartDesign egy vagy több alapkomponenssel, és ezeket mind felhasználni kívánja a végső tervben, akkor ki kell választania files szerepelnek az Összetevők jegyzékei jelentésekben az összes olyan összetevőről, amelyek a tervezési folyamatban használhatók.
2.3 A kiáltvány értelmezése Files (Tegyen fel egy kérdést)
Amikor megnyit egy összetevő jegyzéket file, utakat lát files a Libero projektben, és mutatják, hogy a tervezési folyamatban hol kell használni őket. A következő típusokat láthatja files egy manifesztben file:
- HDL forrás files minden szintézis és szimulációs eszközhöz
- Inger files minden szimulációs eszközhöz
- Kényszer files
Az alábbiakban egy PolarFire magkomponens komponensjegyzéke látható.Minden típusú file szükséges a tervezési folyamatban. A következő szakaszok a files a manifesztből a tervezési folyamatba.
Korlátozás generálása (Tegyen fel egy kérdést)
A konfiguráció és a generálás végrehajtásakor ügyeljen az SDC/PDC/NDC kényszer írására/generálására files, hogy a terv átadja azokat a Szintézis, Hely- és útvonal- és Időzítés ellenőrzése eszközöknek.
Használja a Libero környezeten kívül a Derive Constraints segédprogramot a kényszerek generálásához ahelyett, hogy manuálisan írná őket. A Derive Constraint segédprogram Libero környezeten kívüli használatához a következőket kell tennie:
- Felhasználói HDL, komponens HDL és komponens SDC korlátozás megadása files
- Adja meg a legfelső szintű modult
- Adja meg a származtatott kényszer létrehozásának helyét files
Az SDC komponens megszorításai a következő helyen érhetők el /component/work/ / / könyvtár a komponens konfigurációja és generálása után.
A tervhez szükséges kényszerek létrehozásával kapcsolatos további részletekért lásd a C. függelék – Korlátozások levezetése című részt.
A terv szintetizálása (Tegyen fel egy kérdést)
A Custom Flow egyik fő funkciója, hogy lehetővé teszi harmadik féltől származó szintézis használatát.
eszköz a Liberón kívül. Az egyéni folyamat támogatja a Synopsys SynplifyPro használatát. A szintézishez
projekthez kövesse az alábbi eljárást:
- Hozz létre egy új projektet a Synthesis eszközödben, amely ugyanarra az eszközcsaládra, chipre és tokozásra összpontosít, mint a létrehozott Libero projekt.
a. Importálja saját jobbról balra mutató nyílvesszőjét files mint általában.
b. Állítsa a Synthesis kimenetet Structural Verilog (.vm) értékre.
Tipp: Szerkezeti A PolarFire egyetlen támogatott szintézis kimeneti formátuma a Verilog (.vm). - HDL-komponens importálása filebeillesztendő a Synthesis projektbe:
a. Minden egyes komponenshez tartozó jegyzékfájl-jelentés: Minden egyeshez file HDL forrás alatt files az összes szintézis és szimulációs eszközhöz importálja a file szintézis projektjébe. - Importálja a file polarfire_syn_comps.v (ha Synopsys Synplify-t használ) innen:
Telepítési hely>/data/aPA5M a Synthesis projektedhez. - Importálja a korábban létrehozott SDC-t file a Származtatott kényszer eszközön keresztül (lásd a Függeléket
MINTample SDC Constraints) a Szintézis eszközbe. Ez a megszorítás file korlátozza a szintézis eszközt, hogy az időzített lezárást kevesebb erőfeszítéssel és kevesebb tervezési iterációval érje el.
Fontos:
- Ha ugyanazt a *.sdc fájlt tervezi használni file A Place-and-Route korlátozásához a tervezési és megvalósítási fázisban importálnia kell ezt a *.sdc fájlt a szintézis projektbe. Ez biztosítja, hogy ne legyenek eltérések a tervezési objektumnevekben a szintetizált hálózati listában és a Place-and-Route korlátozásokban a tervezési folyamat megvalósítási fázisában. Ha nem veszi fel ezt a *.sdc fájlt file A szintézis lépésben a szintézisből generált hálózati lista a tervezési objektumnevek eltérése miatt sikertelenül teljesítheti a Hely és útvonal lépést.
a. Importálja a *.ndc Netlist Attributes fájlokat a Synthesis eszközbe, ha vannak ilyenek.
b. Futtassa a Szintézist. - A szintézis eszköz kimenetének helye a *.vm netlist fájlban található. file generált szintézis után. A tervezési folyamat folytatásához importálnia kell a netlistát a Libero Implementation Project-be.
A terv szimulációja (Tegyen fel egy kérdést)
A Libero-n kívüli tervezés szimulálásához (azaz saját szimulációs környezet és szimulátor használatával) hajtsa végre a következő lépéseket:
- Tervezés Files:
a. Szintézis előtti szimuláció:
• Importálja az RTL-t a szimulációs projektjébe.
• Minden egyes komponensmanifesztum-jelentéshez.
– Importálja az egyes file HDL forrás alatt files az összes szintézis és szimulációs eszköz számára a szimulációs projektben.
• Gyűjtsd össze ezeket files a szimulátor utasításai szerint.
b. Szintézis utáni szimuláció:
• Importálja a szintézis utáni *.vm hálózati listát (amelyet a Terv szintézise során generált) a szimulációs projektbe, és fordítsa le.
c. Elrendezés utáni szimuláció:
• Először fejezd be a terv megvalósítását (lásd: A terv megvalósítása). Győződj meg róla, hogy a végső Libero projekted a tervrajz utáni állapotban van.
• Kattintson duplán a Visszajegyzett létrehozása gombra Files a Libero Design Flow ablakban. Kettőt generál files:
/tervező/ / _ba.v/vhd /tervező/
/ _ba.sdf
• Importálja mindkettőt files a szimulációs eszközödbe. - Stimulus és konfiguráció files:
a. Minden komponens manifesztációs jelentéshez:
• Összes másolása files az inger alatt Files a Szimulációs eszközök összes szakaszához a szimulációs projekt gyökérkönyvtárába.
b. Győződjön meg arról, hogy minden Tcl fileAz előző listákban (a 2.a lépésben) szereplő s végrehajtásra kerül először, a szimuláció megkezdése előtt.
c. UPROM.mem: Ha az UPROM magot használja a tervezésben, és egy vagy több szimulálni kívánt adattároló kliensnél engedélyezve van a Tartalom használata szimulációhoz opcióval, akkor a futtatható pa4rtupromgen (a pa4rtupromgen.exe Windows rendszeren) fájlt kell használnia az UPROM.mem létrehozásához. file. A pa4rtupromgen végrehajtható fájl az UPROM.cfg fájlt veszi fel file bemenetként egy Tcl szkripten keresztül file és kiadja az UPROM.mem file szimulációkhoz szükséges. Ez az UPROM.mem file a szimuláció futtatása előtt be kell másolni a szimulációs mappába. Egy exampA pa4rtupromgen futtatható fájl használatát bemutató le a következő lépésekben érhető el. Az UPROM.cfg file elérhető a címtárban /component/work/ / abban a Libero projektben, amelyet az UPROM összetevő létrehozásához használt.
d. snvm.mem: Ha a rendszerszolgáltatások magját használja a tervezésben, és az sNVM lapot a magban úgy konfigurálta, hogy egy vagy több szimulálni kívánt kliensnél engedélyezve van a Tartalom használata szimulációhoz beállítás, akkor az snvm.mem file automatikusan generálódik
a címtár /alkatrész/munka/ / a Libero projektben, amelyet a System Services komponens létrehozásához használtál. Ez az snvm.mem fájl file a szimuláció futtatása előtt be kell másolni a szimulációs mappába. - Hozz létre egy munkamappát és egy szimuláció nevű almappát a munkamappa alatt.
A pa4rtupromgen végrehajtható fájl a szimulációs almappa jelenlétét várja a munkamappában, a *.tcl szkript pedig a szimulációs almappába kerül. - Másolja az UPROM.cfg fájlt file az első komponensgenerálásra létrehozott Libero projektből a munkamappába.
- Illeszd be a következő parancsokat egy *.tcl szkriptbe, és helyezd el a 3. lépésben létrehozott szimulációs mappába.
Sample *.tcl PolarFire és PolarFire Soc Family eszközökhöz az URPOM.mem generálásához file
az UPROM.cfg-ből
set_device -fam -meghal -csomag
set_input_cfg -path
set_sim_mem -pathFile/UPROM.mem>
gen_sim -use_init hamis
A szerszám és a csomag megfelelő belső nevéhez lásd a *.prjx fájlt file az első Libero projekté (amelyet komponensgeneráláshoz használnak).
A use_init argumentumot hamis értékre kell állítani.
A set_sim_mem paranccsal adja meg a kimenet elérési útját file UPROM.mem, ami az
a szkript végrehajtásakor generálódik file a pa4rtupromgen futtatható fájllal. - A parancssorban vagy a cygwin terminálban lépjen a 3. lépésben létrehozott munkakönyvtárba.
Hajtsd végre a pa4rtupromgen parancsot a –script kapcsolóval, és add át neki az előző lépésben létrehozott *.tcl szkriptet.
Windowshoz
/designer/bin/pa4rtupromgen.exe \
–script./szimuláció/ .tcl fájl
Linux esetén:
/bin/pa4rtupromgen
–script./szimuláció/ .tcl fájl - A pa4rtupromgen futtatható fájl sikeres végrehajtása után ellenőrizze, hogy az UPROM.mem fájl file a *.tcl parancsfájl set_sim_mem parancsában megadott helyen jön létre.
- Az sNVM szimulálásához másolja az snvm.mem fájlt file az első Libero projektedből (összetevők konfigurálásához) a szimulációs projekt legfelső szintű szimulációs mappájába a szimuláció futtatásához (a Libero SoC-n kívül). Az UPROM tartalmának szimulálásához másolja a generált UPROM.mem fájlt file a szimulációs projekt legfelső szintű szimulációs mappájába a szimuláció futtatásához (a Libero SoC-n kívül).
Fontos: A A SoC komponensek működésének szimulálásához töltse le az előre lefordított PolarFire szimulációs könyvtárakat, és importálja azokat a szimulációs környezetébe az itt leírtak szerint. További részletekért lásd a B. függelék – Szimulációs könyvtárak importálása szimulációs környezetbe című részt.
A terv megvalósítása (Tegyen fel egy kérdést)
Miután befejezte a szintézis és a szintézis utáni szimulációt a környezetében, újra kell használnia a Liberót a terv fizikai megvalósításához, a futásidőzítés és a teljesítményelemzés végrehajtásához, valamint a programozás létrehozásához. file.
- Hozz létre egy új Libero projektet a terv fizikai megvalósításához és elrendezéséhez. Ügyelj arra, hogy ugyanazt az eszközt célozd meg, mint a Komponens konfigurációja során létrehozott referenciaprojektben.
- A projekt létrehozása után távolítsa el a Synthesis eszköztárat a Design Flow ablakban található eszköztárból (Projekt > Projektbeállítások > Design Flow > Szintézis engedélyezése jelölőnégyzet törlése).
- Importálja a szintézis utáni *.vm fájlt file ebbe a projektbe, (File > Import > Synthesized Verilog Netlist (VM)).
Tipp: Javasoljuk, hogy hozzon létre egy linket ehhez a file, így ha újraszintetizálja a tervet, a Libero mindig a legújabb szintézis utáni netlistát használja.
a. A Tervezési hierarchia ablakban jegyezze fel a gyökérmodul nevét. - Importálja a megszorításokat a Libero projektbe. Használja a Megszorításkezelőt a *.pdc/*.sdc/*.ndc megszorítások importálásához.
a. I/O importálása *.pdc megkötés files (Korlátozáskezelő > I/O attribútumok >Importálás).
b. Import Floorplanning *.pdc megkötés files (Korlátozáskezelő > Alaprajz > Importálás).
c. *.sdc időzítési korlátozás importálása files (Korlátozáskezelő > Időzítés > Importálás). Ha a tervben megtalálható az Over-ben felsorolt magok bármelyikeview, gondoskodjon az SDC importálásáról file származtatott kényszerítő eszközzel generált.
d. Import *.ndc megkötés files (Korlátozáskezelő > Hálózati lista attribútumai > Importálás). - Társult korlátozások Files eszközök tervezésére.
a. Nyissa meg a Korlátozáskezelőt (Korlátozások kezelése > Korlátozások kezelésének megnyitása) View).
Jelölje be a Helyszín és útvonal, valamint időzítés ellenőrzése jelölőnégyzetet a korlátozás mellett. file kényszer megállapítására file és szerszámtársítás. Társítsa a *.pdc megszorítást a Place-andRoute-hoz, a *.sdc-t pedig a Place-and-Route és az időzítés ellenőrzéséhez. Társítsa a *.ndc-t file Netlist összeállításához.
Tipp: Ha A Place and Route hiba esetén ezzel a *.sdc megszorítással nem működik a program. file, majd importálja ugyanezt a *.sdc-t file szintézishez és a szintézis újraindításához.
- Kattintson a Netlist összeállítása, majd a Helyezés és útvonaltervezés gombra az elrendezési lépés befejezéséhez.
- A „Tervezési inicializálási adatok és memóriák konfigurálása” eszköz lehetővé teszi a tervezési blokkok, például az LSRAM, µSRAM, XCVR (adó-vevők) és PCIe inicializálását a nem felejtő µPROM, sNVM vagy külső SPI flash tárolómemóriában tárolt adatok felhasználásával. Az eszköz a következő lapokkal rendelkezik a tervezési inicializálási sorrend, az inicializáló kliensek és a felhasználói adatkliensek specifikációjának meghatározásához.
– Tervezés inicializálása fül
– µPROM fül
– sNVM fül
– SPI Flash fül
– Fabric RAM-ok fül
Használja az eszköz füleit a tervezés inicializálási adatainak és memóriáinak konfigurálásához.A konfiguráció befejezése után a programozáshoz hajtsa végre a következő lépéseket:
• Inicializáló kliensek generálása
• Bitfolyam generálása vagy exportálása
• Programozza be a készüléket
Az eszköz használatával kapcsolatos részletes információkért lásd a Libero SoC Design Flow felhasználói kézikönyvet. További információ az eszköz különböző lapjainak konfigurálásához és a memóriakonfiguráció meghatározásához használt Tcl-parancsokról files (*.cfg), lásd Tcl parancsok referencia útmutatója. - Programozás létrehozása File ebből a projektből, és használja az FPGA programozására.
A–S függelékampaz SDC-korlátozások (Tegyen fel egy kérdést
A Libero SoC SDC időzítési megszorításokat generál bizonyos IP-magokhoz, például CCC, OSC, Transceiver és így tovább. Az SDC-korlátozások tervezési eszközökre való átadása növeli annak esélyét, hogy kevesebb erőfeszítéssel és kevesebb tervezési iterációval teljesítsék az időzített lezárást. A legfelső szintű példány teljes hierarchikus elérési útja a megszorításokban hivatkozott összes tervezési objektumhoz meg van adva.
7.1 SDC időzítési korlátok (Tegyen fel egy kérdést)
A Libero IP alapvető referencia projektben ez a legfelső szintű SDC-korlátozás file elérhető a Kényszerkezelőből (Design Flow > Open Manage Constraint View >Timing > Derive Constraints).
Fontos: Lásd ez file az SDC-kényszerítések beállításához, ha a terve CCC-t, OSC-t, adó-vevőt és egyéb komponenseket tartalmaz. Szükség esetén módosítsa a teljes hierarchikus elérési utat, hogy az illeszkedjen a tervhierarchiához, vagy használja a Derive_Constraints segédprogramot és a C. függelékben – Kényszerítések levezetése a komponens szintű SDC-n – található lépéseket. file.
Mentse el a file másik névre, és importálja az SDC-t file a szintézis eszközhöz, a Place-and-Route eszközhöz és az időzítés-ellenőrzéshez, mint bármely más SDC-megkötéshez files.
7.1.1 Származtatott SDC File (Tegyen fel egy kérdést)
# Ezt file a következő SDC-forrás alapján jött létre files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** Bármilyen módosítás ezen file elveszik, ha a származtatott megszorításokat újra lefuttatjuk. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -periódus 6.25
[ get_pins { ÓRAJELEK_ÉS_VISSZAÁLLÍTÁSOK_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/ }]
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -period 10 [ get_ports {REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/}}
DIV_CLK} -periódus 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/ } }
OUT0} -szorzás_25-tel -osztás_32-vel -forrás
[ get_pins { ÓRA_ÉS_VISSZAÁLLÍTÁSOK_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -fázis 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/ } }
OUT1} -szorzás_25-tel -osztás_32-vel -forrás
[ get_pins { ÓRA_ÉS_VISSZAÁLLÍTÁSOK_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -fázis 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/ } }
OUT2} -szorzás_25-tel -osztás_32-vel -forrás
[ get_pins { ÓRA_ÉS_VISSZAÁLLÍTÁSOK_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -fázis 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/ } }
OUT3} -szorzás_25-tel -osztás_64-vel -forrás
[ get_pins { ÓRA_ÉS_VISSZAÁLLÍTÁSOK_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -fázis 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/ }
Y_DIV} -osztás_2-vel -forrás
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/ ] } }
genblk1*/rdGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/ } } -to [ get_cells { DMA_INITIATOR_inst_XNUMX/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblkXNUMX*/ } }
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/ } } } } }
genblk1*/wrGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/ } } -to [ get_cells { DMA_INITIATOR_inst_XNUMX/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblkXNUMX*/ } }
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/ } ] `set_false_path -to `get_pins { PCIE/PF_PCIE_CXNUMX_XNUMX/ `PCIE/PF_PCIE_CXNUMX_XNUMX/``)`
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ]
B. függelék – Szimulációs könyvtárak importálása szimulációs környezetbe (Tegyen fel egy kérdést)
A Libero SoC-kal történő RTL szimuláció alapértelmezett szimulátora a ModelSim ME Pro.
Az alapértelmezett szimulátor előre lefordított könyvtárai elérhetők a Libero telepítésével a következő könyvtárban: /Designer/lib/modelsimpro/precompiled/vlog a támogatott® termékcsaládokhoz. A Libero SoC más, harmadik féltől származó szimulátorok kiadásait is támogatja: ModelSim, Questasim, VCS és Xcelium.
, Active HDL és Riviera Pro. Töltse le a megfelelő előre lefordított könyvtárakat innen: Libero SoC v12.0 és újabb a szimulátor és annak verziója alapján.
A Libero környezethez hasonlóan a run.do file létre kell hozni a szimuláció Libero kívüli futtatásához.
Hozzon létre egy egyszerű run.do-t file amely parancsokkal rendelkezik könyvtár létrehozására a fordítási eredményekhez, a könyvtárleképezéshez, a fordításhoz és a szimulációhoz. Kövesse a lépéseket az alap run.do létrehozásához file.
- Hozz létre egy logikai könyvtárat a fordítási eredmények tárolásához a vlib presynth vlib paranccsal.
- A logikai függvénytár nevét képezze le az előre lefordított függvénytár könyvtárára a vmap parancs használatával: vmap .
- Forrás fordítása files – nyelvspecifikus fordítási parancsokat használ a terv lefordításához files a munkakönyvtárba.
– vlog .v/.sv kiterjesztésű fájlokhoz
– vcom .vhd fájlokhoz - Töltsd be a szimulációhoz szükséges tervet a vsim paranccsal, megadva bármely legfelső szintű modul nevét.
- Szimuláld a tervet a run paranccsal.
A terv betöltése után a szimulációs idő nullára lesz állítva, és megadhatja a futtatás parancsot a szimuláció elindításához.
A szimulátor átirata ablakában futtassa a run.do parancsot file mint futtatni.futtatni a szimulációt. Sample run.do file alábbiak szerint.
csendesen állítsa be az ACTELLIBNAME-et PolarFire csendesen állítsa be a PROJECT_DIR „W:/Test/basic_test” értéket, ha
{[file létezik presynth/_info]} { echo “INFO: A presynth szimulációs könyvtár létezik” } else
{ file delete -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
„X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
„${PROJECT_DIR}/hdl/top.v” vlog „+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth „$
„{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb hullám hozzáadása /tb/*”
1000ns napló /tb/* kilépés futtatása
C. függelék – Levezetési korlátozások (Tegyen fel egy kérdést)
Ez a függelék a Derive Constraints Tcl parancsokat írja le.
9.1 Származtatási korlátozások Tcl parancsok (Tegyen fel egy kérdést)
A derive_constraints segédprogram segít a kényszerek származtatásában az RTL-ből vagy a Libero SoC tervezési környezeten kívüli konfigurátorból. Ahhoz, hogy megszorításokat generáljon a tervezéshez, szüksége van a felhasználói HDL-re, a komponens HDL-re és a komponens megszorításokra. files. Az SDC komponens megszorításai filealatt érhetők el /component/work/ / / könyvtár a komponens konfigurációja és generálása után.
Minden komponens kényszer file a set_component tcl parancsból (meghatározza az összetevő nevét) és a konfigurálás után generált kényszerek listájából áll. A megszorítások a konfiguráció alapján jönnek létre, és az egyes összetevőkre jellemzőek.
Examp9-1. rész. Komponenskorlátozás File a PF_CCC maghoz
Itt van egy example egy komponens kényszer file a PF_CCC maghoz:
set_component PF_CCC_C0_PF_CCC_C0_0_PF_CCC
#Microchip Vállalat
# Dátum: 2021-okt.-26 04:36:00
# Alap órajel PLL-hez #0
create_clock -period 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -divide_by 1 -source [ get_pins { pll_inst_0/ ] } }
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] Itt a create_clock és a create_generated_clock a referencia- és kimeneti órajel-korlátozások, amelyek a konfiguráció alapján generálódnak.
9.1.1 A derive_constraints segédprogram használata (Tegyen fel egy kérdést)
A kényszerek származtatása végighalad a tervezésen, és új megszorításokat rendel az összetevő minden egyes példányához a korábban megadott komponens SDC alapján files. A CCC referenciaórák esetében visszafelé terjed a tervezésen keresztül, hogy megtalálja a referenciaóra forrását. Ha a forrás egy I/O, a referencia órajel megkötése az I/O-n lesz beállítva. Ha ez egy CCC kimenet vagy más órajelforrás (plample, Adó-vevő, oszcillátor), a másik komponens órajelét használja, és figyelmeztetést ad, ha az intervallumok nem egyeznek. A származékos megszorítások bizonyos makrókhoz, például a chipen belüli oszcillátorokhoz is megszorításokat rendelnek, ha az RTL-ben vannak.
A derive_constraints segédprogram végrehajtásához meg kell adnia egy .tcl fájlt file parancssori argumentum a következő információkkal a megadott sorrendben.
- Adja meg az eszköz adatait a set_device szakaszban található információk használatával.
- Adja meg az RTL elérési útját files a read_verilog vagy read_vhdl szakaszban található információk felhasználásával.
- Állítsa be a legfelső szintű modult a set_top_level szakaszban található információk alapján.
- Adja meg az SDC komponens elérési útját files használja a read_sdc vagy read_ndc szakaszban található információkat.
- Hajtsa végre a files a derive_constraints szakasz információinak felhasználásával.
- Adja meg az SDC-ből származó korlátozások elérési útját file használja a write_sdc vagy write_pdc vagy write_ndc szakaszban található információkat.
Examp9-2. oldal. A derive.tcl végrehajtása és tartalma File
A következő egy example parancssori argumentum a derive_constraints segédprogram végrehajtásához.
$ /bin{64}/derive_constraints derive.tcl
A derive.tcl tartalma file:
# Eszközinformációk
set_device -család PolarFire -die MPF100T -sebesség -1
# Jobbról balra files
read_verilog -mode system_verilog projekt/komponens/munka/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {projekt/komponens/munka/txpll0/txpll0.v}
read_verilog -mode system_verilog {projekt/komponens/munka/xcvr0/I_XCVR/}
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {projekt/komponens/munka/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {projekt/hdl/xcvr1.vhd}
#Komponens SDC files
set_top_level {xcvr1}
read_sdc -component {projekt/komponens/munka/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc} fájlnevet
read_sdc -component {projekt/komponens/munka/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#Használja a derive_constraint parancsot
derive_constraints
#SDC/PDC/NDC eredmény files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 eszköz_beállítása (Tegyen fel egy kérdést)
Leírás
Adja meg a család nevét, a kocka nevét és a sebességfokozatot.
set_device -family -meghal -sebesség
Érvek
Paraméter | Írja be | Leírás |
-család | Húr | Adja meg a családnevet. Lehetséges értékek: PolarFire®, PolarFire SoC. |
-meghal | Húr | Adja meg a szerszám nevét. |
-sebesség | Húr | Adja meg az eszköz sebességfokozatát. A lehetséges értékek STD vagy -1. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Kötelező paraméter – hiányzik a szerszám | A kocka opció megadása kötelező. |
ERR0005 | Ismeretlen 'MPF30' szerszám | A -die opció értéke nem megfelelő. Tekintse meg a lehetséges értékek listáját az opció leírásában. |
ERR0023 | Paraméter – a kocka értéke hiányzik | A kocka opció érték nélkül van megadva. |
ERR0023 | Kötelező paraméter – hiányzik a család | A családi opció kötelező és meg kell adni. |
ERR0004 | Ismeretlen család: 'PolarFire®' | A családi opció nem megfelelő. Tekintse meg a lehetséges értékek listáját az opció leírásában. |
……… folytatás | ||
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Paraméter – a családból hiányzik az érték | A család opció érték nélkül van megadva. |
ERR0023 | Kötelező paraméter – hiányzik a sebesség | A sebesség opció megadása kötelező. |
ERR0007 | Ismeretlen sebesség ' | A sebesség beállítás nem megfelelő. Tekintse meg a lehetséges értékek listáját az opció leírásában. |
ERR0023 | Paraméter – a sebességből hiányzik az érték | A sebesség opció érték nélkül van megadva. |
Example
set_device -family {PolarFire} -die {MPF300T_ES} -sebesség -1
set_device -család SmartFusion 2 -die M2S090T -sebesség -1
9.1.3 read_verilog (Tegyen fel egy kérdést)
Leírás
Olvass egy Verilog-ot file a Verific használatával.
read_verilog [-lib ] [-mód ]filenév>
Érvek
Paraméter | Írja be | Leírás |
-lib | Húr | Adja meg a könyvtárhoz hozzáadandó modulokat tartalmazó könyvtárat. |
-mód | Húr | Adja meg a Verilog szabványt. A lehetséges értékek a következők: verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. Az értékek nem különböznek egymástól. Az alapértelmezett verilog_2k. |
filenév | Húr | Verilog file név. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Paraméter – lib hiányzik az érték | A lib opció érték nélkül van megadva. |
ERR0023 | Paraméter – mód hiányzik az érték | A mód opció érték nélkül van megadva. |
ERR0015 | Ismeretlen mód ' | A megadott verilog mód ismeretlen. A lehetséges verilog módok listáját lásd a —mode opció leírásában. |
ERR0023 | Kötelező paraméter file név hiányzik | Nincs verilog file útvonalat biztosítanak. |
ERR0016 | A Verific elemzője miatt meghiúsult | Szintaktikai hiba a verilogban fileA Verific értelmezője a hibaüzenet feletti konzolon figyelhető meg. |
ERR0012 | set_device nem hívják meg | Az eszköz információi nincsenek megadva. Használja a set_device parancsot az eszköz leírásához. |
Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -mode system_verilog_mfcu design.v
9.1.4 read_vhdl (Tegyen fel egy kérdést)
Leírás
Adjon hozzá egy VHDL-t file a VHDL listájára files.
read_vhdl [-lib ] [-mód ]filenév>
Érvek
Paraméter | Írja be | Leírás |
-lib | — | Adja meg azt a könyvtárat, amelybe a tartalmat hozzá kell adni. |
-mód | — | Meghatározza a VHDL szabványt. Az alapértelmezés a VHDL_93. A lehetséges értékek a következők: vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. Az értékek nem különböznek egymástól. |
filenév | — | VHDL file név. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Paraméter – lib hiányzik az érték | A lib opció érték nélkül van megadva. |
ERR0023 | Paraméter – mód hiányzik az érték | A mód opció érték nélkül van megadva. |
ERR0018 | Ismeretlen mód ' | A megadott VHDL mód ismeretlen. A lehetséges VHDL módok listáját lásd a —mód opció leírásában. |
ERR0023 | Kötelező paraméter file név hiányzik | Nincs VHDL file útvonalat biztosítanak. |
ERR0019 | Nem sikerült regisztrálni az érvénytelen_útvonal.v file | A megadott VHDL file nem létezik, vagy nincs olvasási jogosultsága. |
ERR0012 | set_device nem hívják meg | Az eszköz információi nincsenek megadva. Használja a set_device parancsot az eszköz leírásához. |
Example
read_vhdl -mode vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 set_top_level (Tegyen fel egy kérdést)
Leírás
Adja meg a legfelső szintű modul nevét az RTL-ben.
set_top_level [-lib ]
Érvek
Paraméter | Írja be | Leírás |
-lib | Húr | A legfelső szintű modult vagy entitást kereső könyvtár (opcionális). |
név | Húr | A legfelső szintű modul vagy entitás neve. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Hiányzik a legfelső szintű kötelező paraméter | A legfelső szintű opció megadása kötelező. |
ERR0023 | Paraméter – lib hiányzik az érték | A lib opció értékek nélkül van megadva. |
ERR0014 | Nem található a legfelső szint könyvtárban | A megadott legfelső szintű modul nincs definiálva a megadott könyvtárban. A hiba kijavításához javítani kell a legfelső szintű modul vagy könyvtár nevét. |
ERR0017 | A kidolgozás nem sikerült | Hiba az RTL feldolgozási folyamatában. A hibaüzenet a konzolon tekinthető meg. |
Example
set_top_level {top}
set_top_level -lib hdl top
9.1.6 read_sdc (Kérdés feltevése)
Leírás
Olvass egy SDC-t file az összetevő adatbázisba.
read_sdc -komponensfilenév>
Érvek
Paraméter | Írja be | Leírás |
-összetevő | — | Ez egy kötelező jelző a read_sdc parancshoz, amikor megszorításokat származtatunk. |
filenév | Húr | Útvonal az SDC-hez file. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Kötelező paraméter file név hiányzik. | A kötelező opció file név nincs megadva. |
ERR0000 | SDC file <file_path> nem olvasható. | A megadott SDC file nincs olvasási jogosultsága. |
ERR0001 | Nem sikerült megnyitnifile_path> file. | Az SDC file nem létezik. Az elérési utat javítani kell. |
ERR0008 | Hiányzó set_component parancs a következőben:file_path> file | Az SDC meghatározott összetevője file nem határozza meg az összetevőt. |
Hibakód | Hibaüzenet | Leírás |
ERR0009 | <List of errors from sdc file> | Az SDC file helytelen sdc parancsokat tartalmaz. Plample,
ha hiba van a set_multicycle_path megszorításban: Hiba a read_sdc parancs végrehajtásakor:file_path> file: Hiba a set_multicycle_path parancsban: Ismeretlen paraméter [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (Kérdés feltevése)
Leírás
Olvass egy NDC-t file az összetevő adatbázisba.
read_ndc -komponensfilenév>
Érvek
Paraméter | Írja be | Leírás |
-összetevő | — | Ez egy kötelező jelző a read_ndc parancshoz, amikor megszorításokat származtatunk. |
filenév | Húr | Út az NDC-hez file. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0001 | Nem sikerült megnyitnifile_path> file | Az NDC file nem létezik. Az elérési utat javítani kell. |
ERR0023 | Kötelező paraméter – Hiányzik az AtclParamO_. | A kötelező opció filenév nincs megadva. |
ERR0023 | Kötelező paraméter – hiányzik az összetevő. | A komponens opció megadása kötelező. |
ERR0000 | NDC file 'file_path>' nem olvasható. | A megadott NDC file nincs olvasási jogosultsága. |
Example
read_ndc -komponens {component/work/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (Kérdés feltevése)
Leírás
Az SDC komponens példányosítása files a tervezési szintű adatbázisba.
derive_constraints
Érvek
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0013 | A legfelső szint nincs definiálva | Ez azt jelenti, hogy a legfelső szintű modul vagy entitás nincs megadva. A hívás javításához adja ki a következőt: A set_top_level parancsot a derive_constraints parancs előtt kell kiadni. |
Example
derive_constraints
9.1.9 write_sdc (Kérdés feltevése)
Leírás
Megkötést ír file SDC formátumban.
write_sdcfilenév>
Érvek
Paraméter | Írja be | Leírás |
<filenév> | Húr | Útvonal az SDC-hez file keletkezik. Ez egy kötelező lehetőség. Ha a file létezik, akkor felül lesz írva. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0003 | Nem sikerült megnyitnifile útvonal> file. | File az út nem megfelelő. Ellenőrizze, hogy léteznek-e a szülőkönyvtárak. |
ERR0002 | SDC file 'file path>' nem írható. | A megadott SDC file nincs írási jogosultsága. |
ERR0023 | Kötelező paraméter file név hiányzik. | Az SDC file Az elérési út kötelező, és meg kell adni. |
Example
write_sdc „derived.sdc”
9.1.10 write_pdc (Kérdés feltevése)
Leírás
Fizikai kényszereket ír (csak a származtatott megszorításokat).
write_pdcfilenév>
Érvek
Paraméter | Írja be | Leírás |
<filenév> | Húr | Útvonal a PDC-hez file keletkezik. Ez egy kötelező lehetőség. Ha a file elérési út létezik, akkor felülírja. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenetek | Leírás |
ERR0003 | Nem sikerült megnyitnifile útvonal> file | A file az út nem megfelelő. Ellenőrizze, hogy léteznek-e a szülőkönyvtárak. |
ERR0002 | PDC file 'file path>' nem írható. | A megadott PDC file nincs írási jogosultsága. |
ERR0023 | Kötelező paraméter file név hiányzik | A PDC file Az elérési út kötelező, és meg kell adni. |
Example
write_pdc „derived.pdc”
9.1.11 write_ndc (Kérdés feltevése)
Leírás
Az NDC-kényszereket a file.
write_ndcfilenév>
Érvek
Paraméter | Írja be | Leírás |
filenév | Húr | Út az NDC-hez file keletkezik. Ez egy kötelező lehetőség. Ha a file létezik, akkor felül lesz írva. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenetek | Leírás |
ERR0003 | Nem sikerült megnyitnifile_path> file. | File az út nem megfelelő. A szülőkönyvtárak nem léteznek. |
ERR0002 | NDC file 'file_path>' nem írható. | A megadott NDC file nincs írási jogosultsága. |
ERR0023 | Hiányzik a kötelező _AtclParamO_ paraméter. | Az NDC file Az elérési út kötelező, és meg kell adni. |
Example
write_ndc „derived.ndc”
9.1.12 add_include_path (Kérdés feltevése)
Leírás
Meghatározza az elérési útvonalat a kereséshez files az RTL olvasásakor files.
add_include_path
Érvek
Paraméter | Írja be | Leírás |
könyvtárat | Húr | Meghatározza az elérési útvonalat a kereséshez files az RTL olvasásakor files. Ez a lehetőség kötelező. |
Visszatérés típusa | Leírás |
0 | A parancs sikerült. |
Visszatérés típusa | Leírás |
1 | A parancs nem sikerült. Hiba történt. Megfigyelheti a hibaüzenetet a konzolon. |
Hibák listája
Hibakód | Hibaüzenet | Leírás |
ERR0023 | Hiányzik a kötelező include path paraméter. | A könyvtár opció megadása kötelező. |
Megjegyzés: Ha Ha a könyvtár elérési útja helytelen, akkor az add_include_path hiba nélkül kerül átadásra.
A read_verilog/read_vhd parancsok azonban a Verific elemzője miatt meghiúsulnak.
Example
add_include_path component/work/COREABC0/COREABC0_0/rtl/vlog/core
Módosítási előzmények (Tegyen fel egy kérdést)
A felülvizsgálati előzmények leírják a dokumentumban végrehajtott változtatásokat. A változtatások átdolgozásonként vannak felsorolva, a legfrissebb kiadványtól kezdve.
Felülvizsgálat | Dátum | Leírás |
F | 08/2024 | Ebben a felülvizsgálatban a következő változások történtek: • Frissítettük a B. függelék – Szimulációs könyvtárak importálása szimulációs környezetbe című szakaszt. |
E | 08/2024 | Ebben a felülvizsgálatban a következő változások történtek: • Frissítettük a „Felül” résztview. • Frissített SDC szakasz File. • Frissítettük a B. függelék – Szimulációs könyvtárak importálása szimulációs környezetbe című szakaszt. |
D | 02/2024 | Ez a dokumentum a Libero 2024.1 SoC Design Suite verziójával együtt került kiadásra, a v2023.2-höz képest változtatások nélkül. Frissített rész: Working with derive_constraints Utility |
C | 08/2023 | Ez a dokumentum a Libero 2023.2 SoC Design Suite verziójával együtt került kiadásra, a v2023.1-höz képest változtatások nélkül. |
B | 04/2023 | Ez a dokumentum a Libero 2023.1 SoC Design Suite verziójával együtt került kiadásra, a v2022.3-höz képest változtatások nélkül. |
A | 12/2022 | Kezdeti felülvizsgálat. |
Microchip FPGA támogatás
A Microchip FPGA termékcsoport termékeit különféle támogatási szolgáltatásokkal támogatja, beleértve az Ügyfélszolgálatot, az Ügyfél műszaki támogatási központját, stb webtelephelye és világszerte működő értékesítési irodái.
Az ügyfeleknek azt javasoljuk, hogy látogassák meg a Microchip online forrásait, mielőtt kapcsolatba lépnének az ügyfélszolgálattal, mivel nagyon valószínű, hogy kérdéseiket már megválaszolták.
Lépjen kapcsolatba a Műszaki Támogatási Központtal a következőn keresztül webwebhely a címen www.microchip.com/support. Említse meg az FPGA-eszköz cikkszámát, válassza ki a megfelelő házkategóriát, és töltse fel a tervet files miközben létrehoz egy műszaki támogatási ügyet.
Lépjen kapcsolatba az Ügyfélszolgálattal a nem műszaki terméktámogatásért, mint például a termékárak, a termékfrissítések, a frissítési információk, a rendelés állapota és az engedélyezés.
- Észak-Amerikából hívja a 800.262.1060 számot
- A világ többi részéről hívja a 650.318.4460 számot
- Fax, a világ bármely pontjáról, 650.318.8044 XNUMX XNUMX
Mikrochip információk
A Mikrochip Webtelek
A Microchip online támogatást nyújt a mi oldalunkon keresztül webwebhely a címen www.microchip.com/. Ez webkészítésére használják az oldalt files és információk könnyen elérhetők az ügyfelek számára. A rendelkezésre álló tartalom egy része a következőket tartalmazza:
- Terméktámogatás – Adatlapok és hibák, alkalmazási megjegyzések és sample programokat, tervezési forrásokat, felhasználói kézikönyveket és hardvertámogató dokumentumokat, legújabb szoftverkiadásokat és archivált szoftvereket
- Általános műszaki támogatás – Gyakran Ismételt Kérdések (GYIK), Technikai támogatási kérések, online vitacsoportok, Microchip tervezési partnerprogram tagjainak listája
- A Microchip üzletága – Termékválasztó és rendelési útmutatók, legújabb Microchip sajtóközlemények, szemináriumok és események listája, Microchip értékesítési irodáinak, forgalmazóinak és gyári képviselőinek listája
Termékváltoztatásértesítő szolgáltatás
A Microchip termékváltoztatási értesítési szolgáltatása segít az ügyfeleknek naprakészen tartani a Microchip termékeit. Az előfizetők e-mailben értesítést kapnak, ha egy adott termékcsaláddal vagy fejlesztőeszközzel kapcsolatban változás, frissítés, átdolgozás vagy hiba történik. A regisztrációhoz menjen a címre www.microchip.com/pcn és kövesse a regisztrációs utasításokat.
Ügyfélszolgálat
A Microchip termékek felhasználói több csatornán keresztül kaphatnak segítséget:
- Forgalmazó vagy képviselő
- Helyi Értékesítési Iroda
- Embedded Solutions Engineer (ESE)
- Műszaki támogatás
Az ügyfeleknek támogatásért fordulniuk kell a forgalmazójukhoz, képviselőjükhöz vagy az ESE-hez. A helyi értékesítési irodák is rendelkezésre állnak, hogy segítsenek az ügyfeleknek. Az értékesítési irodák és helyszínek listája ebben a dokumentumban található. A technikai támogatás a következőn keresztül érhető el webwebhely a következő címen: www.microchip.com/support
Mikrochip eszközök kódvédelmi funkciója
Vegye figyelembe a Microchip termékek kódvédelmi funkciójának alábbi részleteit:
- A Microchip termékek megfelelnek az adott Microchip Adatlapon található előírásoknak.
- A Microchip úgy véli, hogy termékcsaládja biztonságos, ha rendeltetésszerűen, a működési előírásokon belül és normál körülmények között használják.
- A Microchip értékeli és agresszíven védi szellemi tulajdonjogait. A Microchip termék kódvédelmi funkcióinak megsértésére irányuló kísérletek szigorúan tilosak, és sérthetik a Digital Millennium Copyright Act-et.
- Sem a Microchip, sem más félvezetőgyártó nem tudja garantálni kódja biztonságát. A kódvédelem nem jelenti azt, hogy garantáljuk a termék „törhetetlenségét”. A kódvédelem folyamatosan fejlődik. A Microchip elkötelezett amellett, hogy folyamatosan fejlessze termékei kódvédelmi funkcióit.
Jogi közlemény
Ez a kiadvány és az itt található információk csak Microchip termékekkel használhatók, ideértve a Microchip termékek tervezését, tesztelését és integrálását az alkalmazással. Ezen információk bármilyen más módon történő felhasználása sérti ezeket a feltételeket. Az eszközalkalmazásokkal kapcsolatos információk csak az Ön kényelmét szolgálják, és frissítések válthatják fel azokat. Az Ön felelőssége annak biztosítása, hogy alkalmazása megfeleljen az előírásoknak. További támogatásért forduljon a helyi Microchip értékesítési irodához, vagy kérjen további támogatást a következő címen www.microchip.com/en-us/support/design-help/client-support-services.
EZT AZ INFORMÁCIÓT A MICROCHIP „AHOGY VAN”. A MICROCHIP AZ INFORMÁCIÓKRA VONATKOZÓAN SEMMILYEN KIFEJEZETT VAGY VÉLEMEZTETETT, ÍRÁSBAN VAGY SZÓBELI, TÖRVÉNYES VAGY MÁS MÉRTÉKŰ NYILATKOZATOT NEM VÁLLAL, BELEÉRTVE, DE NEM KIZÁRÓLAG A VÉLEMEZTETETT GARANCIA VÁLTOZTATÁSÁRA ÁLLAPOTÁHOZ, MINŐSÉGÉVEL VAGY TELJESÍTMÉNYÉHEZ KAPCSOLÓDÓ. A MICROCHIP SEMMILYEN ESETBEN NEM VÁLLAL FELELŐSSÉGET SEMMILYEN KÖZVETETT, KÜLÖNLEGES, BÜNTETŐ, VÉLETLENES VAGY KÖVETKEZMÉNYES VESZTESÉGÉRT, KÁROKÉRT, KÖLTSÉGEKÉRT VAGY KÖLTSÉGEKÉRT, BÁRMILYEN BÁRMIÉRT, HOGY AZ EGYES ALKALMAZOTT INFORMÁCIÓKHOZ KAPCSOLÓDÓ, A LEHETŐSÉG VAGY A KÁROK ELŐRE ELÉRHETŐK. A TÖRVÉNY ÁLTAL ENGEDÉLYEZETT TELJES MÉRTÉKÉBEN A MICROCHIP TELJES FELELŐSSÉGE AZ INFORMÁCIÓKAL VAGY FELHASZNÁLÁSÁVAL KAPCSOLATOS ÖSSZES KÖVETELÉSRE VONATKOZÓAN NEM MEGTÖLTI A DÍJAK ÖSSZEGÉT, AMENNYIBEN VAN SZÜKSÉGES, AMELYEKET ÖN AZ MICROFORMÁTUMÉRT FIZETTE.
A Microchip eszközök életfenntartó és/vagy biztonsági alkalmazásokban történő használata teljes mértékben a vevő kockázatára történik, és a vevő vállalja, hogy megvédi, kártalanítja és mentesíti a Microchipet minden olyan kártól, követeléstől, pertől vagy költségtől, amely az ilyen használatból ered. A Microchip szellemi tulajdonjogai alapján semmilyen, hallgatólagosan vagy más módon nem átruházott licenc nem történik, kivéve, ha másként van feltüntetve.
Védjegyek
A Microchip neve és logója, a Microchip logó, Adaptec, AVR, AVR logó, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinklusMD, maXTouchty, MediaLB, megaAVR, Microsemi, Microsemi logó, MOST, MOST logó, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logó, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST logó, SupercomFlash, Symmetri , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron és XMEGA a Microchip Technology Incorporated bejegyzett védjegyei az Egyesült Államokban és más országokban.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logó, Quiet-Wire, SmartWorld,, SyncWorld A TimeCesium, TimeHub, TimePictra, TimeProvider és ZL a Microchip Technology Incorporated bejegyzett védjegyei az Egyesült Államokban.
Szomszédos kulcsok elnyomása, AKS, analóg a digitális korhoz, bármilyen kondenzátor, AnyIn, AnyOut, kiterjesztett kapcsolás, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, A Dynamics, ADP-CDEM, ddds. , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit soros programozás, ICSP, INICnet, Intelligens párhuzamosítás, IntelliMOS, Chip közötti kapcsolat, JitterBlocker, Knob-on-Display, Marginto maxView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified logó, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Mindentudó kódgenerálás, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS 7, PureS PowerSmart, , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance , Megbízható idő, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewA Span, WiperLock, XpressConnect és ZENA a Microchip Technology Incorporated védjegyei az Egyesült Államokban és más országokban.
Az SQTP a Microchip Technology Incorporated szolgáltatási védjegye az Egyesült Államokban
Az Adaptec logó, a Frequency on Demand, a Silicon Storage Technology és a Symmcom a Microchip Technology Inc. bejegyzett védjegyei más országokban.
A GestIC a Microchip Technology Germany II GmbH & Co. KG, a Microchip Technology Inc. leányvállalatának más országokban bejegyzett védjegye.
Minden más itt említett védjegy a megfelelő vállalatok tulajdona.
2024, Microchip Technology Incorporated és leányvállalatai. Minden jog fenntartva.
ISBN: 978-1-6683-0183-8
Minőségirányítási rendszer
A Microchip minőségirányítási rendszereivel kapcsolatos információkért látogasson el a weboldalra www.microchip.com/quality.
Értékesítés és szerviz világszerte
AMERIKA | ÁZSIA/CSENDES-óceáni térség | ÁZSIA/CSENDES-óceáni térség | EURÓPA |
Vállalati Iroda 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Tel: 480-792-7200 Fax: 480-792-7277 Technikai támogatás: www.microchip.com/support Web Cím: www.microchip.com Atlanta Duluth, GA Tel: 678-957-9614 Fax: 678-957-1455 Austin, TX Tel: 512-257-3370 Boston Westborough, MA Tel: 774-760-0087 Fax: 774-760-0088 Chicago Itasca, IL Tel: 630-285-0071 Fax: 630-285-0075 Dallas Addison, TX Tel: 972-818-7423 Fax: 972-818-2924 Detroit Novi, MI Tel: 248-848-4000 Houston, TX Tel: 281-894-5983 Indianapolis Noblesville, IN Tel: 317-773-8323 Fax: 317-773-5453 Tel: 317-536-2380 Los Angeles Mission Viejo, CA Tel: 949-462-9523 Fax: 949-462-9608 Tel: 951-273-7800 Raleigh, NC Tel: 919-844-7510 New York, NY Tel: 631-435-6000 San Jose, CA Tel: 408-735-9110 Tel: 408-436-4270 Kanada – Toronto Tel: 905-695-1980 Fax: 905-695-2078 |
Ausztrália – Sydney Tel: 61-2-9868-6733 Kína – Peking Tel: 86-10-8569-7000 Kína – Csengdu Tel: 86-28-8665-5511 Kína – Chongqing Tel: 86-23-8980-9588 Kína – Dongguan Tel: 86-769-8702-9880 Kína – Kanton Tel: 86-20-8755-8029 Kína – Hangzhou Tel: 86-571-8792-8115 Kína – Hongkong KKT Tel: 852-2943-5100 Kína – Nanjing Tel: 86-25-8473-2460 Kína – Qingdao Tel: 86-532-8502-7355 Kína – Sanghaj Tel: 86-21-3326-8000 Kína – Shenyang Tel: 86-24-2334-2829 Kína – Sencsen Tel: 86-755-8864-2200 Kína – Suzhou Tel: 86-186-6233-1526 Kína – Vuhan Tel: 86-27-5980-5300 Kína – Xian Tel: 86-29-8833-7252 Kína – Xiamen Tel: 86-592-2388138 Kína – Zhuhai Tel: 86-756-3210040 |
India – Bangalore Tel: 91-80-3090-4444 India – Újdelhi Tel: 91-11-4160-8631 India - Pune Tel: 91-20-4121-0141 Japán – Oszaka Tel: 81-6-6152-7160 Japán – Tokió Tel: 81-3-6880-3770 Korea – Daegu Tel: 82-53-744-4301 Korea – Szöul Tel: 82-2-554-7200 Malajzia – Kuala Lumpur Tel: 60-3-7651-7906 Malajzia – Penang Tel: 60-4-227-8870 Fülöp-szigetek – Manila Tel: 63-2-634-9065 Szingapúr Tel: 65-6334-8870 Tajvan – Hsin Chu Tel: 886-3-577-8366 Tajvan – Kaohsiung Tel: 886-7-213-7830 Tajvan – Tajpej Tel: 886-2-2508-8600 Thaiföld – Bangkok Tel: 66-2-694-1351 Vietnam – Ho Si Minh Tel: 84-28-5448-2100 |
Ausztria – Wels Tel: 43-7242-2244-39 Fax: 43-7242-2244-393 Dánia – Koppenhága Tel: 45-4485-5910 Fax: 45-4485-2829 Finnország – Espoo Tel: 358-9-4520-820 Franciaország – Párizs Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Németország – Garching Tel: 49-8931-9700 Németország – Haan Tel: 49-2129-3766400 Németország – Heilbronn Tel: 49-7131-72400 Németország – Karlsruhe Tel: 49-721-625370 Németország – München Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Németország – Rosenheim Tel: 49-8031-354-560 Izrael – Hod Hasaron Tel: 972-9-775-5100 Olaszország – Milánó Tel: 39-0331-742611 Fax: 39-0331-466781 Olaszország – Padova Tel: 39-049-7625286 Hollandia – Drunen Tel: 31-416-690399 Fax: 31-416-690340 Norvégia – Trondheim Tel: 47-72884388 Lengyelország – Varsó Tel: 48-22-3325737 Románia – Bukarest Tel: 40-21-407-87-50 Spanyolország – Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 Svédország – Gothenberg Tel: 46-31-704-60-40 Svédország – Stockholm Tel: 46-8-5090-4654 Egyesült Királyság – Wokingham Tel: 44-118-921-5800 Fax: 44-118-921-5820 |
Dokumentumok / Források
![]() |
MICROCHIP DS00004807F PolarFire család FPGA egyedi áramlás [pdf] Felhasználói útmutató DS00004807F PolarFire család FPGA egyedi áramlás, DS00004807F, PolarFire család FPGA egyedi áramlás, családi FPGA egyedi áramlás, egyéni áramlás, áramlás |