ಮೈಕ್ರೋಚಿಪ್ - ಲೋಗೋ ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
ಲಿಬೆರೊ SoC v2024.2

ಪರಿಚಯ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಲಿಬೆರೊ ಸಿಸ್ಟಮ್-ಆನ್-ಚಿಪ್ (SoC) ಸಾಫ್ಟ್‌ವೇರ್ ಸಂಪೂರ್ಣವಾಗಿ ಸಂಯೋಜಿತ ಫೀಲ್ಡ್ ಪ್ರೊಗ್ರಾಮೆಬಲ್ ಗೇಟ್ ಅರೇ (FPGA) ವಿನ್ಯಾಸ ಪರಿಸರವನ್ನು ಒದಗಿಸುತ್ತದೆ. ಆದಾಗ್ಯೂ, ಕೆಲವು ಬಳಕೆದಾರರು ಲಿಬೆರೊ SoC ಪರಿಸರದ ಹೊರಗೆ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳನ್ನು ಬಳಸಲು ಬಯಸಬಹುದು. ಲಿಬೆರೊವನ್ನು ಈಗ FPGA ವಿನ್ಯಾಸ ಪರಿಸರಕ್ಕೆ ಸಂಯೋಜಿಸಬಹುದು. ಸಂಪೂರ್ಣ FPGA ವಿನ್ಯಾಸ ಹರಿವನ್ನು ನಿರ್ವಹಿಸಲು ಲಿಬೆರೊ SoC ಅನ್ನು ಬಳಸಲು ಶಿಫಾರಸು ಮಾಡಲಾಗಿದೆ.
ಈ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಪೋಲಾರ್‌ಫೈರ್ ಮತ್ತು ಪೋಲಾರ್‌ಫೈರ್ SoC ಕುಟುಂಬ ಸಾಧನಗಳಿಗಾಗಿ ಕಸ್ಟಮ್ ಫ್ಲೋ ಅನ್ನು ವಿವರಿಸುತ್ತದೆ, ಇದು ಲಿಬೆರೊವನ್ನು ದೊಡ್ಡ FPGA ವಿನ್ಯಾಸ ಹರಿವಿನ ಭಾಗವಾಗಿ ಸಂಯೋಜಿಸುವ ಪ್ರಕ್ರಿಯೆಯಾಗಿದೆ. ಬೆಂಬಲಿತ ಸಾಧನ ಕುಟುಂಬಗಳು® ಕೆಳಗಿನ ಕೋಷ್ಟಕವು ಲಿಬೆರೊ SoC ಬೆಂಬಲಿಸುವ ಸಾಧನ ಕುಟುಂಬಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ. ಆದಾಗ್ಯೂ, ಈ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿರುವ ಕೆಲವು ಮಾಹಿತಿಯು ನಿರ್ದಿಷ್ಟ ಸಾಧನಗಳ ಕುಟುಂಬಕ್ಕೆ ಮಾತ್ರ ಅನ್ವಯಿಸಬಹುದು. ಈ ಸಂದರ್ಭದಲ್ಲಿ, ಅಂತಹ ಮಾಹಿತಿಯನ್ನು ಸ್ಪಷ್ಟವಾಗಿ ಗುರುತಿಸಲಾಗುತ್ತದೆ.
ಕೋಷ್ಟಕ 1. ಲಿಬೆರೊ SoC ನಿಂದ ಬೆಂಬಲಿತವಾದ ಸಾಧನ ಕುಟುಂಬಗಳು

ಸಾಧನ ಕುಟುಂಬ ವಿವರಣೆ
PolarFire® ಪೋಲಾರ್‌ಫೈರ್ FPGAಗಳು ಮಧ್ಯಮ-ಶ್ರೇಣಿಯ ಸಾಂದ್ರತೆಯಲ್ಲಿ ಅಸಾಧಾರಣ ಭದ್ರತೆ ಮತ್ತು ವಿಶ್ವಾಸಾರ್ಹತೆಯೊಂದಿಗೆ ಉದ್ಯಮದ ಅತ್ಯಂತ ಕಡಿಮೆ ಶಕ್ತಿಯನ್ನು ನೀಡುತ್ತವೆ.
PolarFire SoC ಪೋಲಾರ್‌ಫೈರ್ SoC ಎಂಬುದು ನಿರ್ಣಾಯಕ, ಸುಸಂಬದ್ಧ RISC-V CPU ಕ್ಲಸ್ಟರ್ ಮತ್ತು ಲಿನಕ್ಸ್® ಮತ್ತು ನೈಜ-ಸಮಯದ ಅಪ್ಲಿಕೇಶನ್‌ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸುವ ನಿರ್ಣಾಯಕ L2 ಮೆಮೊರಿ ಉಪವ್ಯವಸ್ಥೆಯನ್ನು ಹೊಂದಿರುವ ಮೊದಲ SoC FPGA ಆಗಿದೆ.

ಮುಗಿದಿದೆview (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಲಿಬೆರೊ SoC, SoC ಮತ್ತು FPGA ವಿನ್ಯಾಸಗಳನ್ನು ಅಭಿವೃದ್ಧಿಪಡಿಸಲು ಸಂಪೂರ್ಣವಾಗಿ ಸಂಯೋಜಿತವಾದ ಎಂಡ್-ಟು-ಎಂಡ್ ವಿನ್ಯಾಸ ಪರಿಸರವನ್ನು ಒದಗಿಸಿದರೆ, ಇದು ಲಿಬೆರೊ SoC ಪರಿಸರದ ಹೊರಗೆ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಪರಿಕರಗಳೊಂದಿಗೆ ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ನಮ್ಯತೆಯನ್ನು ಒದಗಿಸುತ್ತದೆ. ಆದಾಗ್ಯೂ, ಕೆಲವು ವಿನ್ಯಾಸ ಹಂತಗಳು ಲಿಬೆರೊ SoC ಪರಿಸರದೊಳಗೆ ಉಳಿಯಬೇಕು.
ಕೆಳಗಿನ ಕೋಷ್ಟಕವು FPGA ವಿನ್ಯಾಸ ಹರಿವಿನ ಪ್ರಮುಖ ಹಂತಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆ ಮತ್ತು ಲಿಬೆರೊ SoC ಅನ್ನು ಬಳಸಬೇಕಾದ ಹಂತಗಳನ್ನು ಸೂಚಿಸುತ್ತದೆ.
ಕೋಷ್ಟಕ 1-1. FPGA ವಿನ್ಯಾಸ ಹರಿವು

ವಿನ್ಯಾಸ ಹರಿವಿನ ಹಂತ ಲಿಬೆರೊ ಬಳಸಲೇಬೇಕು ವಿವರಣೆ
ವಿನ್ಯಾಸ ನಮೂದು: HDL ಸಂ ಬಯಸಿದಲ್ಲಿ Libero® SoC ಹೊರಗೆ ಮೂರನೇ ವ್ಯಕ್ತಿಯ HDL ಸಂಪಾದಕ/ಪರೀಕ್ಷಕ ಉಪಕರಣವನ್ನು ಬಳಸಿ.
ವಿನ್ಯಾಸ ನಮೂದು: ಸಂರಚನಾಕಾರರು ಹೌದು ಐಪಿ ಕ್ಯಾಟಲಾಗ್ ಕೋರ್ ಘಟಕ ಉತ್ಪಾದನೆಗಾಗಿ ಮೊದಲ ಲಿಬೆರೊ ಯೋಜನೆಯನ್ನು ರಚಿಸಿ.
ಸ್ವಯಂಚಾಲಿತ PDC/SDC ನಿರ್ಬಂಧ ಉತ್ಪಾದನೆ ಸಂ ಪಡೆದ ನಿರ್ಬಂಧಗಳಿಗೆ ಎಲ್ಲಾ HDL ಅಗತ್ಯವಿದೆ fileಲಿಬೆರೊ SoC ಯ ಹೊರಗೆ ನಿರ್ವಹಿಸಿದಾಗ s ಮತ್ತು derive_constraints ಉಪಯುಕ್ತತೆಯನ್ನು ಬಳಸಲಾಗುತ್ತದೆ, ಇದನ್ನು ಅನುಬಂಧ C—Derive Constraints ನಲ್ಲಿ ವಿವರಿಸಲಾಗಿದೆ.
ಸಿಮ್ಯುಲೇಶನ್ ಸಂ ಬಯಸಿದಲ್ಲಿ, ಲಿಬೆರೊ SoC ಯ ಹೊರಗೆ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಪರಿಕರವನ್ನು ಬಳಸಿ. ಗುರಿ ಸಾಧನ, ಗುರಿ ಸಿಮ್ಯುಲೇಟರ್ ಮತ್ತು ಬ್ಯಾಕೆಂಡ್ ಅನುಷ್ಠಾನಕ್ಕಾಗಿ ಬಳಸುವ ಗುರಿ ಲಿಬೆರೊ ಆವೃತ್ತಿಗಾಗಿ ಪೂರ್ವ-ಸಂಕಲಿಸಿದ ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳ ಡೌನ್‌ಲೋಡ್ ಅಗತ್ಯವಿದೆ.
ಸಂಶ್ಲೇಷಣೆ ಸಂ ಬಯಸಿದಲ್ಲಿ ಲಿಬೆರೊ SoC ಹೊರಗೆ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಪರಿಕರವನ್ನು ಬಳಸಿ.
ವಿನ್ಯಾಸ ಅನುಷ್ಠಾನ: ನಿರ್ಬಂಧಗಳನ್ನು ನಿರ್ವಹಿಸಿ, ನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ, ಸ್ಥಳ-ಮತ್ತು-ಮಾರ್ಗ (ನೋಡಿ ಮೇಲೆview) ಹೌದು ಬ್ಯಾಕೆಂಡ್ ಅನುಷ್ಠಾನಕ್ಕಾಗಿ ಎರಡನೇ ಲಿಬೆರೊ ಯೋಜನೆಯನ್ನು ರಚಿಸಿ.
ಸಮಯ ಮತ್ತು ಪವರ್ ಪರಿಶೀಲನೆ ಹೌದು ಎರಡನೇ ಲಿಬೆರೋ ಯೋಜನೆಯಲ್ಲಿ ಇರಿ.
ವಿನ್ಯಾಸ ಪ್ರಾರಂಭಿಕ ಡೇಟಾ ಮತ್ತು ನೆನಪುಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ ಹೌದು ಸಾಧನದಲ್ಲಿ ವಿವಿಧ ರೀತಿಯ ನೆನಪುಗಳನ್ನು ನಿರ್ವಹಿಸಲು ಮತ್ತು ವಿನ್ಯಾಸ ಪ್ರಾರಂಭವನ್ನು ನಿರ್ವಹಿಸಲು ಈ ಉಪಕರಣವನ್ನು ಬಳಸಿ. ಎರಡನೇ ಯೋಜನೆಯಲ್ಲಿ ಉಳಿಯಿರಿ.
ಪ್ರೋಗ್ರಾಮಿಂಗ್ File ಪೀಳಿಗೆ ಹೌದು ಎರಡನೇ ಯೋಜನೆಯಲ್ಲಿ ಇರಿ.

ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ ಮುಖ್ಯ: ನೀವು ನಲ್ಲಿ ಲಭ್ಯವಿರುವ ಪೂರ್ವ ಸಂಕಲಿಸಿದ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಬೇಕು ಪೂರ್ವ ಸಂಕಲಿತ ಸಿಮ್ಯುಲೇಶನ್ ಗ್ರಂಥಾಲಯಗಳು ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಸಿಮ್ಯುಲೇಟರ್ ಬಳಸಲು ಪುಟ.
ಶುದ್ಧ ಫ್ಯಾಬ್ರಿಕ್ FPGA ಹರಿವಿನಲ್ಲಿ, HDL ಅಥವಾ ಸ್ಕೀಮ್ಯಾಟಿಕ್ ನಮೂದನ್ನು ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ನಮೂದಿಸಿ ಮತ್ತು ಅದನ್ನು ನೇರವಾಗಿ ರವಾನಿಸಿ
ಸಂಶ್ಲೇಷಣಾ ಪರಿಕರಗಳಿಗೆ. ಹರಿವು ಇನ್ನೂ ಬೆಂಬಲಿತವಾಗಿದೆ. ಪೋಲಾರ್‌ಫೈರ್ ಮತ್ತು ಪೋಲಾರ್‌ಫೈರ್ SoC FPGA ಗಳು ಗಮನಾರ್ಹವಾದವುಗಳನ್ನು ಹೊಂದಿವೆ
ಲಿಬೆರೊ SoC IP ಯಿಂದ ಕಾನ್ಫಿಗರೇಶನ್ ಕೋರ್‌ಗಳ (SgCores) ಬಳಕೆಯ ಅಗತ್ಯವಿರುವ ಸ್ವಾಮ್ಯದ ಹಾರ್ಡ್ IP ಬ್ಲಾಕ್‌ಗಳು
ಕ್ಯಾಟಲಾಗ್. SoC ಕಾರ್ಯವನ್ನು ಒಳಗೊಂಡಿರುವ ಯಾವುದೇ ಬ್ಲಾಕ್‌ಗಳಿಗೆ ವಿಶೇಷ ನಿರ್ವಹಣೆ ಅಗತ್ಯವಿದೆ:

  • ಪೋಲಾರ್ ಫೈರ್
    – ಪಿಎಫ್_ಅಪ್ರೋಮ್
    – ಪಿಎಫ್_ಸಿಸ್ಟಮ್_ಸೇವೆಗಳು
    – ಪಿಎಫ್_ಸಿಸಿಸಿ
    – ಪಿಎಫ್ ಸಿಎಲ್‌ಕೆ ಡಿಐವಿ
    – ಪಿಎಫ್_ಕ್ರಿಪ್ಟೋ
    – ಪಿಎಫ್_ಡಿಆರ್ಐ
    – ಪಿಎಫ್_ಇನಿಟ್_ಮಾನಿಟರ್
    – ಪಿಎಫ್_ಎನ್‌ಜಿಎಂಯುಎಕ್ಸ್
    – ಪಿಎಫ್_ಒಎಸ್ಸಿ
    - RAM ಗಳು (TPSRAM, DPSRAM, URAM)
    – ಪಿಎಫ್_ಎಸ್‌ಆರ್‌ಎಎಂ_ಎಎಚ್‌ಬಿಎಲ್_ಎಎಕ್ಸ್‌ಐ
    – ಪಿಎಫ್_ಎಕ್ಸ್‌ಸಿವಿಆರ್_ಇಆರ್‌ಎಂ
    – ಪಿಎಫ್_ಎಕ್ಸ್‌ಸಿವಿಆರ್_ಆರ್‌ಇಎಫ್_ಸಿಎಲ್‌ಕೆ
    – ಪಿಎಫ್_ಟಿಎಕ್ಸ್_ಪಿಎಲ್ಎಲ್
    – ಪಿಎಫ್_ಪಿಸಿಐಇ
    – ಪಿಎಫ್_ಐಒ
    – ಪಿಎಫ್_ಐಒಡಿ_ಸಿಡಿಆರ್
    – ಪಿಎಫ್_ಐಒಡಿ_ಸಿಡಿಆರ್_ಸಿಸಿಸಿ
    – ಪಿಎಫ್_ಐಒಡಿ_ಜೆನೆರಿಕ್_ಆರ್ಎಕ್ಸ್
    – ಪಿಎಫ್_ಐಒಡಿ_ಜೆನೆರಿಕ್_ಟಿಎಕ್ಸ್
    – ಪಿಎಫ್_ಐಒಡಿ_ಜೆನೆರಿಕ್_ಟಿಎಕ್ಸ್_ಸಿಸಿಸಿ
    – ಪಿಎಫ್_ಆರ್‌ಜಿಎಂಐಐ_ಟು_ಜಿಎಂಐಐ
    – ಪಿಎಫ್_ಐಒಡಿ_ಒಸಿಟಿಎಎಲ್_ಡಿಡಿಆರ್
    – ಪಿಎಫ್_ಡಿಡಿಆರ್3
    – ಪಿಎಫ್_ಡಿಡಿಆರ್4
    – ಪಿಎಫ್_ಎಲ್‌ಪಿಡಿಡಿಆರ್3
    – ಪಿಎಫ್_ಕ್ಯೂಡಿಆರ್
    – ಪಿಎಫ್_ಕೋರ್ಸ್ಮಾರ್ಟ್‌ಬರ್ಟ್
    – ಪಿಎಫ್_ಟಿAMPER
    – PF_TVS, ಇತ್ಯಾದಿ.

ಮೇಲೆ ಪಟ್ಟಿ ಮಾಡಲಾದ SgCore ಗಳ ಜೊತೆಗೆ, Libero SoC ಕ್ಯಾಟಲಾಗ್‌ನಲ್ಲಿ FPGA ಫ್ಯಾಬ್ರಿಕ್ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಬಳಸುವ PolarFire ಮತ್ತು PolarFire SoC ಸಾಧನ ಕುಟುಂಬಗಳಿಗೆ ಅನೇಕ DirectCore ಸಾಫ್ಟ್ IP ಗಳು ಲಭ್ಯವಿದೆ.
ವಿನ್ಯಾಸ ನಮೂದುಗಾಗಿ, ನೀವು ಹಿಂದಿನ ಯಾವುದೇ ಘಟಕಗಳನ್ನು ಬಳಸಿದರೆ, ನೀವು ವಿನ್ಯಾಸ ನಮೂದಿನ ಭಾಗಕ್ಕೆ (ಘಟಕ ಸಂರಚನೆ) Libero SoC ಅನ್ನು ಬಳಸಬೇಕು, ಆದರೆ ನೀವು Libero ಹೊರಗೆ ನಿಮ್ಮ ಉಳಿದ ವಿನ್ಯಾಸ ನಮೂದನ್ನು (HDL ನಮೂದು, ಮತ್ತು ಹೀಗೆ) ಮುಂದುವರಿಸಬಹುದು. Libero ಹೊರಗೆ FPGA ವಿನ್ಯಾಸ ಹರಿವನ್ನು ನಿರ್ವಹಿಸಲು, ಈ ಮಾರ್ಗದರ್ಶಿಯ ಉಳಿದ ಭಾಗಗಳಲ್ಲಿ ಒದಗಿಸಲಾದ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ.
೧.೧ ಘಟಕ ಜೀವನ ಚಕ್ರ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ ಹಂತಗಳು SoC ಘಟಕದ ಜೀವನ ಚಕ್ರವನ್ನು ವಿವರಿಸುತ್ತವೆ ಮತ್ತು ಡೇಟಾವನ್ನು ಹೇಗೆ ನಿರ್ವಹಿಸುವುದು ಎಂಬುದರ ಕುರಿತು ಸೂಚನೆಗಳನ್ನು ಒದಗಿಸುತ್ತವೆ.

  1. ಲಿಬೆರೊ SoC ಯಲ್ಲಿ ಅದರ ಕಾನ್ಫಿಗರೇಟರ್ ಬಳಸಿ ಘಟಕವನ್ನು ರಚಿಸಿ. ಇದು ಈ ಕೆಳಗಿನ ರೀತಿಯ ಡೇಟಾವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ:
    - ಎಚ್‌ಡಿಎಲ್ files
    - ಸ್ಮರಣೆ files
    – ಪ್ರಚೋದನೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ files
    – ಘಟಕ SDC file
  2. HDL ಗಾಗಿ fileಗಳು, ಬಾಹ್ಯ ವಿನ್ಯಾಸ ಪ್ರವೇಶ ಸಾಧನ/ಪ್ರಕ್ರಿಯೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಉಳಿದ HDL ವಿನ್ಯಾಸದಲ್ಲಿ ಅವುಗಳನ್ನು ನಿದರ್ಶನಗೊಳಿಸಿ ಮತ್ತು ಸಂಯೋಜಿಸಿ.
  3. ಸರಬರಾಜು ಮೆಮೊರಿ fileಗಳು ಮತ್ತು ಪ್ರಚೋದನೆ fileನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಟೂಲ್‌ಗೆ s ಅನ್ನು ನಮೂದಿಸಿ.
  4. ಸರಬರಾಜು ಘಟಕ SDC file ನಿರ್ಬಂಧ ಉತ್ಪಾದನೆಗಾಗಿ ನಿರ್ಬಂಧ ಸಾಧನವನ್ನು ಪಡೆಯಲು. ಹೆಚ್ಚಿನ ವಿವರಗಳಿಗಾಗಿ ಅನುಬಂಧ C—ನಿರ್ಬಂಧಗಳನ್ನು ಪಡೆಯಿರಿ ನೋಡಿ.
  5. ನೀವು ಎರಡನೇ ಲಿಬೆರೊ ಪ್ರಾಜೆಕ್ಟ್ ಅನ್ನು ರಚಿಸಬೇಕು, ಅಲ್ಲಿ ನೀವು ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ ನೆಟ್‌ಲಿಸ್ಟ್ ಮತ್ತು ನಿಮ್ಮ ಕಾಂಪೊನೆಂಟ್ ಮೆಟಾಡೇಟಾವನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಬೇಕು, ಹೀಗಾಗಿ ನೀವು ರಚಿಸಿದ ಮತ್ತು ನೀವು ಪ್ರೋಗ್ರಾಂ ಮಾಡಿದ ನಡುವಿನ ಸಂಪರ್ಕವನ್ನು ಪೂರ್ಣಗೊಳಿಸುತ್ತೀರಿ.

1.2 ಲಿಬೆರೊ SoC ಪ್ರಾಜೆಕ್ಟ್ ಸೃಷ್ಟಿ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಲವು ವಿನ್ಯಾಸ ಹಂತಗಳನ್ನು ಲಿಬೆರೊ SoC ಪರಿಸರದೊಳಗೆ ಚಲಾಯಿಸಬೇಕು (ಕೋಷ್ಟಕ 1-1). ಈ ಹಂತಗಳನ್ನು ಚಲಾಯಿಸಲು, ನೀವು ಎರಡು ಲಿಬೆರೊ SoC ಯೋಜನೆಗಳನ್ನು ರಚಿಸಬೇಕು. ಮೊದಲ ಯೋಜನೆಯನ್ನು ವಿನ್ಯಾಸ ಘಟಕ ಸಂರಚನೆ ಮತ್ತು ಉತ್ಪಾದನೆಗೆ ಬಳಸಲಾಗುತ್ತದೆ, ಮತ್ತು ಎರಡನೇ ಯೋಜನೆಯು ಉನ್ನತ ಮಟ್ಟದ ವಿನ್ಯಾಸದ ಭೌತಿಕ ಅನುಷ್ಠಾನಕ್ಕಾಗಿ.
೧.೩ ಕಸ್ಟಮ್ ಫ್ಲೋ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಕೆಳಗಿನ ಅಂಕಿ ತೋರಿಸುತ್ತದೆ:

  • ಲಿಬೆರೊ SoC ಪರಿಸರದ ಹೊರಗೆ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳೊಂದಿಗೆ ದೊಡ್ಡ FPGA ವಿನ್ಯಾಸ ಹರಿವಿನ ಭಾಗವಾಗಿ ಲಿಬೆರೊ SoC ಅನ್ನು ಸಂಯೋಜಿಸಬಹುದು.
  • ವಿನ್ಯಾಸ ರಚನೆ ಮತ್ತು ಹೊಲಿಗೆಯಿಂದ ಹಿಡಿದು ಸಾಧನವನ್ನು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡುವವರೆಗೆ ಹರಿವಿನಲ್ಲಿ ವಿವಿಧ ಹಂತಗಳು ಒಳಗೊಂಡಿರುತ್ತವೆ.
  • ಪ್ರತಿ ವಿನ್ಯಾಸ ಹರಿವಿನ ಹಂತದಲ್ಲಿ ಸಂಭವಿಸಬೇಕಾದ ದತ್ತಾಂಶ ವಿನಿಮಯ (ಇನ್ಪುಟ್ ಮತ್ತು ಔಟ್ಪುಟ್).

ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಕಸ್ಟಮ್ ಫ್ಲೋ ಓವರ್viewಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ 1 ಸಲಹೆ:

  1. SNVM.cfg, UPROM.cfg
  2. *.ಮೆಮ್ file ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ಉತ್ಪಾದನೆ: pa4rtupromgen.exe UPROM.cfg ಅನ್ನು ಇನ್‌ಪುಟ್ ಆಗಿ ತೆಗೆದುಕೊಂಡು UPROM.mem ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ.

ಕಸ್ಟಮ್ ಹರಿವಿನ ಹಂತಗಳು ಈ ಕೆಳಗಿನಂತಿವೆ:

  1. ಘಟಕ ಸಂರಚನೆ ಮತ್ತು ಉತ್ಪಾದನೆ:
    a. ಮೊದಲ ಲಿಬೆರೊ ಯೋಜನೆಯನ್ನು ರಚಿಸಿ (ಉಲ್ಲೇಖ ಯೋಜನೆಯಾಗಿ ಕಾರ್ಯನಿರ್ವಹಿಸಲು).
    ಬಿ. ಕ್ಯಾಟಲಾಗ್‌ನಿಂದ ಕೋರ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ. ಅದಕ್ಕೆ ಘಟಕ ಹೆಸರನ್ನು ನೀಡಲು ಮತ್ತು ಘಟಕವನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಕೋರ್ ಅನ್ನು ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ.
    ಇದು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಘಟಕ ಡೇಟಾವನ್ನು ರಫ್ತು ಮಾಡುತ್ತದೆ ಮತ್ತು file1. ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್‌ಗಳನ್ನು ಸಹ ರಚಿಸಲಾಗುತ್ತದೆ. ವಿವರಗಳಿಗಾಗಿ ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್‌ಗಳನ್ನು ನೋಡಿ. ಹೆಚ್ಚಿನ ವಿವರಗಳಿಗಾಗಿ, ಕಾಂಪೊನೆಂಟ್ ಕಾನ್ಫಿಗರೇಶನ್ ಅನ್ನು ನೋಡಿ.
  2. ಲಿಬೆರೊ ಹೊರಗೆ ನಿಮ್ಮ RTL ವಿನ್ಯಾಸವನ್ನು ಪೂರ್ಣಗೊಳಿಸಿ:
    a. HDL ಘಟಕವನ್ನು ನಿಶ್ಚಯಿಸಿ files.
    ಬಿ. HDL ನ ಸ್ಥಳ files ಅನ್ನು ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್‌ಗಳಲ್ಲಿ ಪಟ್ಟಿ ಮಾಡಲಾಗಿದೆ. files.
  3. ಘಟಕಗಳಿಗೆ SDC ನಿರ್ಬಂಧಗಳನ್ನು ರಚಿಸಿ. ಸಮಯದ ನಿರ್ಬಂಧವನ್ನು ರಚಿಸಲು Derive Constraints ಉಪಯುಕ್ತತೆಯನ್ನು ಬಳಸಿ. file(SDC) ಇದರ ಆಧಾರದ ಮೇಲೆ:
    ಎ. ಘಟಕ HDL files
    ಬಿ. ಕಾಂಪೊನೆಂಟ್ SDC files
    ಸಿ. ಬಳಕೆದಾರ HDL files
    ಹೆಚ್ಚಿನ ವಿವರಗಳಿಗಾಗಿ, ಅನುಬಂಧ ಸಿ - ವ್ಯುತ್ಪನ್ನ ನಿರ್ಬಂಧಗಳನ್ನು ನೋಡಿ.
  4. ಸಂಶ್ಲೇಷಣಾ ಸಾಧನ/ಸಿಮ್ಯುಲೇಶನ್ ಸಾಧನ:
    ಎ. HDL ಪಡೆಯಿರಿ files, ಪ್ರಚೋದನೆ fileಗಳು, ಮತ್ತು ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್‌ಗಳಲ್ಲಿ ಗಮನಿಸಿದಂತೆ ನಿರ್ದಿಷ್ಟ ಸ್ಥಳಗಳಿಂದ ಕಾಂಪೊನೆಂಟ್ ಡೇಟಾ.
    ಬಿ. ಲಿಬೆರೊ SoC ಹೊರಗಿನ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಪರಿಕರಗಳೊಂದಿಗೆ ವಿನ್ಯಾಸವನ್ನು ಸಂಶ್ಲೇಷಿಸಿ ಮತ್ತು ಅನುಕರಿಸಿ.
  5. ನಿಮ್ಮ ಎರಡನೇ (ಅನುಷ್ಠಾನ) ಲಿಬೆರೊ ಯೋಜನೆಯನ್ನು ರಚಿಸಿ.
  6. ವಿನ್ಯಾಸ ಹರಿವಿನ ಪರಿಕರ ಸರಪಳಿಯಿಂದ ಸಂಶ್ಲೇಷಣೆಯನ್ನು ತೆಗೆದುಹಾಕಿ (ಪ್ರಾಜೆಕ್ಟ್ > ಪ್ರಾಜೆಕ್ಟ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳು > ವಿನ್ಯಾಸ ಹರಿವು > ಸಂಶ್ಲೇಷಣೆ ಸಕ್ರಿಯಗೊಳಿಸಿ ಚೆಕ್ ಬಾಕ್ಸ್ ಅನ್ನು ತೆರವುಗೊಳಿಸಿ).
  7. ವಿನ್ಯಾಸ ಮೂಲವನ್ನು ಆಮದು ಮಾಡಿ files (ಸಂಶ್ಲೇಷಣೆ ಉಪಕರಣದಿಂದ ಸಂಶ್ಲೇಷಣೆಯ ನಂತರದ *.vm ನೆಟ್‌ಲಿಸ್ಟ್):
    – ಸಂಶ್ಲೇಷಣೆಯ ನಂತರದ ಆಮದು *.vm ನೆಟ್‌ಲಿಸ್ಟ್ (File>ಆಮದು> ಸಂಶ್ಲೇಷಿತ ವೆರಿಲಾಗ್ ನೆಟ್‌ಲಿಸ್ಟ್ (VM)).
    – ಘಟಕ ಮೆಟಾಡೇಟಾ *.cfg fileuPROM ಮತ್ತು/ಅಥವಾ sNVM ಗಾಗಿ s.
  8. ಯಾವುದೇ ಲಿಬೆರೊ SoC ಬ್ಲಾಕ್ ಘಟಕವನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ fileಎಸ್. ಬ್ಲಾಕ್ files *.cxz ನಲ್ಲಿರಬೇಕು file ಸ್ವರೂಪ.
    ಬ್ಲಾಕ್ ಅನ್ನು ಹೇಗೆ ರಚಿಸುವುದು ಎಂಬುದರ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ, ನೋಡಿ ಪೋಲಾರ್‌ಫೈರ್ ಬ್ಲಾಕ್ ಫ್ಲೋ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ.
  9. ವಿನ್ಯಾಸ ನಿರ್ಬಂಧಗಳನ್ನು ಆಮದು ಮಾಡಿ:
    – ಆಮದು I/O ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > I/OAttributes > ಆಮದು).
    – ನೆಲದ ಯೋಜನೆ ಆಮದು *.pdc files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > ಮಹಡಿ ಯೋಜಕ > ಆಮದು).
    – ಆಮದು *.sdc ಸಮಯ ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > ಸಮಯ >ಆಮದು). SDC ಅನ್ನು ಆಮದು ಮಾಡಿ file ಡೆರಿವ್ ಕಂಸ್ಟ್ರೈಂಟ್ ಟೂಲ್ ಮೂಲಕ ರಚಿಸಲಾಗಿದೆ.
    – ಆಮದು *.ndc ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > ನೆಟ್‌ಲಿಸ್ಟ್ ಗುಣಲಕ್ಷಣಗಳು > ಆಮದು), ಯಾವುದಾದರೂ ಇದ್ದರೆ.
  10. ನಿರ್ಬಂಧ file ಮತ್ತು ಪರಿಕರಗಳ ಸಂಯೋಜನೆ
    – ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕದಲ್ಲಿ, *.pdc ಅನ್ನು ಸಂಯೋಜಿಸಿ files ಅನ್ನು ಇರಿಸಿ ಮತ್ತು ಮಾರ್ಗಗೊಳಿಸಿ, *.sdc fileಸ್ಥಳ ಮತ್ತು ಮಾರ್ಗ ಮತ್ತು ಸಮಯ ಪರಿಶೀಲನೆಗಳಿಗೆ s, ಮತ್ತು *.ndc fileನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು s ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  11. ಸಂಪೂರ್ಣ ವಿನ್ಯಾಸ ಅನುಷ್ಠಾನ
    - ಸ್ಥಳ ಮತ್ತು ಮಾರ್ಗ, ಸಮಯ ಮತ್ತು ಶಕ್ತಿಯನ್ನು ಪರಿಶೀಲಿಸಿ, ವಿನ್ಯಾಸ ಪ್ರಾರಂಭಿಕ ಡೇಟಾ ಮತ್ತು ನೆನಪುಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ ಮತ್ತು ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಮಾಡಿ. file ಪೀಳಿಗೆ
  12. ವಿನ್ಯಾಸವನ್ನು ಮೌಲ್ಯೀಕರಿಸಿ
    – ಲಿಬೆರೊ SoC ವಿನ್ಯಾಸ ಸೂಟ್‌ನೊಂದಿಗೆ ಒದಗಿಸಲಾದ ವಿನ್ಯಾಸ ಪರಿಕರಗಳನ್ನು ಬಳಸಿಕೊಂಡು FPGA ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಮೌಲ್ಯೀಕರಿಸಿ ಮತ್ತು ಅಗತ್ಯವಿರುವಂತೆ ಡೀಬಗ್ ಮಾಡಿ.

ಘಟಕ ಸಂರಚನೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಕಸ್ಟಮ್ ಹರಿವಿನ ಮೊದಲ ಹಂತವೆಂದರೆ ಲಿಬೆರೊ ಉಲ್ಲೇಖ ಯೋಜನೆಯನ್ನು ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ಘಟಕಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡುವುದು (ಟೇಬಲ್ 1-1 ರಲ್ಲಿ ಮೊದಲ ಲಿಬೆರೊ ಯೋಜನೆ ಎಂದೂ ಕರೆಯುತ್ತಾರೆ). ನಂತರದ ಹಂತಗಳಲ್ಲಿ, ನೀವು ಈ ಉಲ್ಲೇಖ ಯೋಜನೆಯಿಂದ ಡೇಟಾವನ್ನು ಬಳಸುತ್ತೀರಿ.
ನೀವು ಈ ಹಿಂದೆ ಪಟ್ಟಿ ಮಾಡಲಾದ ಯಾವುದೇ ಘಟಕಗಳನ್ನು ಬಳಸುತ್ತಿದ್ದರೆ, ಓವರ್ ಅಡಿಯಲ್ಲಿview ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿ, ಈ ವಿಭಾಗದಲ್ಲಿ ವಿವರಿಸಿದ ಹಂತಗಳನ್ನು ನಿರ್ವಹಿಸಿ.
ನೀವು ಮೇಲಿನ ಯಾವುದೇ ಘಟಕಗಳನ್ನು ಬಳಸದಿದ್ದರೆ, ನೀವು ನಿಮ್ಮ RTL ಅನ್ನು ಲಿಬೆರೊದ ಹೊರಗೆ ಬರೆಯಬಹುದು ಮತ್ತು ಅದನ್ನು ನೇರವಾಗಿ ನಿಮ್ಮ ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳಿಗೆ ಆಮದು ಮಾಡಿಕೊಳ್ಳಬಹುದು. ನಂತರ ನೀವು ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ ವಿಭಾಗಕ್ಕೆ ಮುಂದುವರಿಯಬಹುದು ಮತ್ತು ನಿಮ್ಮ ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ *.vm ನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ನಿಮ್ಮ ಅಂತಿಮ ಲಿಬೆರೊ ಅನುಷ್ಠಾನ ಯೋಜನೆಗೆ ಮಾತ್ರ ಆಮದು ಮಾಡಿಕೊಳ್ಳಬಹುದು (ಟೇಬಲ್ 1-1 ರಲ್ಲಿ ಎರಡನೇ ಲಿಬೆರೊ ಯೋಜನೆ ಎಂದೂ ಕರೆಯುತ್ತಾರೆ).
2.1 ಲಿಬೆರೊ ಬಳಸಿಕೊಂಡು ಘಟಕ ಸಂರಚನೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಹಿಂದಿನ ಪಟ್ಟಿಯಿಂದ ಬಳಸಬೇಕಾದ ಘಟಕಗಳನ್ನು ಆಯ್ಕೆ ಮಾಡಿದ ನಂತರ, ಈ ಕೆಳಗಿನ ಹಂತಗಳನ್ನು ಮಾಡಿ:

  1. ಹೊಸ ಲಿಬೆರೊ ಯೋಜನೆಯನ್ನು ರಚಿಸಿ (ಕೋರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಮತ್ತು ಜನರೇಷನ್): ನಿಮ್ಮ ಅಂತಿಮ ವಿನ್ಯಾಸವನ್ನು ನೀವು ಗುರಿಯಾಗಿಸಿಕೊಂಡಿರುವ ಸಾಧನ ಮತ್ತು ಕುಟುಂಬವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
  2. ಕಸ್ಟಮ್ ಫ್ಲೋನಲ್ಲಿ ಉಲ್ಲೇಖಿಸಲಾದ ಒಂದು ಅಥವಾ ಹೆಚ್ಚಿನ ಕೋರ್‌ಗಳನ್ನು ಬಳಸಿ.
    a. ಸ್ಮಾರ್ಟ್‌ಡಿಸೈನ್ ಅನ್ನು ರಚಿಸಿ ಮತ್ತು ಬಯಸಿದ ಕೋರ್ ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿ ಮತ್ತು ಅದನ್ನು ಸ್ಮಾರ್ಟ್‌ಡಿಸೈನ್ ಘಟಕದಲ್ಲಿ ಇನ್‌ಸ್ಟಾಂಟಿಯೇಟ್ ಮಾಡಿ.
    ಬಿ. ಎಲ್ಲಾ ಪಿನ್‌ಗಳನ್ನು ಉನ್ನತ ಮಟ್ಟಕ್ಕೆ ಬಡ್ತಿ ನೀಡಿ.
    ಸಿ. ಸ್ಮಾರ್ಟ್‌ಡಿಸೈನ್ ಅನ್ನು ರಚಿಸಿ.
    d. ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ಆಹ್ವಾನಿಸಲು ಸಿಮ್ಯುಲೇಟ್ ಟೂಲ್ (ಪ್ರಿ-ಸಿಂಥೆಸಿಸ್ ಅಥವಾ ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ ಅಥವಾ ಪೋಸ್ಟ್-ಲೇಔಟ್ ಆಯ್ಕೆಗಳಲ್ಲಿ ಯಾವುದಾದರೂ) ಮೇಲೆ ಡಬಲ್ ಕ್ಲಿಕ್ ಮಾಡಿ. ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ಆಹ್ವಾನಿಸಿದ ನಂತರ ನೀವು ಅದರಿಂದ ನಿರ್ಗಮಿಸಬಹುದು. ಈ ಹಂತವು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ. fileನಿಮ್ಮ ಯೋಜನೆಗೆ ಅವಶ್ಯಕ.

ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ 1 ಸಲಹೆ: ನೀವು ಲಿಬೆರೊದ ಹೊರಗೆ ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸಲು ನೀವು ಬಯಸಿದರೆ ಈ ಹಂತವನ್ನು ನಿರ್ವಹಿಸಬೇಕು.
ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ, ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸುವುದು ನೋಡಿ.
ಇ. ನಿಮ್ಮ ಯೋಜನೆಯನ್ನು ಉಳಿಸಿ - ಇದು ನಿಮ್ಮ ಉಲ್ಲೇಖ ಯೋಜನೆಯಾಗಿದೆ.
೨.೨ ಘಟಕ ಮ್ಯಾನಿಫೆಸ್ಟ್‌ಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ನೀವು ನಿಮ್ಮ ಘಟಕಗಳನ್ನು ಉತ್ಪಾದಿಸಿದಾಗ, ಒಂದು ಸೆಟ್ fileಪ್ರತಿಯೊಂದು ಘಟಕಕ್ಕೂ s ಅನ್ನು ರಚಿಸಲಾಗುತ್ತದೆ. ಘಟಕ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಯು ಇದರ ಸೆಟ್ ಅನ್ನು ವಿವರಿಸುತ್ತದೆ fileಪ್ರತಿ ನಂತರದ ಹಂತದಲ್ಲೂ (ಸಂಶ್ಲೇಷಣೆ, ಸಿಮ್ಯುಲೇಶನ್, ಫರ್ಮ್‌ವೇರ್ ಜನರೇಷನ್, ಇತ್ಯಾದಿ) ಉತ್ಪಾದಿಸಲಾಗುತ್ತದೆ ಮತ್ತು ಬಳಸಲಾಗುತ್ತದೆ. ಈ ವರದಿಯು ನಿಮಗೆ ಎಲ್ಲಾ ಉತ್ಪಾದಿಸಲಾದ ಸ್ಥಳಗಳನ್ನು ನೀಡುತ್ತದೆ. fileಕಸ್ಟಮ್ ಫ್ಲೋನೊಂದಿಗೆ ಮುಂದುವರಿಯಲು ಗಳು ಅಗತ್ಯವಿದೆ. ನೀವು ವರದಿಗಳ ಪ್ರದೇಶದಲ್ಲಿ ಘಟಕ ಮ್ಯಾನಿಫೆಸ್ಟ್ ಅನ್ನು ಪ್ರವೇಶಿಸಬಹುದು: ವರದಿಗಳ ಟ್ಯಾಬ್ ತೆರೆಯಲು ವಿನ್ಯಾಸ > ವರದಿಗಳನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ. ವರದಿಗಳ ಟ್ಯಾಬ್‌ನಲ್ಲಿ, ನೀವು manifest.txt ನ ಗುಂಪನ್ನು ನೋಡುತ್ತೀರಿ. files (ಮುಗಿದಿದೆview), ನೀವು ರಚಿಸಿದ ಪ್ರತಿಯೊಂದು ಘಟಕಕ್ಕೂ ಒಂದು.
ಸಲಹೆ: ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ ಅನ್ನು ನೋಡಲು ನೀವು ಕಾಂಪೊನೆಂಟ್ ಅಥವಾ ಮಾಡ್ಯೂಲ್ ಅನ್ನು '”ರೂಟ್”' ಆಗಿ ಹೊಂದಿಸಬೇಕು. file ವರದಿಗಳ ಟ್ಯಾಬ್‌ನಲ್ಲಿರುವ ವಿಷಯಗಳು.
ಪರ್ಯಾಯವಾಗಿ, ನೀವು ವೈಯಕ್ತಿಕ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಯನ್ನು ಪ್ರವೇಶಿಸಬಹುದು fileಉತ್ಪಾದಿಸಿದ ಪ್ರತಿಯೊಂದು ಕೋರ್ ಘಟಕ ಅಥವಾ ಸ್ಮಾರ್ಟ್‌ಡಿಸೈನ್ ಘಟಕಕ್ಕೆ s /ಘಟಕ/ಕೆಲಸ/ / / _manifest.txt ಅಥವಾ /ಘಟಕ/ಕೆಲಸ/ / _manifest.txt. ನೀವು ಮ್ಯಾನಿಫೆಸ್ಟ್ ಅನ್ನು ಸಹ ಪ್ರವೇಶಿಸಬಹುದು file ಲಿಬೆರೊದಲ್ಲಿನ ಹೊಸ ಘಟಕಗಳ ಟ್ಯಾಬ್‌ನಿಂದ ರಚಿಸಲಾದ ಪ್ರತಿಯೊಂದು ಘಟಕದ ವಿಷಯಗಳು, ಅಲ್ಲಿ file ಯೋಜನಾ ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ಸ್ಥಳಗಳನ್ನು ಉಲ್ಲೇಖಿಸಲಾಗಿದೆ.ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಲಿಬೆರೊ ರಿಪೋರ್ಟ್ಸ್ ಟ್ಯಾಬ್ಈ ಕೆಳಗಿನ ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಗಳ ಮೇಲೆ ಕೇಂದ್ರೀಕರಿಸಿ:

  • ನೀವು ಕೋರ್‌ಗಳನ್ನು ಸ್ಮಾರ್ಟ್‌ಡಿಸೈನ್‌ಗೆ ನಿದರ್ಶನಗೊಳಿಸಿದ್ದರೆ, ಓದಿ file _ಮ್ಯಾನಿಫೆಸ್ಟ್.txt.
  • ನೀವು ಕೋರ್‌ಗಳಿಗಾಗಿ ಘಟಕಗಳನ್ನು ರಚಿಸಿದ್ದರೆ, ಓದಿ _ಮ್ಯಾನಿಫೆಸ್ಟ್.txt.

ನಿಮ್ಮ ವಿನ್ಯಾಸಕ್ಕೆ ಅನ್ವಯವಾಗುವ ಎಲ್ಲಾ ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಗಳನ್ನು ನೀವು ಬಳಸಬೇಕು. ಉದಾಹರಣೆಗೆampಹಾಗಾದರೆ, ನಿಮ್ಮ ಯೋಜನೆಯು ಒಂದು ಅಥವಾ ಹೆಚ್ಚಿನ ಕೋರ್ ಘಟಕಗಳನ್ನು ತತ್ಕ್ಷಣೀಕರಿಸಿದ ಸ್ಮಾರ್ಟ್‌ಡಿಸೈನ್ ಅನ್ನು ಹೊಂದಿದ್ದರೆ ಮತ್ತು ನಿಮ್ಮ ಅಂತಿಮ ವಿನ್ಯಾಸದಲ್ಲಿ ಅವೆಲ್ಲವನ್ನೂ ಬಳಸಲು ನೀವು ಉದ್ದೇಶಿಸಿದ್ದರೆ, ನೀವು ಆಯ್ಕೆ ಮಾಡಬೇಕು fileನಿಮ್ಮ ವಿನ್ಯಾಸ ಹರಿವಿನಲ್ಲಿ ಬಳಸಲು ಆ ಎಲ್ಲಾ ಘಟಕಗಳ ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಗಳಲ್ಲಿ ಪಟ್ಟಿ ಮಾಡಲಾಗಿದೆ.
೨.೩ ಮ್ಯಾನಿಫೆಸ್ಟ್ ಅನ್ನು ಅರ್ಥೈಸುವುದು Fileರು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ನೀವು ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ ಅನ್ನು ತೆರೆದಾಗ file, ನೀವು ಮಾರ್ಗಗಳನ್ನು ನೋಡುತ್ತೀರಿ fileನಿಮ್ಮ ಲಿಬೆರೊ ಯೋಜನೆಯಲ್ಲಿ ಗಳು ಮತ್ತು ವಿನ್ಯಾಸ ಹರಿವಿನಲ್ಲಿ ಅವುಗಳನ್ನು ಎಲ್ಲಿ ಬಳಸಬೇಕೆಂಬುದರ ಸೂಚನೆಗಳು. ನೀವು ಈ ಕೆಳಗಿನ ಪ್ರಕಾರಗಳನ್ನು ನೋಡಬಹುದು fileಮ್ಯಾನಿಫೆಸ್ಟ್‌ನಲ್ಲಿ ರು file:

  • HDL ಮೂಲ fileಎಲ್ಲಾ ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳಿಗೆ ಗಳು
  • ಪ್ರಚೋದನೆ fileಎಲ್ಲಾ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳಿಗೆ s
  • ನಿರ್ಬಂಧ files

ಪೋಲಾರ್‌ಫೈರ್ ಕೋರ್ ಘಟಕದ ಘಟಕ ಮ್ಯಾನಿಫೆಸ್ಟ್ ಈ ಕೆಳಗಿನಂತಿದೆ.ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ಪ್ರತಿಯೊಂದು ವಿಧದ file ನಿಮ್ಮ ವಿನ್ಯಾಸ ಹರಿವಿನಲ್ಲಿ ಕೆಳಮುಖವಾಗಿ ಅಗತ್ಯವಿದೆ. ಮುಂದಿನ ವಿಭಾಗಗಳು ಏಕೀಕರಣವನ್ನು ವಿವರಿಸುತ್ತವೆ fileಮ್ಯಾನಿಫೆಸ್ಟ್‌ನಿಂದ ನಿಮ್ಮ ವಿನ್ಯಾಸ ಹರಿವಿಗೆ ರು.

ನಿರ್ಬಂಧ ಉತ್ಪಾದನೆ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಸಂರಚನೆ ಮತ್ತು ಉತ್ಪಾದನೆಯನ್ನು ನಿರ್ವಹಿಸುವಾಗ, SDC/PDC/NDC ನಿರ್ಬಂಧವನ್ನು ಬರೆಯಲು/ಉತ್ಪಾದಿಸಲು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ. fileವಿನ್ಯಾಸವು ಅವುಗಳನ್ನು ಸಂಶ್ಲೇಷಣೆ, ಸ್ಥಳ-ಮತ್ತು-ಮಾರ್ಗ ಮತ್ತು ಪರಿಶೀಲನೆ ಸಮಯ ಪರಿಕರಗಳಿಗೆ ರವಾನಿಸಲು ರು.
ಲಿಬೆರೊ ಪರಿಸರದ ಹೊರಗೆ ಡಿರೈವ್ ಕಾನ್ಸ್ಟ್ರೈಂಟ್ಸ್ ಉಪಯುಕ್ತತೆಯನ್ನು ಬಳಸಿಕೊಂಡು ನಿರ್ಬಂಧಗಳನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ಬರೆಯುವ ಬದಲು ಅವುಗಳನ್ನು ರಚಿಸಿ. ಲಿಬೆರೊ ಪರಿಸರದ ಹೊರಗೆ ಡಿರೈವ್ ಕಾನ್ಸ್ಟ್ರೈಂಟ್ ಉಪಯುಕ್ತತೆಯನ್ನು ಬಳಸಲು, ನೀವು:

  • ಬಳಕೆದಾರ HDL, ಕಾಂಪೊನೆಂಟ್ HDL ಮತ್ತು ಕಾಂಪೊನೆಂಟ್ SDC ನಿರ್ಬಂಧವನ್ನು ಪೂರೈಸಿ files
  • ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ
  • ಪಡೆದ ನಿರ್ಬಂಧವನ್ನು ಎಲ್ಲಿ ರಚಿಸಬೇಕೆಂದು ಸ್ಥಳವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ files

SDC ಘಟಕ ನಿರ್ಬಂಧಗಳು ಈ ಕೆಳಗಿನವುಗಳಲ್ಲಿ ಲಭ್ಯವಿದೆ /ಘಟಕ/ಕೆಲಸ/ / ಘಟಕ ಸಂರಚನೆ ಮತ್ತು ಉತ್ಪಾದನೆಯ ನಂತರ / ಡೈರೆಕ್ಟರಿ.
ನಿಮ್ಮ ವಿನ್ಯಾಸಕ್ಕೆ ನಿರ್ಬಂಧಗಳನ್ನು ಹೇಗೆ ರಚಿಸುವುದು ಎಂಬುದರ ಕುರಿತು ಹೆಚ್ಚಿನ ವಿವರಗಳಿಗಾಗಿ, ಅನುಬಂಧ C—ನಿರ್ಬಂಧಗಳನ್ನು ಪಡೆಯಿರಿ ನೋಡಿ.

ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಸಂಶ್ಲೇಷಿಸುವುದು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಕಸ್ಟಮ್ ಫ್ಲೋನ ಪ್ರಾಥಮಿಕ ವೈಶಿಷ್ಟ್ಯವೆಂದರೆ ನೀವು ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಸಂಶ್ಲೇಷಣೆಯನ್ನು ಬಳಸಲು ಅನುಮತಿಸುವುದು
ಲಿಬೆರೊದ ಹೊರಗಿನ ಉಪಕರಣ. ಕಸ್ಟಮ್ ಹರಿವು ಸಿನೊಪ್ಸಿಸ್ ಸಿನ್‌ಪ್ಲಿಫೈಪ್ರೊ ಬಳಕೆಯನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ. ನಿಮ್ಮ ಸಂಶ್ಲೇಷಿಸಲು
ಯೋಜನೆಯಲ್ಲಿ, ಈ ಕೆಳಗಿನ ವಿಧಾನವನ್ನು ಬಳಸಿ:

  1. ನಿಮ್ಮ ಸಿಂಥೆಸಿಸ್ ಟೂಲ್‌ನಲ್ಲಿ ಹೊಸ ಪ್ರಾಜೆಕ್ಟ್ ಅನ್ನು ರಚಿಸಿ, ನೀವು ರಚಿಸಿದ ಲಿಬೆರೊ ಪ್ರಾಜೆಕ್ಟ್‌ನಂತೆಯೇ ಅದೇ ಸಾಧನ ಕುಟುಂಬ, ಡೈ ಮತ್ತು ಪ್ಯಾಕೇಜ್ ಅನ್ನು ಗುರಿಯಾಗಿಟ್ಟುಕೊಂಡು.
    a. ನಿಮ್ಮ ಸ್ವಂತ RTL ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ fileನೀವು ಸಾಮಾನ್ಯವಾಗಿ ಮಾಡುವಂತೆ.
    ಬಿ. ಸಿಂಥೆಸಿಸ್ ಔಟ್‌ಪುಟ್ ಅನ್ನು ಸ್ಟ್ರಕ್ಚರಲ್ ವೆರಿಲಾಗ್ (.vm) ಎಂದು ಹೊಂದಿಸಿ.
    ಸಲಹೆ: ರಚನಾತ್ಮಕ ಪೋಲಾರ್‌ಫೈರ್‌ನಲ್ಲಿ ವೆರಿಲಾಗ್ (.vm) ಮಾತ್ರ ಬೆಂಬಲಿತ ಸಂಶ್ಲೇಷಣೆ ಔಟ್‌ಪುಟ್ ಸ್ವರೂಪವಾಗಿದೆ.
  2. HDL ಘಟಕವನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ fileನಿಮ್ಮ ಸಿಂಥೆಸಿಸ್ ಯೋಜನೆಗೆ ರು:
    a. ಪ್ರತಿಯೊಂದು ಘಟಕದ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಗೆ: ಪ್ರತಿಯೊಂದಕ್ಕೂ file HDL ಮೂಲದ ಅಡಿಯಲ್ಲಿ fileಎಲ್ಲಾ ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳಿಗೆ, ಆಮದು ಮಾಡಿ file ನಿಮ್ಮ ಸಿಂಥೆಸಿಸ್ ಯೋಜನೆಗೆ.
  3. ಆಮದು ಮಾಡಿ file polarfire_syn_comps.v (Synopsys Synplify ಬಳಸುತ್ತಿದ್ದರೆ) ಇಂದ
    ನಿಮ್ಮ ಸಿಂಥೆಸಿಸ್ ಯೋಜನೆಗೆ ಅನುಸ್ಥಾಪನಾ ಸ್ಥಳ>/ಡೇಟಾ/aPA5M.
  4. ಹಿಂದೆ ರಚಿಸಲಾದ SDC ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ file ಪಡೆದ ನಿರ್ಬಂಧ ಉಪಕರಣದ ಮೂಲಕ (ಅನುಬಂಧ ನೋಡಿ)
    ಎ—ಎಸ್amp(SDC ನಿರ್ಬಂಧಗಳನ್ನು) ಸಂಶ್ಲೇಷಣಾ ಸಾಧನಕ್ಕೆ ಸೇರಿಸಿ. ಈ ನಿರ್ಬಂಧ file ಕಡಿಮೆ ಶ್ರಮ ಮತ್ತು ಕಡಿಮೆ ವಿನ್ಯಾಸ ಪುನರಾವರ್ತನೆಗಳೊಂದಿಗೆ ಸಮಯದ ಮುಚ್ಚುವಿಕೆಯನ್ನು ಸಾಧಿಸಲು ಸಂಶ್ಲೇಷಣೆ ಉಪಕರಣವನ್ನು ನಿರ್ಬಂಧಿಸುತ್ತದೆ.

ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ ಪ್ರಮುಖ: 

  • ನೀವು ಅದೇ *.sdc ಅನ್ನು ಬಳಸಲು ಯೋಜಿಸುತ್ತಿದ್ದರೆ file ವಿನ್ಯಾಸ ಅನುಷ್ಠಾನ ಹಂತದಲ್ಲಿ ಪ್ಲೇಸ್-ಅಂಡ್-ರೂಟ್ ಅನ್ನು ನಿರ್ಬಂಧಿಸಲು, ನೀವು ಈ *.sdc ಅನ್ನು ಸಿಂಥೆಸಿಸ್ ಯೋಜನೆಗೆ ಆಮದು ಮಾಡಿಕೊಳ್ಳಬೇಕು. ವಿನ್ಯಾಸ ಪ್ರಕ್ರಿಯೆಯ ಅನುಷ್ಠಾನ ಹಂತದಲ್ಲಿ ಸಂಶ್ಲೇಷಿತ ನೆಟ್‌ಲಿಸ್ಟ್ ಮತ್ತು ಪ್ಲೇಸ್-ಅಂಡ್-ರೂಟ್ ನಿರ್ಬಂಧಗಳಲ್ಲಿ ಯಾವುದೇ ವಿನ್ಯಾಸ ವಸ್ತುವಿನ ಹೆಸರಿನ ಹೊಂದಾಣಿಕೆಯಿಲ್ಲ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಲು ಇದು. ನೀವು ಈ *.sdc ಅನ್ನು ಸೇರಿಸದಿದ್ದರೆ file ಸಿಂಥೆಸಿಸ್ ಹಂತದಲ್ಲಿ, ಸಿಂಥೆಸಿಸ್‌ನಿಂದ ಉತ್ಪತ್ತಿಯಾಗುವ ನೆಟ್‌ಲಿಸ್ಟ್, ವಿನ್ಯಾಸ ವಸ್ತುವಿನ ಹೆಸರು ಹೊಂದಿಕೆಯಾಗದ ಕಾರಣ ಸ್ಥಳ ಮತ್ತು ಮಾರ್ಗ ಹಂತವನ್ನು ವಿಫಲಗೊಳಿಸಬಹುದು.
    a. ನೆಟ್‌ಲಿಸ್ಟ್ ಗುಣಲಕ್ಷಣಗಳು *.ndc, ಯಾವುದಾದರೂ ಇದ್ದರೆ, ಸಿಂಥೆಸಿಸ್ ಟೂಲ್‌ಗೆ ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ.
    ಬಿ. ಸಿಂಥೆಸಿಸ್ ಅನ್ನು ರನ್ ಮಾಡಿ.
  • ನಿಮ್ಮ ಸಿಂಥೆಸಿಸ್ ಟೂಲ್ ಔಟ್‌ಪುಟ್‌ನ ಸ್ಥಳವು *.vm ನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ಹೊಂದಿದೆ. file ಸಂಶ್ಲೇಷಣೆಯ ನಂತರ ರಚಿಸಲಾಗಿದೆ. ವಿನ್ಯಾಸ ಪ್ರಕ್ರಿಯೆಯನ್ನು ಮುಂದುವರಿಸಲು ನೀವು ಲಿಬರೋ ಇಂಪ್ಲಿಮೆಂಟೇಶನ್ ಪ್ರಾಜೆಕ್ಟ್‌ಗೆ ನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಬೇಕು.

ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸುವುದು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಲಿಬೆರೊದ ಹೊರಗೆ ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸಲು (ಅಂದರೆ, ನಿಮ್ಮ ಸ್ವಂತ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರ ಮತ್ತು ಸಿಮ್ಯುಲೇಟರ್ ಬಳಸಿ), ಈ ಕೆಳಗಿನ ಹಂತಗಳನ್ನು ಮಾಡಿ:

  1. ವಿನ್ಯಾಸ Files:
    a. ಪೂರ್ವ-ಸಂಶ್ಲೇಷಣೆ ಸಿಮ್ಯುಲೇಶನ್:
    • ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಯೋಜನೆಗೆ ನಿಮ್ಮ RTL ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ.
    • ಪ್ರತಿಯೊಂದು ಘಟಕ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಗೆ.
    - ಪ್ರತಿಯೊಂದನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ file HDL ಮೂಲದ ಅಡಿಯಲ್ಲಿ fileನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಯೋಜನೆಯಲ್ಲಿ ಎಲ್ಲಾ ಸಿಂಥೆಸಿಸ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳಿಗೆ ರು.
    • ಇವುಗಳನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ fileನಿಮ್ಮ ಸಿಮ್ಯುಲೇಟರ್‌ನ ಸೂಚನೆಗಳ ಪ್ರಕಾರ.
    ಬಿ. ಸಂಶ್ಲೇಷಣೆಯ ನಂತರದ ಸಿಮ್ಯುಲೇಶನ್:
    • ನಿಮ್ಮ ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ *.vm ನೆಟ್‌ಲಿಸ್ಟ್ (ಸಿಂಥೆಸೈಸಿಂಗ್ ಯುವರ್ ಡಿಸೈನ್‌ನಲ್ಲಿ ರಚಿಸಲಾಗಿದೆ) ಅನ್ನು ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಯೋಜನೆಗೆ ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ ಮತ್ತು ಅದನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ.
    ಸಿ. ಪೋಸ್ಟ್-ಲೇಔಟ್ ಸಿಮ್ಯುಲೇಶನ್:
    • ಮೊದಲು, ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುವುದನ್ನು ಪೂರ್ಣಗೊಳಿಸಿ (ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುವುದನ್ನು ನೋಡಿ). ನಿಮ್ಮ ಅಂತಿಮ ಲಿಬೆರೊ ಯೋಜನೆಯು ವಿನ್ಯಾಸದ ನಂತರದ ಸ್ಥಿತಿಯಲ್ಲಿದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ.
    • Generate BackAnnotated ಅನ್ನು ಡಬಲ್-ಕ್ಲಿಕ್ ಮಾಡಿ Fileಲಿಬೆರೊ ಡಿಸೈನ್ ಫ್ಲೋ ವಿಂಡೋದಲ್ಲಿ ರು. ಇದು ಎರಡು ಉತ್ಪಾದಿಸುತ್ತದೆ files:
    /ವಿನ್ಯಾಸಕ/ / _ಬಾ.ವಿ/ವಿಎಚ್‌ಡಿ /ವಿನ್ಯಾಸಕ/
    / _ಬಾ.ಎಸ್ಡಿಎಫ್
    • ಇವೆರಡನ್ನೂ ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ fileನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಟೂಲ್‌ಗೆ ರು.
  2. ಪ್ರಚೋದನೆ ಮತ್ತು ಸಂರಚನೆ files:
    a. ಪ್ರತಿಯೊಂದು ಕಾಂಪೊನೆಂಟ್ ಮ್ಯಾನಿಫೆಸ್ಟ್ ವರದಿಗೆ:
    • ಎಲ್ಲವನ್ನೂ ನಕಲಿಸಿ fileಪ್ರಚೋದನೆಯ ಅಡಿಯಲ್ಲಿ Fileನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಯೋಜನೆಯ ಮೂಲ ಡೈರೆಕ್ಟರಿಗೆ ಎಲ್ಲಾ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಕರಗಳ ವಿಭಾಗಗಳಿಗೆ s.
    ಬಿ. ಯಾವುದೇ Tcl ಅನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ fileಹಿಂದಿನ ಪಟ್ಟಿಗಳಲ್ಲಿನ (ಹಂತ 2.a ನಲ್ಲಿ) s ಗಳನ್ನು ಸಿಮ್ಯುಲೇಶನ್ ಪ್ರಾರಂಭವಾಗುವ ಮೊದಲು ಮೊದಲು ಕಾರ್ಯಗತಗೊಳಿಸಲಾಗುತ್ತದೆ.
    c. UPROM.mem: ನೀವು ಸಿಮ್ಯುಲೇಟ್ ಮಾಡಲು ಬಯಸುವ ಒಂದು ಅಥವಾ ಹೆಚ್ಚಿನ ಡೇಟಾ ಸ್ಟೋರೇಜ್ ಕ್ಲೈಂಟ್‌ಗಳಿಗೆ ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ವಿಷಯವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಎಂಬ ಆಯ್ಕೆಯೊಂದಿಗೆ ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿ UPROM ಕೋರ್ ಅನ್ನು ಬಳಸಿದರೆ, UPROM.mem ಅನ್ನು ರಚಿಸಲು ನೀವು ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ pa4rtupromgen (ವಿಂಡೋಸ್‌ನಲ್ಲಿ pa4rtupromgen.exe) ಅನ್ನು ಬಳಸಬೇಕು. file. pa4rtupromgen ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ ಫೈಲ್ UPROM.cfg ಅನ್ನು ತೆಗೆದುಕೊಳ್ಳುತ್ತದೆ. file Tcl ಸ್ಕ್ರಿಪ್ಟ್ ಮೂಲಕ ಇನ್‌ಪುಟ್‌ಗಳಾಗಿ file ಮತ್ತು UPROM.mem ಅನ್ನು ಔಟ್‌ಪುಟ್ ಮಾಡುತ್ತದೆ file ಸಿಮ್ಯುಲೇಶನ್‌ಗಳಿಗೆ ಅಗತ್ಯವಿದೆ. ಈ UPROM.mem file ಸಿಮ್ಯುಲೇಶನ್ ರನ್ ಮಾಡುವ ಮೊದಲು ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್‌ಗೆ ನಕಲಿಸಬೇಕು. ಉದಾ.amppa4rtupromgen ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ ಬಳಕೆಯನ್ನು ತೋರಿಸುವ ಹಂತವನ್ನು ಈ ಕೆಳಗಿನ ಹಂತಗಳಲ್ಲಿ ನೀಡಲಾಗಿದೆ. file ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ಲಭ್ಯವಿದೆ. /ಘಟಕ/ಕೆಲಸ/ / ನೀವು UPROM ಘಟಕವನ್ನು ಉತ್ಪಾದಿಸಲು ಬಳಸಿದ ಲಿಬೆರೋ ಯೋಜನೆಯಲ್ಲಿ.
    d. snvm.mem: ನಿಮ್ಮ ವಿನ್ಯಾಸದಲ್ಲಿ ನೀವು ಸಿಸ್ಟಮ್ ಸರ್ವೀಸಸ್ ಕೋರ್ ಅನ್ನು ಬಳಸಿದರೆ ಮತ್ತು ನೀವು ಸಿಮ್ಯುಲೇಟ್ ಮಾಡಲು ಬಯಸುವ ಒಂದು ಅಥವಾ ಹೆಚ್ಚಿನ ಕ್ಲೈಂಟ್‌ಗಳಿಗೆ ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ವಿಷಯವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಎಂಬ ಆಯ್ಕೆಯೊಂದಿಗೆ ಕೋರ್‌ನಲ್ಲಿ sNVM ಟ್ಯಾಬ್ ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಿದರೆ, snvm.mem file ಸ್ವಯಂಚಾಲಿತವಾಗಿ ರಚಿಸಲಾಗಿದೆ
    ಡೈರೆಕ್ಟರಿ /ಘಟಕ/ಕೆಲಸ/ / ನೀವು ಸಿಸ್ಟಮ್ ಸರ್ವೀಸಸ್ ಘಟಕವನ್ನು ಉತ್ಪಾದಿಸಲು ಬಳಸಿದ ಲಿಬೆರೊ ಯೋಜನೆಯಲ್ಲಿ. ಈ snvm.mem file ಸಿಮ್ಯುಲೇಶನ್ ರನ್ ಮಾಡುವ ಮೊದಲು ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್‌ಗೆ ನಕಲಿಸಬೇಕು.
  3. ಕೆಲಸ ಮಾಡುವ ಫೋಲ್ಡರ್ ಅಡಿಯಲ್ಲಿ ಒಂದು ಕೆಲಸ ಮಾಡುವ ಫೋಲ್ಡರ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಹೆಸರಿನ ಉಪ-ಫೋಲ್ಡರ್ ಅನ್ನು ರಚಿಸಿ.
    pa4rtupromgen ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ ಫೋಲ್ಡರ್‌ನಲ್ಲಿ ಸಿಮ್ಯುಲೇಶನ್ ಸಬ್ ಫೋಲ್ಡರ್ ಇರುವಿಕೆಯನ್ನು ನಿರೀಕ್ಷಿಸುತ್ತದೆ ಮತ್ತು *.tcl ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಸಿಮ್ಯುಲೇಶನ್ ಸಬ್ ಫೋಲ್ಡರ್‌ನಲ್ಲಿ ಇರಿಸಲಾಗುತ್ತದೆ.
  4. UPROM.cfg ಅನ್ನು ನಕಲಿಸಿ file ಕೆಲಸ ಮಾಡುವ ಫೋಲ್ಡರ್‌ಗೆ ಘಟಕ ಉತ್ಪಾದನೆಗಾಗಿ ರಚಿಸಲಾದ ಮೊದಲ ಲಿಬೆರೊ ಯೋಜನೆಯಿಂದ.
  5. ಕೆಳಗಿನ ಆಜ್ಞೆಗಳನ್ನು *.tcl ಸ್ಕ್ರಿಪ್ಟ್‌ನಲ್ಲಿ ಅಂಟಿಸಿ ಮತ್ತು ಹಂತ 3 ರಲ್ಲಿ ರಚಿಸಲಾದ ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್‌ನಲ್ಲಿ ಇರಿಸಿ.
    SampURPOM.mem ಅನ್ನು ಉತ್ಪಾದಿಸಲು PolarFire ಮತ್ತು PolarFire Soc ಕುಟುಂಬ ಸಾಧನಗಳಿಗೆ le *.tcl file
    UPROM.cfg ನಿಂದ
    ಸೆಟ್_ಸಾಧನ -ಫ್ಯಾಮ್ -ಸಾಯಿರಿ -ಪೆಕಿಜಿ
    ಸೆಟ್_ಇನ್‌ಪುಟ್_ಸಿಎಫ್‌ಜಿ -ಮಾರ್ಗ
    ಸೆಟ್_ಸಿಮ್_ಮೆಮ್ -ಪಾತ್File/UPROM.mem> ನಲ್ಲಿ
    gen_sim -use_init ತಪ್ಪು
    ಡೈ ಮತ್ತು ಪ್ಯಾಕೇಜ್‌ಗೆ ಬಳಸಲು ಸರಿಯಾದ ಆಂತರಿಕ ಹೆಸರಿಗಾಗಿ, *.prjx ನೋಡಿ file ಮೊದಲ ಲಿಬೆರೊ ಯೋಜನೆಯ (ಘಟಕ ಉತ್ಪಾದನೆಗೆ ಬಳಸಲಾಗುತ್ತದೆ).
    use_init ಆರ್ಗ್ಯುಮೆಂಟ್ ಅನ್ನು ತಪ್ಪು ಎಂದು ಹೊಂದಿಸಬೇಕು.
    ಔಟ್‌ಪುಟ್‌ಗೆ ಮಾರ್ಗವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು set_sim_mem ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ. file UPROM.mem ಅದು
    ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಿದ ನಂತರ ರಚಿಸಲಾಗಿದೆ file pa4rtupromgen ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ ಜೊತೆಗೆ.
  6. ಕಮಾಂಡ್ ಪ್ರಾಂಪ್ಟ್ ಅಥವಾ ಸಿಗ್ವಿನ್ ಟರ್ಮಿನಲ್‌ನಲ್ಲಿ, ಹಂತ 3 ರಲ್ಲಿ ರಚಿಸಲಾದ ವರ್ಕಿಂಗ್ ಡೈರೆಕ್ಟರಿಗೆ ಹೋಗಿ.
    –script ಆಯ್ಕೆಯೊಂದಿಗೆ pa4rtupromgen ಆಜ್ಞೆಯನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಿ ಮತ್ತು ಹಿಂದಿನ ಹಂತದಲ್ಲಿ ರಚಿಸಲಾದ *.tcl ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಅದಕ್ಕೆ ರವಾನಿಸಿ.
    ವಿಂಡೋಸ್‌ಗಾಗಿ
    /designer/bin/pa4rtupromgen.exe \
    –ಸ್ಕ್ರಿಪ್ಟ್./ಸಿಮ್ಯುಲೇಶನ್/ .ಟಿಸಿಎಲ್
    Linux ಗಾಗಿ:
    /ಬಿನ್/pa4rtupromgen
    –ಸ್ಕ್ರಿಪ್ಟ್./ಸಿಮ್ಯುಲೇಶನ್/ .ಟಿಸಿಎಲ್
  7. pa4rtupromgen ಕಾರ್ಯಗತಗೊಳಿಸಬಹುದಾದ ಯಶಸ್ವಿಯಾಗಿ ಕಾರ್ಯಗತಗೊಳಿಸಿದ ನಂತರ, UPROM.mem ಅನ್ನು ಪರಿಶೀಲಿಸಿ file *.tcl ಸ್ಕ್ರಿಪ್ಟ್‌ನಲ್ಲಿ set_sim_mem ಆಜ್ಞೆಯಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಸ್ಥಳದಲ್ಲಿ ರಚಿಸಲಾಗುತ್ತದೆ.
  8. sNVM ಅನ್ನು ಸಿಮ್ಯುಲೇಟ್ ಮಾಡಲು, snvm.mem ಅನ್ನು ನಕಲಿಸಿ file ನಿಮ್ಮ ಮೊದಲ ಲಿಬೆರೊ ಪ್ರಾಜೆಕ್ಟ್‌ನಿಂದ (ಘಟಕ ಸಂರಚನೆಗಾಗಿ ಬಳಸಲಾಗುತ್ತದೆ) ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಪ್ರಾಜೆಕ್ಟ್‌ನ ಉನ್ನತ ಮಟ್ಟದ ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್‌ಗೆ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ರನ್ ಮಾಡಲು (ಲಿಬೆರೊ SoC ಹೊರಗೆ). UPROM ವಿಷಯಗಳನ್ನು ಸಿಮ್ಯುಲೇಟ್ ಮಾಡಲು, ರಚಿಸಲಾದ UPROM.mem ಅನ್ನು ನಕಲಿಸಿ. file ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಯೋಜನೆಯ ಉನ್ನತ ಮಟ್ಟದ ಸಿಮ್ಯುಲೇಶನ್ ಫೋಲ್ಡರ್‌ಗೆ (ಲಿಬೆರೊ SoC ಹೊರಗೆ).

ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ ಮುಖ್ಯ: ಗೆ SoC ಘಟಕಗಳ ಕಾರ್ಯವನ್ನು ಅನುಕರಿಸಲು, ಪೂರ್ವ-ಸಂಕಲಿತ ಪೋಲಾರ್‌ಫೈರ್ ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳನ್ನು ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ ಮತ್ತು ಇಲ್ಲಿ ವಿವರಿಸಿದಂತೆ ಅವುಗಳನ್ನು ನಿಮ್ಮ ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರಕ್ಕೆ ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ. ಹೆಚ್ಚಿನ ವಿವರಗಳಿಗಾಗಿ, ಅನುಬಂಧ B—ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರಕ್ಕೆ ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳುವುದನ್ನು ನೋಡಿ.

ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುವುದು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ನಿಮ್ಮ ಪರಿಸರದಲ್ಲಿ ಸಿಂಥೆಸಿಸ್ ಮತ್ತು ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಪೂರ್ಣಗೊಳಿಸಿದ ನಂತರ, ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಭೌತಿಕವಾಗಿ ಕಾರ್ಯಗತಗೊಳಿಸಲು, ಸಮಯ ಮತ್ತು ವಿದ್ಯುತ್ ವಿಶ್ಲೇಷಣೆಯನ್ನು ಚಲಾಯಿಸಲು ಮತ್ತು ನಿಮ್ಮ ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ರಚಿಸಲು ನೀವು ಮತ್ತೆ ಲಿಬೆರೊವನ್ನು ಬಳಸಬೇಕು. file.

  1. ವಿನ್ಯಾಸದ ಭೌತಿಕ ಅನುಷ್ಠಾನ ಮತ್ತು ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಹೊಸ ಲಿಬೆರೊ ಯೋಜನೆಯನ್ನು ರಚಿಸಿ. ನೀವು ಕಾಂಪೊನೆಂಟ್ ಕಾನ್ಫಿಗರೇಶನ್‌ನಲ್ಲಿ ರಚಿಸಿದ ಉಲ್ಲೇಖ ಯೋಜನೆಯಲ್ಲಿರುವಂತೆಯೇ ಅದೇ ಸಾಧನವನ್ನು ಗುರಿಯಾಗಿರಿಸಿಕೊಳ್ಳುವುದನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ.
  2. ಪ್ರಾಜೆಕ್ಟ್ ರಚನೆಯ ನಂತರ, ಡಿಸೈನ್ ಫ್ಲೋ ವಿಂಡೋದಲ್ಲಿ ಟೂಲ್ ಸರಪಳಿಯಿಂದ ಸಿಂಥೆಸಿಸ್ ಅನ್ನು ತೆಗೆದುಹಾಕಿ (ಪ್ರಾಜೆಕ್ಟ್ > ಪ್ರಾಜೆಕ್ಟ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳು > ಡಿಸೈನ್ ಫ್ಲೋ > ಸಿಂಥೆಸಿಸ್ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ ಎಂಬುದನ್ನು ಗುರುತಿಸಬೇಡಿ).
  3.  ನಿಮ್ಮ ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ *.vm ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ file ಈ ಯೋಜನೆಯಲ್ಲಿ, (File > ಆಮದು > ಸಂಶ್ಲೇಷಿತ ವೆರಿಲಾಗ್ ನೆಟ್‌ಲಿಸ್ಟ್ (VM)).
    ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ 1 ಸಲಹೆ: ಇದಕ್ಕೆ ಲಿಂಕ್ ಅನ್ನು ರಚಿಸಲು ಶಿಫಾರಸು ಮಾಡಲಾಗಿದೆ file, ಆದ್ದರಿಂದ ನೀವು ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ಮರುಸಂಶ್ಲೇಷಿಸಿದರೆ, ಲಿಬೆರೊ ಯಾವಾಗಲೂ ಇತ್ತೀಚಿನ ಪೋಸ್ಟ್-ಸಿಂಥೆಸಿಸ್ ನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ಬಳಸುತ್ತದೆ.
    a. ವಿನ್ಯಾಸ ಶ್ರೇಣಿ ವಿಂಡೋದಲ್ಲಿ, ರೂಟ್ ಮಾಡ್ಯೂಲ್ ಹೆಸರನ್ನು ಗಮನಿಸಿ.ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ವಿನ್ಯಾಸ ಶ್ರೇಣಿ
  4. ಲಿಬೆರೊ ಯೋಜನೆಗೆ ನಿರ್ಬಂಧಗಳನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ. *.pdc/*.sdc/*.ndc ನಿರ್ಬಂಧಗಳನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಲು ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕವನ್ನು ಬಳಸಿ.
    a. ಆಮದು I/O *.pdc ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > I/O ಗುಣಲಕ್ಷಣಗಳು >ಆಮದು).
    ಬಿ. ಆಮದು ನೆಲಹಾಸು ಯೋಜನೆ *.pdc ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > ಮಹಡಿ ಯೋಜಕ >ಆಮದು).
    ಸಿ. ಆಮದು *.sdc ಸಮಯ ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > ಸಮಯ > ಆಮದು). ನಿಮ್ಮ ವಿನ್ಯಾಸವು ಓವರ್‌ನಲ್ಲಿ ಪಟ್ಟಿ ಮಾಡಲಾದ ಯಾವುದೇ ಕೋರ್‌ಗಳನ್ನು ಹೊಂದಿದ್ದರೆview, SDC ಯನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳುವುದನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ file ಡಿರೈವ್ ಕಂಸ್ಟ್ರೈಂಟ್ ಟೂಲ್ ಮೂಲಕ ಉತ್ಪಾದಿಸಲಾಗಿದೆ.
    d. ಆಮದು *.ndc ನಿರ್ಬಂಧ files (ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕ > ನೆಟ್‌ಲಿಸ್ಟ್ ಗುಣಲಕ್ಷಣಗಳು > ಆಮದು).
  5. ಸಹವರ್ತಿ ನಿರ್ಬಂಧಗಳು Fileಉಪಕರಣಗಳನ್ನು ವಿನ್ಯಾಸಗೊಳಿಸಲು.
    a. ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕವನ್ನು ತೆರೆಯಿರಿ (ನಿರ್ಬಂಧಗಳನ್ನು ನಿರ್ವಹಿಸಿ > ನಿರ್ಬಂಧಗಳನ್ನು ನಿರ್ವಹಿಸಿ ತೆರೆಯಿರಿ View).
    ನಿರ್ಬಂಧದ ಪಕ್ಕದಲ್ಲಿರುವ ಸ್ಥಳ-ಮತ್ತು-ಮಾರ್ಗ ಮತ್ತು ಸಮಯ ಪರಿಶೀಲನೆ ಚೆಕ್ ಬಾಕ್ಸ್ ಅನ್ನು ಪರಿಶೀಲಿಸಿ. file ನಿರ್ಬಂಧವನ್ನು ಸ್ಥಾಪಿಸಲು file ಮತ್ತು ಪರಿಕರ ಸಂಯೋಜನೆ. *.pdc ನಿರ್ಬಂಧವನ್ನು Place-andRoute ಗೆ ಮತ್ತು *.sdc ಅನ್ನು Place-and-Route ಮತ್ತು Timing Verification ಎರಡಕ್ಕೂ ಸಂಯೋಜಿಸಿ. *.ndc ಅನ್ನು ಸಂಯೋಜಿಸಿ. file ನೆಟ್‌ಲಿಸ್ಟ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು.
    ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ 1 ಸಲಹೆ: ಒಂದು ವೇಳೆ ಈ *.sdc ನಿರ್ಬಂಧದೊಂದಿಗೆ ಸ್ಥಳ ಮತ್ತು ಮಾರ್ಗ ವಿಫಲಗೊಳ್ಳುತ್ತದೆ. file, ನಂತರ ಇದೇ *.sdc ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ file ಸಂಶ್ಲೇಷಣೆ ಮತ್ತು ಸಂಶ್ಲೇಷಣೆಯನ್ನು ಪುನಃ ಚಲಾಯಿಸಲು.
  6. ಲೇಔಟ್ ಹಂತವನ್ನು ಪೂರ್ಣಗೊಳಿಸಲು ಕಂಪೈಲ್ ನೆಟ್‌ಲಿಸ್ಟ್ ಮೇಲೆ ಕ್ಲಿಕ್ ಮಾಡಿ ಮತ್ತು ನಂತರ ಪ್ಲೇಸ್ ಮತ್ತು ರೂಟ್ ಮೇಲೆ ಕ್ಲಿಕ್ ಮಾಡಿ.
  7. ಕಾನ್ಫಿಗರ್ ಡಿಸೈನ್ ಇನಿಶಿಯಲೈಸೇಶನ್ ಡೇಟಾ ಮತ್ತು ಮೆಮೊರೀಸ್ ಉಪಕರಣವು, ಬಾಷ್ಪಶೀಲವಲ್ಲದ µPROM, sNVM, ಅಥವಾ ಬಾಹ್ಯ SPI ಫ್ಲ್ಯಾಶ್ ಸ್ಟೋರೇಜ್ ಮೆಮೊರಿಯಲ್ಲಿ ಸಂಗ್ರಹವಾಗಿರುವ ಡೇಟಾವನ್ನು ಬಳಸಿಕೊಂಡು LSRAM, µSRAM, XCVR (ಟ್ರಾನ್ಸ್‌ಸಿವರ್‌ಗಳು) ಮತ್ತು PCIe ನಂತಹ ವಿನ್ಯಾಸ ಬ್ಲಾಕ್‌ಗಳನ್ನು ಪ್ರಾರಂಭಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ. ವಿನ್ಯಾಸ ಇನಿಶಿಯಲೈಸೇಶನ್ ಅನುಕ್ರಮದ ನಿರ್ದಿಷ್ಟತೆ, ಇನಿಶಿಯಲೈಸೇಶನ್ ಕ್ಲೈಂಟ್‌ಗಳ ನಿರ್ದಿಷ್ಟತೆ, ಬಳಕೆದಾರ ಡೇಟಾ ಕ್ಲೈಂಟ್‌ಗಳನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲು ಉಪಕರಣವು ಈ ಕೆಳಗಿನ ಟ್ಯಾಬ್‌ಗಳನ್ನು ಹೊಂದಿದೆ.
    – ವಿನ್ಯಾಸ ಪ್ರಾರಂಭಿಕ ಟ್ಯಾಬ್
    – µPROM ಟ್ಯಾಬ್
    – sNVM ಟ್ಯಾಬ್
    – SPI ಫ್ಲ್ಯಾಶ್ ಟ್ಯಾಬ್
    – ಫ್ಯಾಬ್ರಿಕ್ RAM ಗಳ ಟ್ಯಾಬ್
    ವಿನ್ಯಾಸ ಪ್ರಾರಂಭಿಕ ಡೇಟಾ ಮತ್ತು ನೆನಪುಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಉಪಕರಣದಲ್ಲಿರುವ ಟ್ಯಾಬ್‌ಗಳನ್ನು ಬಳಸಿ.ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಡೇಟಾ ಮತ್ತು ಮೆಮೊರಿಗಳುಸಂರಚನೆಯನ್ನು ಪೂರ್ಣಗೊಳಿಸಿದ ನಂತರ, ಪ್ರಾರಂಭಿಕ ಡೇಟಾವನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಈ ಕೆಳಗಿನ ಹಂತಗಳನ್ನು ಮಾಡಿ:
    • ಇನಿಶಿಯಲೈಸೇಶನ್ ಕ್ಲೈಂಟ್‌ಗಳನ್ನು ರಚಿಸಿ
    • ಬಿಟ್‌ಸ್ಟ್ರೀಮ್ ಅನ್ನು ರಚಿಸಿ ಅಥವಾ ರಫ್ತು ಮಾಡಿ
    • ಸಾಧನವನ್ನು ಪ್ರೋಗ್ರಾಮ್ ಮಾಡಿ
    ಈ ಉಪಕರಣವನ್ನು ಹೇಗೆ ಬಳಸುವುದು ಎಂಬುದರ ಕುರಿತು ವಿವರವಾದ ಮಾಹಿತಿಗಾಗಿ, ಲಿಬೆರೊ SoC ವಿನ್ಯಾಸ ಹರಿವಿನ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯನ್ನು ನೋಡಿ. ಉಪಕರಣದಲ್ಲಿ ವಿವಿಧ ಟ್ಯಾಬ್‌ಗಳನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಮತ್ತು ಮೆಮೊರಿ ಸಂರಚನೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಬಳಸುವ Tcl ಆಜ್ಞೆಗಳ ಕುರಿತು ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ. files (*.cfg), ನೋಡಿ Tcl ಆಜ್ಞೆಗಳ ಉಲ್ಲೇಖ ಮಾರ್ಗದರ್ಶಿ.
  8. ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ರಚಿಸಿ File ಈ ಯೋಜನೆಯಿಂದ ಮತ್ತು ನಿಮ್ಮ FPGA ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಇದನ್ನು ಬಳಸಿ.

ಅನುಬಂಧ A—SampSDC ನಿರ್ಬಂಧಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ

ಲಿಬೆರೊ SoC, CCC, OSC, ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಮುಂತಾದ ಕೆಲವು IP ಕೋರ್‌ಗಳಿಗೆ SDC ಸಮಯ ನಿರ್ಬಂಧಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ. SDC ನಿರ್ಬಂಧಗಳನ್ನು ವಿನ್ಯಾಸ ಪರಿಕರಗಳಿಗೆ ರವಾನಿಸುವುದರಿಂದ ಕಡಿಮೆ ಪ್ರಯತ್ನ ಮತ್ತು ಕಡಿಮೆ ವಿನ್ಯಾಸ ಪುನರಾವರ್ತನೆಗಳೊಂದಿಗೆ ಸಮಯದ ಮುಚ್ಚುವಿಕೆಯನ್ನು ಪೂರೈಸುವ ಅವಕಾಶವನ್ನು ಹೆಚ್ಚಿಸುತ್ತದೆ. ನಿರ್ಬಂಧಗಳಲ್ಲಿ ಉಲ್ಲೇಖಿಸಲಾದ ಎಲ್ಲಾ ವಿನ್ಯಾಸ ವಸ್ತುಗಳಿಗೆ ಉನ್ನತ ಮಟ್ಟದ ನಿದರ್ಶನದಿಂದ ಪೂರ್ಣ ಶ್ರೇಣೀಕೃತ ಮಾರ್ಗವನ್ನು ನೀಡಲಾಗಿದೆ.
7.1 SDC ಸಮಯ ನಿರ್ಬಂಧಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಲಿಬೆರೊ ಐಪಿ ಕೋರ್ ಉಲ್ಲೇಖ ಯೋಜನೆಯಲ್ಲಿ, ಈ ಉನ್ನತ ಮಟ್ಟದ SDC ನಿರ್ಬಂಧ file ನಿರ್ಬಂಧ ವ್ಯವಸ್ಥಾಪಕರಿಂದ ಲಭ್ಯವಿದೆ (ವಿನ್ಯಾಸ ಹರಿವು > ಮುಕ್ತ ನಿರ್ವಹಣಾ ನಿರ್ಬಂಧ View >ಸಮಯ > ವ್ಯುತ್ಪನ್ನ ನಿರ್ಬಂಧಗಳು).
ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ - ಐಕಾನ್ ಪ್ರಮುಖ: ನೋಡಿ ಇದು file ನಿಮ್ಮ ವಿನ್ಯಾಸವು CCC, OSC, ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಮತ್ತು ಇತರ ಘಟಕಗಳನ್ನು ಹೊಂದಿದ್ದರೆ SDC ನಿರ್ಬಂಧಗಳನ್ನು ಹೊಂದಿಸಲು. ಅಗತ್ಯವಿದ್ದರೆ, ನಿಮ್ಮ ವಿನ್ಯಾಸ ಶ್ರೇಣಿಯನ್ನು ಹೊಂದಿಸಲು ಪೂರ್ಣ ಶ್ರೇಣಿ ಮಾರ್ಗವನ್ನು ಮಾರ್ಪಡಿಸಿ ಅಥವಾ ಘಟಕ ಮಟ್ಟದ SDC ಯಲ್ಲಿ ಅನುಬಂಧ C—Derive Constraints ನಲ್ಲಿ Derive_Constraints ಉಪಯುಕ್ತತೆ ಮತ್ತು ಹಂತಗಳನ್ನು ಬಳಸಿ. file.
ಉಳಿಸಿ file ಬೇರೆ ಹೆಸರಿಗೆ ಮತ್ತು SDC ಅನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳಿ file ಯಾವುದೇ ಇತರ SDC ನಿರ್ಬಂಧದಂತೆ, ಸಂಶ್ಲೇಷಣಾ ಪರಿಕರ, ಸ್ಥಳ-ಮತ್ತು-ಮಾರ್ಗ ಪರಿಕರ ಮತ್ತು ಸಮಯ ಪರಿಶೀಲನೆಗಳಿಗೆ files.
7.1.1 ಪಡೆದ SDC File (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
# ಇದು file ಈ ಕೆಳಗಿನ SDC ಮೂಲವನ್ನು ಆಧರಿಸಿ ರಚಿಸಲಾಗಿದೆ files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ಸಿಎಲ್ಕೆ_ಡಿಐವಿ/ಸಿಎಲ್ಕೆ_ಡಿಐವಿ_0/ಸಿಎಲ್ಕೆ_ಡಿಐವಿ_ಸಿಎಲ್ಕೆ_ಡಿಐವಿ_0_ಪಿಎಫ್_ಸಿಎಲ್ಕೆ_ಡಿಐವಿ.ಎಸ್ಡಿಸಿ
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ಟ್ರಾನ್ಸ್‌ಮಿಟ್_ಪಿಎಲ್‌ಎಲ್/ಟ್ರಾನ್ಸ್‌ಮಿಟ್_ಪಿಎಲ್‌ಎಲ್_0/ಟ್ರಾನ್ಸ್‌ಮಿಟ್_ಪಿಎಲ್‌ಎಲ್_ಟ್ರಾನ್ಸ್‌ಮಿಟ್_ಪಿಎಲ್‌ಎಲ್_0_ಪಿಎಫ್_ಟಿಎಕ್ಸ್_ಪಿಎಲ್‌ಎಲ್.ಎಸ್‌ಡಿಸಿ
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ಡಿಎಂಎ_ಇನಿಟಿಐಎಟರ್/ಡಿಎಂಎ_ಇನಿಟಿಐಎಟರ್_0/ಡಿಎಂಎ_ಇನಿಟಿಐಎಆರ್.ಎಸ್‌ಡಿಸಿ
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ಐಸಿಐಸಿಎಲ್ಇ_ಎಂಎಸ್ಎಸ್/ಐಸಿಐಸಿಎಲ್ಇ_ಎಂಎಸ್ಎಸ್.ಎಸ್ಡಿಸಿ
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ಪಿಸಿಐಇ_ಇನಿಟಿಯೇಟರ್/ಪಿಸಿಐಇ_ಇನಿಟಿಯೇಟರ್_0/ಪಿಸಿಐಇ_ಇನಿಟಿಯೇಟರ್.ಎಸ್‌ಡಿಸಿ
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** ಇದಕ್ಕೆ ಯಾವುದೇ ಮಾರ್ಪಾಡುಗಳು file ಪಡೆದ ನಿರ್ಬಂಧಗಳನ್ನು ಪುನಃ ಚಲಾಯಿಸಿದರೆ ಕಳೆದುಹೋಗುತ್ತದೆ. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -ಅವಧಿ 6.25
[ get_pins { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -ಹೆಸರು {REF_CLK_PAD_P} -ಅವಧಿ 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -ಹೆಸರು {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -ಅವಧಿ 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25 ರಿಂದ ಗುಣಿಸಿ -32 ರಿಂದ ಭಾಗಿಸಿ -ಮೂಲ
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ಹಂತ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -25 ರಿಂದ ಗುಣಿಸಿ -32 ರಿಂದ ಭಾಗಿಸಿ -ಮೂಲ
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ಹಂತ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -25 ರಿಂದ ಗುಣಿಸಿ -32 ರಿಂದ ಭಾಗಿಸಿ -ಮೂಲ
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ಹಂತ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -25 ರಿಂದ ಗುಣಿಸಿ -64 ರಿಂದ ಭಾಗಿಸಿ -ಮೂಲ
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ಹಂತ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 ರಿಂದ ಭಾಗಿಸಿ -ಮೂಲ
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -ಗೆ [ ಕೋಶಗಳನ್ನು ಪಡೆಯಿರಿ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] ತಪ್ಪು_ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ -ಇಂದ [ ಕೋಶಗಳನ್ನು ಪಡೆಯಿರಿ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -ಗೆ [ ಕೋಶಗಳನ್ನು ಪಡೆಯಿರಿ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] ತಪ್ಪು_ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ -ಮೂಲಕ [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] ತಪ್ಪು_ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] ತಪ್ಪು_ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ - [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] ತಪ್ಪು_ಮಾರ್ಗವನ್ನು ಹೊಂದಿಸಿ - ಮೂಲಕ [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ] ಅನುಬಂಧ ಬಿ—ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳನ್ನು ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರಕ್ಕೆ ಆಮದು ಮಾಡಿಕೊಳ್ಳುವುದು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ಲಿಬೆರೊ SoC ಯೊಂದಿಗೆ RTL ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ಡೀಫಾಲ್ಟ್ ಸಿಮ್ಯುಲೇಟರ್ ಮಾಡೆಲ್‌ಸಿಮ್ ME ಪ್ರೊ ಆಗಿದೆ.
ಡೀಫಾಲ್ಟ್ ಸಿಮ್ಯುಲೇಟರ್‌ಗಾಗಿ ಪೂರ್ವ-ಸಂಕಲಿಸಿದ ಲೈಬ್ರರಿಗಳು ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ಲಿಬೆರೊ ಸ್ಥಾಪನೆಯೊಂದಿಗೆ ಲಭ್ಯವಿದೆ. /Designer/lib/modelsimpro/precompiled/vlog for® ಬೆಂಬಲಿತ ಕುಟುಂಬಗಳು. ಲಿಬೆರೊ SoC ಮಾಡೆಲ್‌ಸಿಮ್, ಕ್ವೆಸ್ಟಾಸಿಮ್, VCS, Xcelium ನ ಇತರ ಮೂರನೇ ವ್ಯಕ್ತಿಯ ಸಿಮ್ಯುಲೇಟರ್ ಆವೃತ್ತಿಗಳನ್ನು ಸಹ ಬೆಂಬಲಿಸುತ್ತದೆ.
, ಆಕ್ಟಿವ್ HDL, ಮತ್ತು ರಿವೇರಿಯಾ ಪ್ರೊ. ಪೂರ್ವ-ಸಂಕಲಿಸಿದ ಲೈಬ್ರರಿಗಳನ್ನು ನಿಂದ ಡೌನ್‌ಲೋಡ್ ಮಾಡಿ ಲಿಬೆರೊ SoC v12.0 ಮತ್ತು ನಂತರ ಸಿಮ್ಯುಲೇಟರ್ ಮತ್ತು ಅದರ ಆವೃತ್ತಿಯನ್ನು ಆಧರಿಸಿ.
ಲಿಬೆರೊ ಪರಿಸರದಂತೆಯೇ, run.do file ಲಿಬೆರೊದ ಹೊರಗೆ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಚಲಾಯಿಸಲು ರಚಿಸಬೇಕು.
ಸರಳವಾದ run.do ಅನ್ನು ರಚಿಸಿ file ಸಂಕಲನ ಫಲಿತಾಂಶಗಳು, ಗ್ರಂಥಾಲಯ ಮ್ಯಾಪಿಂಗ್, ಸಂಕಲನ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ಗ್ರಂಥಾಲಯವನ್ನು ಸ್ಥಾಪಿಸಲು ಆಜ್ಞೆಗಳನ್ನು ಹೊಂದಿರುವ ಇದು. ಮೂಲ run.do ಅನ್ನು ರಚಿಸಲು ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ. file.

  1. vlib ಆಜ್ಞೆ vlib presynth ಬಳಸಿಕೊಂಡು ಸಂಕಲನ ಫಲಿತಾಂಶಗಳನ್ನು ಸಂಗ್ರಹಿಸಲು ಒಂದು ತಾರ್ಕಿಕ ಗ್ರಂಥಾಲಯವನ್ನು ರಚಿಸಿ.
  2. vmap ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಲಾಜಿಕಲ್ ಲೈಬ್ರರಿ ಹೆಸರನ್ನು ಪೂರ್ವ-ಸಂಕಲಿಸಿದ ಲೈಬ್ರರಿ ಡೈರೆಕ್ಟರಿಗೆ ನಕ್ಷೆ ಮಾಡಿ. .
  3. ಮೂಲವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿ files—ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಭಾಷಾ-ನಿರ್ದಿಷ್ಟ ಕಂಪೈಲರ್ ಆಜ್ಞೆಗಳನ್ನು ಬಳಸಿ fileರು ಕಾರ್ಯನಿರ್ವಹಿಸುವ ಡೈರೆಕ್ಟರಿಗೆ ಲಾಗ್ ಇನ್ ಆಗುತ್ತದೆ.
    – .v/.sv ಗಾಗಿ ವ್ಲಾಗ್
    – .vhd ಗಾಗಿ vcom
  4. ಯಾವುದೇ ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್‌ನ ಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುವ ಮೂಲಕ vsim ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ವಿನ್ಯಾಸವನ್ನು ಲೋಡ್ ಮಾಡಿ.
  5. ರನ್ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ವಿನ್ಯಾಸವನ್ನು ಸಿಮ್ಯುಲೇಷನ್ ಮಾಡಿ.
    ವಿನ್ಯಾಸವನ್ನು ಲೋಡ್ ಮಾಡಿದ ನಂತರ, ಸಿಮ್ಯುಲೇಶನ್ ಸಮಯವನ್ನು ಶೂನ್ಯಕ್ಕೆ ಹೊಂದಿಸಲಾಗುತ್ತದೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಲು ನೀವು ರನ್ ಆಜ್ಞೆಯನ್ನು ನಮೂದಿಸಬಹುದು.
    ಸಿಮ್ಯುಲೇಟರ್ ಟ್ರಾನ್ಸ್‌ಕ್ರಿಪ್ಟ್ ವಿಂಡೋದಲ್ಲಿ, run.do ಅನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಿ file run.do ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ರನ್ ಮಾಡಿ. Sample run.do file ಕೆಳಗಿನಂತೆ.

ACTELLIBNAME PolarFire ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ PROJECT_DIR "W:/Test/basic_test" ಅನ್ನು ಸದ್ದಿಲ್ಲದೆ ಹೊಂದಿಸಿ
{[file presynth/_info ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ]} { echo “ಮಾಹಿತಿ: ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿ presynth ಅಸ್ತಿತ್ವದಲ್ಲಿದೆ” } else
{ file ಅಳಿಸಿ -force presynth vlib presynth } vmap presynth presynth vmap ಪೋಲಾರ್‌ಫೈರ್
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -ವರ್ಕ್ ಪ್ರಿಸಿಂತ್
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -ಕಾರ್ಯ ಪೂರ್ವ-ಸಿಂಥ್ “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L ಪೋಲಾರ್‌ಫೈರ್ -L ಪ್ರಿಸಿಂಥ್ -t 1ps ಪ್ರಿಸಿಂಥ್.tb ಅಲೆಯನ್ನು ಸೇರಿಸಿ /tb/*
1000ns log /tb/* ನಿರ್ಗಮಿಸಿ ರನ್ ಮಾಡಿ

ಅನುಬಂಧ ಸಿ—ಉತ್ಪನ್ನ ನಿರ್ಬಂಧಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಈ ಅನುಬಂಧವು Derive Constraints Tcl ಆಜ್ಞೆಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ.
9.1 ವ್ಯುತ್ಪನ್ನ ನಿರ್ಬಂಧಗಳು Tcl ಆಜ್ಞೆಗಳು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
derive_constraints ಉಪಯುಕ್ತತೆಯು ನಿಮಗೆ RTL ಅಥವಾ Libero SoC ವಿನ್ಯಾಸ ಪರಿಸರದ ಹೊರಗಿನ ಕಾನ್ಫಿಗರೇಟರ್‌ನಿಂದ ನಿರ್ಬಂಧಗಳನ್ನು ಪಡೆಯಲು ಸಹಾಯ ಮಾಡುತ್ತದೆ. ನಿಮ್ಮ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ನಿರ್ಬಂಧಗಳನ್ನು ರಚಿಸಲು, ನಿಮಗೆ ಬಳಕೆದಾರ HDL, ಘಟಕ HDL ಮತ್ತು ಘಟಕ ನಿರ್ಬಂಧಗಳು ಬೇಕಾಗುತ್ತವೆ. files. SDC ಘಟಕ ನಿರ್ಬಂಧಗಳು fileಗಳು ಅಡಿಯಲ್ಲಿ ಲಭ್ಯವಿದೆ /ಘಟಕ/ಕೆಲಸ/ / ಘಟಕ ಸಂರಚನೆ ಮತ್ತು ಉತ್ಪಾದನೆಯ ನಂತರ / ಡೈರೆಕ್ಟರಿ.
ಪ್ರತಿಯೊಂದು ಘಟಕ ನಿರ್ಬಂಧ file ಇದು set_component tcl ಆಜ್ಞೆಯನ್ನು (ಘಟಕದ ಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ) ಮತ್ತು ಸಂರಚನೆಯ ನಂತರ ರಚಿಸಲಾದ ನಿರ್ಬಂಧಗಳ ಪಟ್ಟಿಯನ್ನು ಒಳಗೊಂಡಿದೆ. ಸಂರಚನೆಯನ್ನು ಆಧರಿಸಿ ನಿರ್ಬಂಧಗಳನ್ನು ರಚಿಸಲಾಗುತ್ತದೆ ಮತ್ತು ಪ್ರತಿಯೊಂದು ಘಟಕಕ್ಕೂ ನಿರ್ದಿಷ್ಟವಾಗಿರುತ್ತದೆ.
Example 9-1. ಘಟಕ ನಿರ್ಬಂಧ File PF_CCC ಕೋರ್‌ಗಾಗಿ
ಇಲ್ಲಿ ಒಬ್ಬ ಮಾಜಿampಘಟಕ ನಿರ್ಬಂಧದ ಲೆ file PF_CCC ಕೋರ್‌ಗಾಗಿ:
ಸೆಟ್_ಕಾಂಪೊನೆಂಟ್ PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# ಮೈಕ್ರೋಚಿಪ್ ಕಾರ್ಪ್.
# ದಿನಾಂಕ: 2021-ಅಕ್ಟೋಬರ್-26 04:36:00
# PLL #0 ಗಾಗಿ ಮೂಲ ಗಡಿಯಾರ
create_clock -ಅವಧಿ 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -1 ರಿಂದ_ಭಾಗಿಸಿ -ಮೂಲ [ get_pins { pll_inst_0/
REF_CLK_0 } ] -ಹಂತ 0 [ get_pins { pll_inst_0/OUT0 } ] ಇಲ್ಲಿ, create_clock ಮತ್ತು create_generated_clock ಗಳು ಕ್ರಮವಾಗಿ ಉಲ್ಲೇಖ ಮತ್ತು ಔಟ್‌ಪುಟ್ ಗಡಿಯಾರ ನಿರ್ಬಂಧಗಳಾಗಿವೆ, ಇವುಗಳನ್ನು ಸಂರಚನೆಯ ಆಧಾರದ ಮೇಲೆ ರಚಿಸಲಾಗುತ್ತದೆ.
9.1.1 derive_constraints ಯುಟಿಲಿಟಿಯೊಂದಿಗೆ ಕೆಲಸ ಮಾಡುವುದು (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿನ್ಯಾಸದ ಮೂಲಕ ಹಾದುಹೋಗುವ ನಿರ್ಬಂಧಗಳನ್ನು ಪಡೆದುಕೊಳ್ಳಿ ಮತ್ತು ಹಿಂದೆ ಒದಗಿಸಲಾದ ಘಟಕ SDC ಯ ಆಧಾರದ ಮೇಲೆ ಘಟಕದ ಪ್ರತಿಯೊಂದು ನಿದರ್ಶನಕ್ಕೂ ಹೊಸ ನಿರ್ಬಂಧಗಳನ್ನು ನಿಯೋಜಿಸಿ. fileರು. CCC ಉಲ್ಲೇಖ ಗಡಿಯಾರಗಳಿಗೆ, ಉಲ್ಲೇಖ ಗಡಿಯಾರದ ಮೂಲವನ್ನು ಕಂಡುಹಿಡಿಯಲು ಅದು ವಿನ್ಯಾಸದ ಮೂಲಕ ಹಿಂದಕ್ಕೆ ಹರಡುತ್ತದೆ. ಮೂಲವು I/O ಆಗಿದ್ದರೆ, ಉಲ್ಲೇಖ ಗಡಿಯಾರ ನಿರ್ಬಂಧವನ್ನು I/O ನಲ್ಲಿ ಹೊಂದಿಸಲಾಗುತ್ತದೆ. ಅದು CCC ಔಟ್‌ಪುಟ್ ಅಥವಾ ಇನ್ನೊಂದು ಗಡಿಯಾರ ಮೂಲವಾಗಿದ್ದರೆ (ಉದಾ.ample, ಟ್ರಾನ್ಸ್‌ಸಿವರ್, ಆಸಿಲೇಟರ್), ಇದು ಇತರ ಘಟಕದಿಂದ ಗಡಿಯಾರವನ್ನು ಬಳಸುತ್ತದೆ ಮತ್ತು ಮಧ್ಯಂತರಗಳು ಹೊಂದಿಕೆಯಾಗದಿದ್ದರೆ ಎಚ್ಚರಿಕೆಯನ್ನು ವರದಿ ಮಾಡುತ್ತದೆ. ನಿಮ್ಮ RTL ನಲ್ಲಿ ಆನ್-ಚಿಪ್ ಆಸಿಲೇಟರ್‌ಗಳಂತಹ ಕೆಲವು ಮ್ಯಾಕ್ರೋಗಳಿಗೆ ಡೀರೈವ್ ನಿರ್ಬಂಧಗಳು ನಿರ್ಬಂಧಗಳನ್ನು ನಿಯೋಜಿಸುತ್ತವೆ.
derive_constraints ಉಪಯುಕ್ತತೆಯನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು, ನೀವು .tcl ಅನ್ನು ಒದಗಿಸಬೇಕು file ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಕ್ರಮದಲ್ಲಿ ಕೆಳಗಿನ ಮಾಹಿತಿಯೊಂದಿಗೆ ಆಜ್ಞಾ ಸಾಲಿನ ಆರ್ಗ್ಯುಮೆಂಟ್.

  1. set_device ವಿಭಾಗದಲ್ಲಿನ ಮಾಹಿತಿಯನ್ನು ಬಳಸಿಕೊಂಡು ಸಾಧನದ ಮಾಹಿತಿಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
  2. RTL ಗೆ ಮಾರ್ಗವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ fileread_verilog ಅಥವಾ read_vhdl ವಿಭಾಗದಲ್ಲಿರುವ ಮಾಹಿತಿಯನ್ನು ಬಳಸಿಕೊಂಡು.
  3. set_top_level ವಿಭಾಗದಲ್ಲಿನ ಮಾಹಿತಿಯನ್ನು ಬಳಸಿಕೊಂಡು ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್ ಅನ್ನು ಹೊಂದಿಸಿ.
  4. SDC ಘಟಕಕ್ಕೆ ಮಾರ್ಗವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ fileread_sdc ಅಥವಾ read_ndc ವಿಭಾಗದಲ್ಲಿರುವ ಮಾಹಿತಿಯನ್ನು ಬಳಸಿಕೊಂಡು.
  5. ಕಾರ್ಯಗತಗೊಳಿಸಿ filederive_constraints ವಿಭಾಗದಲ್ಲಿನ ಮಾಹಿತಿಯನ್ನು ಬಳಸಿಕೊಂಡು.
  6.  SDC ಪಡೆದ ನಿರ್ಬಂಧಗಳಿಗೆ ಮಾರ್ಗವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ file write_sdc ಅಥವಾ write_pdc ಅಥವಾ write_ndc ವಿಭಾಗದಲ್ಲಿರುವ ಮಾಹಿತಿಯನ್ನು ಬಳಸುವುದು.

Example 9-2. derive.tcl ನ ಕಾರ್ಯಗತಗೊಳಿಸುವಿಕೆ ಮತ್ತು ವಿಷಯಗಳು File
ಕೆಳಗಿನವು ಮಾಜಿ ಆಗಿದೆampderive_constraints ಉಪಯುಕ್ತತೆಯನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಲು le ಆಜ್ಞಾ ಸಾಲಿನ ಆರ್ಗ್ಯುಮೆಂಟ್.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl ನ ವಿಷಯಗಳು file:
# ಸಾಧನದ ಮಾಹಿತಿ
ಸೆಟ್_ಸಾಧನ -ಕುಟುಂಬ ಪೋಲಾರ್‌ಫೈರ್ -ಡೈ MPF100T -ವೇಗ -1
# ಆರ್ಟಿಎಲ್ files
read_verilog -ಮೋಡ್ ಸಿಸ್ಟಮ್_ವೆರಿಲಾಗ್ ಯೋಜನೆ/ಘಟಕ/ಕೆಲಸ/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -ಮೋಡ್ ಸಿಸ್ಟಮ್_ವೆರಿಲಾಗ್ {ಯೋಜನೆ/ಘಟಕ/ಕೆಲಸ/txpll0/txpll0.v}
read_verilog -ಮೋಡ್ ಸಿಸ್ಟಮ್_ವೆರಿಲಾಗ್ {ಯೋಜನೆ/ಘಟಕ/ಕೆಲಸ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -ಮೋಡ್ ಸಿಸ್ಟಮ್_ವೆರಿಲಾಗ್ {ಯೋಜನೆ/ಘಟಕ/ಕೆಲಸ/xcvr0/xcvr0.v}
read_vhdl -ಮೋಡ್ vhdl_2008 {project/hdl/xcvr1.vhd}
#ಘಟಕ SDC files
ಸೆಟ್_ಟಾಪ್_ಲೆವೆಲ್ {xcvr1}
read_sdc -ಘಟಕ {ಯೋಜನೆ/ಘಟಕ/ಕೆಲಸ/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -ಘಟಕ {ಯೋಜನೆ/ಘಟಕ/ಕೆಲಸ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ
ಪಡೆಯಲಾದ_ನಿರ್ಬಂಧಗಳು
#SDC/PDC/NDC ಫಲಿತಾಂಶ files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc} ಬರೆಯಿರಿ
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc} ಬರೆಯಿರಿ
೯.೧.೨ ಸೆಟ್_ಡಿವೈಸ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
ಕುಟುಂಬದ ಹೆಸರು, ಡೈ ಹೆಸರು ಮತ್ತು ವೇಗ ದರ್ಜೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
ಸೆಟ್_ಸಾಧನ -ಕುಟುಂಬ -ಸಾಯಿರಿ -ವೇಗ
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
-ಕುಟುಂಬ ಸ್ಟ್ರಿಂಗ್ ಕುಟುಂಬದ ಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಸಂಭಾವ್ಯ ಮೌಲ್ಯಗಳು ಪೋಲಾರ್‌ಫೈರ್®, ಪೋಲಾರ್‌ಫೈರ್ SoC.
-ಸಾಯಿರಿ ಸ್ಟ್ರಿಂಗ್ ಮೃತದೇಹದ ಹೆಸರನ್ನು ಸೂಚಿಸಿ.
-ವೇಗ ಸ್ಟ್ರಿಂಗ್ ಸಾಧನದ ವೇಗ ದರ್ಜೆಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಸಂಭಾವ್ಯ ಮೌಲ್ಯಗಳು STD ಅಥವಾ -1 ಆಗಿರುತ್ತವೆ.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ - ಡೈ ಕಾಣೆಯಾಗಿದೆ ಡೈ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0005 ಅಜ್ಞಾತ ಡೈ 'MPF30' -die ಆಯ್ಕೆಯ ಮೌಲ್ಯ ಸರಿಯಾಗಿಲ್ಲ. ಆಯ್ಕೆಯ ವಿವರಣೆಯಲ್ಲಿ ಸಂಭವನೀಯ ಮೌಲ್ಯಗಳ ಪಟ್ಟಿಯನ್ನು ನೋಡಿ.
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—ಡೈ ಮೌಲ್ಯ ಕಾಣೆಯಾಗಿದೆ ಡೈ ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ಪ್ಯಾರಾಮೀಟರ್—ಕುಟುಂಬ ಕಾಣೆಯಾಗಿದೆ ಕುಟುಂಬ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0004 ಅಜ್ಞಾತ ಕುಟುಂಬ 'ಪೋಲಾರ್‌ಫೈರ್®' ಕುಟುಂಬ ಆಯ್ಕೆಯು ಸರಿಯಾಗಿಲ್ಲ. ಆಯ್ಕೆಯ ವಿವರಣೆಯಲ್ಲಿ ಸಂಭವನೀಯ ಮೌಲ್ಯಗಳ ಪಟ್ಟಿಯನ್ನು ನೋಡಿ.
………… ಮುಂದುವರೆಯಿತು
ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—ಕುಟುಂಬವು ಮೌಲ್ಯವನ್ನು ಕಳೆದುಕೊಂಡಿದೆ ಕುಟುಂಬ ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ - ವೇಗ ಕಾಣೆಯಾಗಿದೆ ವೇಗದ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0007 ಅಜ್ಞಾತ ವೇಗ ' ' ವೇಗ ಆಯ್ಕೆಯು ಸರಿಯಾಗಿಲ್ಲ. ಆಯ್ಕೆಯ ವಿವರಣೆಯಲ್ಲಿ ಸಂಭವನೀಯ ಮೌಲ್ಯಗಳ ಪಟ್ಟಿಯನ್ನು ನೋಡಿ.
ಇಆರ್ಆರ್ 0023 ನಿಯತಾಂಕ—ವೇಗವು ಮೌಲ್ಯವನ್ನು ಕಳೆದುಕೊಂಡಿದೆ ವೇಗದ ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.

Example
ಸೆಟ್_ಸಾಧನ -ಕುಟುಂಬ {ಪೋಲಾರ್‌ಫೈರ್} -ಡೈ {MPF300T_ES} -ವೇಗ -1
ಸೆಟ್_ಸಾಧನ -ಕುಟುಂಬ ಸ್ಮಾರ್ಟ್‌ಫ್ಯೂಷನ್ 2 -ಡೈ M2S090T -ವೇಗ -1
9.1.3 ರೀಡ್_ವೆರಿಲಾಗ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
ವೆರಿಲಾಗ್ ಓದಿ file ವೆರಿಫಿಕ್ ಬಳಸಿ.
ಓದು_ವೆರಿಲಾಗ್ [-ಲಿಬ್ ] [-ಮೋಡ್ ]fileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
-ಲಿಬ್ ಸ್ಟ್ರಿಂಗ್ ಗ್ರಂಥಾಲಯಕ್ಕೆ ಸೇರಿಸಬೇಕಾದ ಮಾಡ್ಯೂಲ್‌ಗಳನ್ನು ಒಳಗೊಂಡಿರುವ ಗ್ರಂಥಾಲಯವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
-ಮೋಡ್ ಸ್ಟ್ರಿಂಗ್ ವೆರಿಲಾಗ್ ಮಾನದಂಡವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ. ಸಂಭಾವ್ಯ ಮೌಲ್ಯಗಳು verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. ಮೌಲ್ಯಗಳು ಕೇಸ್ ಸೆನ್ಸಿಟಿವ್ ಆಗಿರುವುದಿಲ್ಲ. ಡೀಫಾಲ್ಟ್ verilog_2k ಆಗಿದೆ.
fileಹೆಸರು ಸ್ಟ್ರಿಂಗ್ ವೆರಿಲೋಗ್ file ಹೆಸರು.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—lib ಮೌಲ್ಯ ಕಾಣೆಯಾಗಿದೆ lib ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—ಮೋಡ್‌ನಲ್ಲಿ ಮೌಲ್ಯ ಕಾಣೆಯಾಗಿದೆ ಮೋಡ್ ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0015 ಅಜ್ಞಾತ ಮೋಡ್ ' ' ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ವೆರಿಲಾಗ್ ಮೋಡ್ ತಿಳಿದಿಲ್ಲ.—ಮೋಡ್ ಆಯ್ಕೆಯ ವಿವರಣೆಯಲ್ಲಿ ಸಂಭವನೀಯ ವೆರಿಲಾಗ್ ಮೋಡ್‌ನ ಪಟ್ಟಿಯನ್ನು ನೋಡಿ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ file ಹೆಸರು ಕಾಣೆಯಾಗಿದೆ. ವೆರಿಲಾಗ್ ಇಲ್ಲ file ಮಾರ್ಗವನ್ನು ಒದಗಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0016 ವೆರಿಫಿಕ್‌ನ ಪಾರ್ಸರ್‌ನಿಂದಾಗಿ ವಿಫಲವಾಗಿದೆ. ವೆರಿಲಾಗ್‌ನಲ್ಲಿ ಸಿಂಟ್ಯಾಕ್ಸ್ ದೋಷ file. ದೋಷ ಸಂದೇಶದ ಮೇಲಿರುವ ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ವೆರಿಫಿಕ್‌ನ ಪಾರ್ಸರ್ ಅನ್ನು ಗಮನಿಸಬಹುದು.
ಇಆರ್ಆರ್ 0012 set_device ಅನ್ನು ಕರೆಯಲಾಗಿಲ್ಲ ಸಾಧನದ ಮಾಹಿತಿಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ. ಸಾಧನವನ್ನು ವಿವರಿಸಲು set_device ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ.

Example
read_verilog -ಮೋಡ್ ಸಿಸ್ಟಮ್_verilog {ಘಟಕ/ಕೆಲಸ/ಮೇಲಿನ/ಮೇಲಿನ.v}
read_verilog -ಮೋಡ್ ಸಿಸ್ಟಮ್_verilog_mfcu ವಿನ್ಯಾಸ.v
9.1.4 ರೀಡ್_ವಿಹೆಚ್‌ಡಿಎಲ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
VHDL ಸೇರಿಸಿ file VHDL ಪಟ್ಟಿಯಲ್ಲಿ files.
read_vhdl [-ಲಿಬ್ ] [-ಮೋಡ್ ]fileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
-ಲಿಬ್ ವಿಷಯವನ್ನು ಸೇರಿಸಬೇಕಾದ ಲೈಬ್ರರಿಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
-ಮೋಡ್ VHDL ಮಾನದಂಡವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ. ಡೀಫಾಲ್ಟ್ VHDL_93 ಆಗಿದೆ. ಸಂಭಾವ್ಯ ಮೌಲ್ಯಗಳು vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl ಆಗಿರುತ್ತವೆ. ಮೌಲ್ಯಗಳು ಕೇಸ್ ಸೆನ್ಸಿಟಿವ್ ಆಗಿರುವುದಿಲ್ಲ.
fileಹೆಸರು VHDL file ಹೆಸರು.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—lib ಮೌಲ್ಯ ಕಾಣೆಯಾಗಿದೆ lib ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—ಮೋಡ್‌ನಲ್ಲಿ ಮೌಲ್ಯ ಕಾಣೆಯಾಗಿದೆ ಮೋಡ್ ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯವಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0018 ಅಜ್ಞಾತ ಮೋಡ್ ' ' ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ VHDL ಮೋಡ್ ತಿಳಿದಿಲ್ಲ. ಮೋಡ್ ಆಯ್ಕೆಯ ವಿವರಣೆಯಲ್ಲಿ ಸಂಭವನೀಯ VHDL ಮೋಡ್‌ನ ಪಟ್ಟಿಯನ್ನು ನೋಡಿ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ file ಹೆಸರು ಕಾಣೆಯಾಗಿದೆ. VHDL ಇಲ್ಲ file ಮಾರ್ಗವನ್ನು ಒದಗಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0019 invalid_path.v ಅನ್ನು ನೋಂದಾಯಿಸಲು ಸಾಧ್ಯವಾಗುತ್ತಿಲ್ಲ file ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ VHDL file ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ ಅಥವಾ ಓದಲು ಅನುಮತಿಗಳಿಲ್ಲ.
ಇಆರ್ಆರ್ 0012 set_device ಅನ್ನು ಕರೆಯಲಾಗಿಲ್ಲ ಸಾಧನದ ಮಾಹಿತಿಯನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ. ಸಾಧನವನ್ನು ವಿವರಿಸಲು set_device ಆಜ್ಞೆಯನ್ನು ಬಳಸಿ.

Example
read_vhdl -ಮೋಡ್ vhdl_2008 osc2dfn.vhd
ಓದು_ವಿಹೆಚ್‌ಡಿಎಲ್ {ಎಚ್‌ಡಿಎಲ್/ಟಾಪ್.ವಿಹೆಚ್‌ಡಿ}
೯.೧.೫ ಸೆಟ್_ಟಾಪ್_ಲೆವೆಲ್ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
RTL ನಲ್ಲಿ ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್‌ನ ಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
ಸೆಟ್_ಟಾಪ್_ಲೆವೆಲ್ [-ಲಿಬ್ ]
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
-ಲಿಬ್ ಸ್ಟ್ರಿಂಗ್ ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್ ಅಥವಾ ಘಟಕವನ್ನು ಹುಡುಕಲು ಲೈಬ್ರರಿ (ಐಚ್ಛಿಕ).
ಹೆಸರು ಸ್ಟ್ರಿಂಗ್ ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್ ಅಥವಾ ಘಟಕದ ಹೆಸರು.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ಉನ್ನತ ಮಟ್ಟದ ಪ್ಯಾರಾಮೀಟರ್ ಕಾಣೆಯಾಗಿದೆ ಉನ್ನತ ಮಟ್ಟದ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0023 ಪ್ಯಾರಾಮೀಟರ್—lib ಮೌಲ್ಯ ಕಾಣೆಯಾಗಿದೆ lib ಆಯ್ಕೆಯನ್ನು ಮೌಲ್ಯಗಳಿಲ್ಲದೆ ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿದೆ.
ಇಆರ್ಆರ್ 0014 ಉನ್ನತ ಹಂತವನ್ನು ಕಂಡುಹಿಡಿಯಲು ಸಾಧ್ಯವಾಗಲಿಲ್ಲ. ಗ್ರಂಥಾಲಯದಲ್ಲಿ ಒದಗಿಸಲಾದ ಲೈಬ್ರರಿಯಲ್ಲಿ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್ ಅನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲಾಗಿಲ್ಲ. ಈ ದೋಷವನ್ನು ಸರಿಪಡಿಸಲು, ಮೇಲಿನ ಮಾಡ್ಯೂಲ್ ಅಥವಾ ಲೈಬ್ರರಿ ಹೆಸರನ್ನು ಸರಿಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0017 ಎಲಾಬ್ರೇಟ್ ವಿಫಲವಾಗಿದೆ RTL ವಿಸ್ತರಣಾ ಪ್ರಕ್ರಿಯೆಯಲ್ಲಿ ದೋಷ. ದೋಷ ಸಂದೇಶವನ್ನು ಕನ್ಸೋಲ್‌ನಿಂದ ಗಮನಿಸಬಹುದು.

Example
ಸೆಟ್_ಟಾಪ್_ಲೆವೆಲ್ {ಟಾಪ್}
set_top_level -lib hdl ಟಾಪ್
9.1.6 read_sdc (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
SDC ಓದಿ file ಘಟಕ ಡೇಟಾಬೇಸ್‌ಗೆ.
read_sdc -ಘಟಕfileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
-ಘಟಕ ನಾವು ನಿರ್ಬಂಧಗಳನ್ನು ಪಡೆದಾಗ read_sdc ಆಜ್ಞೆಗೆ ಇದು ಕಡ್ಡಾಯ ಫ್ಲ್ಯಾಗ್ ಆಗಿದೆ.
fileಹೆಸರು ಸ್ಟ್ರಿಂಗ್ SDC ಗೆ ದಾರಿ file.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ file ಹೆಸರು ಕಾಣೆಯಾಗಿದೆ. ಕಡ್ಡಾಯ ಆಯ್ಕೆ file ಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ.
ಇಆರ್ಆರ್ 0000 SDC file <file_path> ಓದಲು ಸಾಧ್ಯವಿಲ್ಲ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ SDC file ಓದಲು ಅನುಮತಿ ಇಲ್ಲ.
ಇಆರ್ಆರ್ 0001 ತೆರೆಯಲು ಸಾಧ್ಯವಾಗುತ್ತಿಲ್ಲfile_ಮಾರ್ಗ> file. ಎಸ್‌ಡಿಸಿ file ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ. ಮಾರ್ಗವನ್ನು ಸರಿಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0008 set_component ಆಜ್ಞೆ ಕಾಣೆಯಾಗಿದೆfile_ಮಾರ್ಗ> file SDC ಯ ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಘಟಕ file ಘಟಕವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುವುದಿಲ್ಲ.
ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0009 <List of errors from sdc file> ಎಸ್‌ಡಿಸಿ file ತಪ್ಪಾದ sdc ಆಜ್ಞೆಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. ಉದಾಹರಣೆಗೆampಲೆ,

set_multicycle_path ನಿರ್ಬಂಧದಲ್ಲಿ ದೋಷವಿದ್ದಾಗ: read_sdc ಆಜ್ಞೆಯನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸುವಾಗ ದೋಷ: infile_ಮಾರ್ಗ> file: set_multicycle_path ಆಜ್ಞೆಯಲ್ಲಿ ದೋಷ: ಅಜ್ಞಾತ ಪ್ಯಾರಾಮೀಟರ್ [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
NDC ಓದಿ file ಘಟಕ ಡೇಟಾಬೇಸ್‌ಗೆ.
read_ndc -ಘಟಕfileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
-ಘಟಕ ನಾವು ನಿರ್ಬಂಧಗಳನ್ನು ಪಡೆದಾಗ read_ndc ಆಜ್ಞೆಗೆ ಇದು ಕಡ್ಡಾಯ ಫ್ಲ್ಯಾಗ್ ಆಗಿದೆ.
fileಹೆಸರು ಸ್ಟ್ರಿಂಗ್ NDC ಗೆ ದಾರಿ file.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0001 ತೆರೆಯಲು ಸಾಧ್ಯವಾಗುತ್ತಿಲ್ಲfile_ಮಾರ್ಗ> file ಎನ್‌ಡಿಸಿ file ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ. ಮಾರ್ಗವನ್ನು ಸರಿಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ಪ್ಯಾರಾಮೀಟರ್—AtclParamO_ ಕಾಣೆಯಾಗಿದೆ. ಕಡ್ಡಾಯ ಆಯ್ಕೆ fileಹೆಸರನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ಪ್ಯಾರಾಮೀಟರ್ - ಘಟಕ ಕಾಣೆಯಾಗಿದೆ. ಘಟಕ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.
ಇಆರ್ಆರ್ 0000 ಎನ್‌ಡಿಸಿ file 'file_path>' ಓದಲಾಗುವುದಿಲ್ಲ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ NDC file ಓದಲು ಅನುಮತಿ ಇಲ್ಲ.

Example
read_ndc -ಘಟಕ {ಘಟಕ/ಕೆಲಸ/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constractions (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
ಘಟಕ SDC ಅನ್ನು ಸ್ಥಾಪಿಸಿ fileವಿನ್ಯಾಸ ಮಟ್ಟದ ಡೇಟಾಬೇಸ್‌ಗೆ ರು.
ಪಡೆಯಲಾದ_ನಿರ್ಬಂಧಗಳು
ವಾದಗಳು

ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0013 ಉನ್ನತ-ಹಂತವನ್ನು ವ್ಯಾಖ್ಯಾನಿಸಲಾಗಿಲ್ಲ ಇದರರ್ಥ ಉನ್ನತ ಮಟ್ಟದ ಮಾಡ್ಯೂಲ್ ಅಥವಾ ಘಟಕವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲಾಗಿಲ್ಲ. ಈ ಕರೆಯನ್ನು ಸರಿಪಡಿಸಲು,
derive_constraints ಆಜ್ಞೆಯ ಮೊದಲು set_top_level ಆಜ್ಞೆ.

Example
ಪಡೆಯಲಾದ_ನಿರ್ಬಂಧಗಳು
9.1.9 write_sdc (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
ನಿರ್ಬಂಧವನ್ನು ಬರೆಯುತ್ತಾರೆ file SDC ಸ್ವರೂಪದಲ್ಲಿ.
ಬರೆಯಿರಿ_ಎಸ್ಡಿಸಿfileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
<fileಹೆಸರು> ಸ್ಟ್ರಿಂಗ್ SDC ಗೆ ದಾರಿ file ರಚಿಸಲಾಗುವುದು. ಇದು ಕಡ್ಡಾಯ ಆಯ್ಕೆಯಾಗಿದೆ. ಒಂದು ವೇಳೆ file ಅಸ್ತಿತ್ವದಲ್ಲಿದ್ದರೆ, ಅದನ್ನು ತಿದ್ದಿ ಬರೆಯಲಾಗುತ್ತದೆ.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0003 ತೆರೆಯಲು ಸಾಧ್ಯವಾಗುತ್ತಿಲ್ಲfile ಮಾರ್ಗ> file. File ಮಾರ್ಗ ಸರಿಯಾಗಿಲ್ಲ. ಮೂಲ ಡೈರೆಕ್ಟರಿಗಳು ಅಸ್ತಿತ್ವದಲ್ಲಿವೆಯೇ ಎಂದು ಪರಿಶೀಲಿಸಿ.
ಇಆರ್ಆರ್ 0002 SDC file 'file 'ಪಾತ್>' ಬರೆಯಲು ಸಾಧ್ಯವಿಲ್ಲ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ SDC file ಬರೆಯಲು ಅನುಮತಿ ಇಲ್ಲ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ file ಹೆಸರು ಕಾಣೆಯಾಗಿದೆ. ಎಸ್‌ಡಿಸಿ file ಮಾರ್ಗವು ಕಡ್ಡಾಯ ಆಯ್ಕೆಯಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.

Example
write_sdc “derived.sdc”
9.1.10 write_pdc (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
ಭೌತಿಕ ನಿರ್ಬಂಧಗಳನ್ನು ಬರೆಯುತ್ತದೆ (ನಿರ್ಬಂಧಗಳನ್ನು ಮಾತ್ರ ಪಡೆಯುತ್ತದೆ).
ಬರೆಯಿರಿ_ಪಿಡಿಸಿfileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
<fileಹೆಸರು> ಸ್ಟ್ರಿಂಗ್ ಪಿಡಿಸಿಗೆ ಮಾರ್ಗ file ರಚಿಸಲಾಗುವುದು. ಇದು ಕಡ್ಡಾಯ ಆಯ್ಕೆಯಾಗಿದೆ. ಒಂದು ವೇಳೆ file ಮಾರ್ಗ ಅಸ್ತಿತ್ವದಲ್ಲಿದ್ದರೆ, ಅದನ್ನು ತಿದ್ದಿ ಬರೆಯಲಾಗುತ್ತದೆ.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶಗಳು ವಿವರಣೆ
ಇಆರ್ಆರ್ 0003 ತೆರೆಯಲು ಸಾಧ್ಯವಾಗುತ್ತಿಲ್ಲfile ಮಾರ್ಗ> file ದಿ file ಮಾರ್ಗ ಸರಿಯಾಗಿಲ್ಲ. ಮೂಲ ಡೈರೆಕ್ಟರಿಗಳು ಅಸ್ತಿತ್ವದಲ್ಲಿವೆಯೇ ಎಂದು ಪರಿಶೀಲಿಸಿ.
ಇಆರ್ಆರ್ 0002 PDC file 'file 'ಪಾತ್>' ಬರೆಯಲು ಸಾಧ್ಯವಿಲ್ಲ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಪಿಡಿಸಿ file ಬರೆಯಲು ಅನುಮತಿ ಇಲ್ಲ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕ file ಹೆಸರು ಕಾಣೆಯಾಗಿದೆ. ಪಿಡಿಸಿ file ಮಾರ್ಗವು ಕಡ್ಡಾಯ ಆಯ್ಕೆಯಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.

Example
write_pdc “derived.pdc”
9.1.11 write_ndc (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
NDC ನಿರ್ಬಂಧಗಳನ್ನು a ಆಗಿ ಬರೆಯುತ್ತದೆ file.
ಬರೆಯಿರಿ_ಎನ್ಡಿಸಿfileಹೆಸರು>
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
fileಹೆಸರು ಸ್ಟ್ರಿಂಗ್ NDC ಗೆ ದಾರಿ file ರಚಿಸಲಾಗುವುದು. ಇದು ಕಡ್ಡಾಯ ಆಯ್ಕೆಯಾಗಿದೆ. ಒಂದು ವೇಳೆ file ಅಸ್ತಿತ್ವದಲ್ಲಿದ್ದರೆ, ಅದನ್ನು ತಿದ್ದಿ ಬರೆಯಲಾಗುತ್ತದೆ.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶಗಳು ವಿವರಣೆ
ಇಆರ್ಆರ್ 0003 ತೆರೆಯಲು ಸಾಧ್ಯವಾಗುತ್ತಿಲ್ಲfile_ಮಾರ್ಗ> file. File ಮಾರ್ಗ ಸರಿಯಾಗಿಲ್ಲ. ಮೂಲ ಡೈರೆಕ್ಟರಿಗಳು ಅಸ್ತಿತ್ವದಲ್ಲಿಲ್ಲ.
ಇಆರ್ಆರ್ 0002 ಎನ್‌ಡಿಸಿ file 'file_path>' ಬರೆಯಲು ಸಾಧ್ಯವಿಲ್ಲ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ NDC file ಬರೆಯಲು ಅನುಮತಿ ಇಲ್ಲ.
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ಪ್ಯಾರಾಮೀಟರ್ _AtclParamO_ ಕಾಣೆಯಾಗಿದೆ. ಎನ್‌ಡಿಸಿ file ಮಾರ್ಗವು ಕಡ್ಡಾಯ ಆಯ್ಕೆಯಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಬೇಕು.

Example
write_ndc “derived.ndc”
9.1.12 add_include_path (ಪ್ರಶ್ನೆ ಕೇಳಿ)
ವಿವರಣೆ
ಹುಡುಕಾಟಕ್ಕೆ ಮಾರ್ಗವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ, ಇದರಲ್ಲಿ ಸೇರಿವೆ fileRTL ಓದುವಾಗ s files.
ಸೇರಿಸಿ_ಸೇರಿಸಿ_ಮಾರ್ಗ
ವಾದಗಳು

ಪ್ಯಾರಾಮೀಟರ್ ಟೈಪ್ ಮಾಡಿ ವಿವರಣೆ
ಡೈರೆಕ್ಟರಿ ಸ್ಟ್ರಿಂಗ್ ಹುಡುಕಾಟಕ್ಕೆ ಮಾರ್ಗವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತದೆ, ಇದರಲ್ಲಿ ಸೇರಿವೆ fileRTL ಓದುವಾಗ s files. ಈ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
0 ಆಜ್ಞೆ ಯಶಸ್ವಿಯಾಗಿದೆ.
ರಿಟರ್ನ್ ಪ್ರಕಾರ ವಿವರಣೆ
1 ಆಜ್ಞೆ ವಿಫಲವಾಗಿದೆ. ದೋಷವಿದೆ. ನೀವು ಕನ್ಸೋಲ್‌ನಲ್ಲಿ ದೋಷ ಸಂದೇಶವನ್ನು ಗಮನಿಸಬಹುದು.

ದೋಷಗಳ ಪಟ್ಟಿ

ದೋಷ ಕೋಡ್ ದೋಷ ಸಂದೇಶ ವಿವರಣೆ
ಇಆರ್ಆರ್ 0023 ಅಗತ್ಯವಿರುವ ನಿಯತಾಂಕವು ಮಾರ್ಗವನ್ನು ಒಳಗೊಂಡಿಲ್ಲ. ಡೈರೆಕ್ಟರಿ ಆಯ್ಕೆಯು ಕಡ್ಡಾಯವಾಗಿದೆ ಮತ್ತು ಅದನ್ನು ಒದಗಿಸಬೇಕು.

ಗಮನಿಸಿ: ಒಂದು ವೇಳೆ ಡೈರೆಕ್ಟರಿ ಮಾರ್ಗ ಸರಿಯಾಗಿಲ್ಲದಿದ್ದರೆ, add_include_path ದೋಷವಿಲ್ಲದೆ ರವಾನಿಸಲ್ಪಡುತ್ತದೆ.
ಆದಾಗ್ಯೂ, ವೆರಿಫಿಕ್‌ನ ಪಾರ್ಸರ್‌ನಿಂದಾಗಿ read_verilog/read_vhd ಆಜ್ಞೆಗಳು ವಿಫಲಗೊಳ್ಳುತ್ತವೆ.
Example
add_include_path ಕಾಂಪೊನೆಂಟ್/ವರ್ಕ್/COREABC0/COREABC0_0/rtl/vlog/core

ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ (ಒಂದು ಪ್ರಶ್ನೆ ಕೇಳಿ)

ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸವು ಡಾಕ್ಯುಮೆಂಟ್‌ನಲ್ಲಿ ಅಳವಡಿಸಲಾದ ಬದಲಾವಣೆಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ. ಬದಲಾವಣೆಗಳನ್ನು ಪರಿಷ್ಕರಣೆ ಮೂಲಕ ಪಟ್ಟಿ ಮಾಡಲಾಗಿದೆ, ಇದು ಅತ್ಯಂತ ಪ್ರಸ್ತುತ ಪ್ರಕಟಣೆಯಿಂದ ಪ್ರಾರಂಭವಾಗುತ್ತದೆ.

ಪರಿಷ್ಕರಣೆ ದಿನಾಂಕ ವಿವರಣೆ
F 08/2024 ಈ ಪರಿಷ್ಕರಣೆಯಲ್ಲಿ ಈ ಕೆಳಗಿನ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡಲಾಗಿದೆ:
• ನವೀಕರಿಸಿದ ವಿಭಾಗ ಅನುಬಂಧ ಬಿ—ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರಕ್ಕೆ ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳುವುದು.
E 08/2024 ಈ ಪರಿಷ್ಕರಣೆಯಲ್ಲಿ ಈ ಕೆಳಗಿನ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡಲಾಗಿದೆ:
• ವಿಭಾಗವನ್ನು ನವೀಕರಿಸಲಾಗಿದೆ ಮುಗಿದಿದೆview.
• ನವೀಕರಿಸಿದ ವಿಭಾಗ ಪಡೆದ SDC File.
• ನವೀಕರಿಸಿದ ವಿಭಾಗ ಅನುಬಂಧ ಬಿ—ಸಿಮ್ಯುಲೇಶನ್ ಪರಿಸರಕ್ಕೆ ಸಿಮ್ಯುಲೇಶನ್ ಲೈಬ್ರರಿಗಳನ್ನು ಆಮದು ಮಾಡಿಕೊಳ್ಳುವುದು.
D 02/2024 ಈ ಡಾಕ್ಯುಮೆಂಟ್ ಅನ್ನು v2024.1 ರಿಂದ ಯಾವುದೇ ಬದಲಾವಣೆಗಳಿಲ್ಲದೆ ಲಿಬೆರೊ 2023.2 SoC ಡಿಸೈನ್ ಸೂಟ್‌ನೊಂದಿಗೆ ಬಿಡುಗಡೆ ಮಾಡಲಾಗಿದೆ.
derive_constraints ಉಪಯುಕ್ತತೆಯೊಂದಿಗೆ ಕೆಲಸ ಮಾಡುವ ವಿಭಾಗವನ್ನು ನವೀಕರಿಸಲಾಗಿದೆ
C 08/2023 ಈ ಡಾಕ್ಯುಮೆಂಟ್ ಅನ್ನು v2023.2 ರಿಂದ ಯಾವುದೇ ಬದಲಾವಣೆಗಳಿಲ್ಲದೆ ಲಿಬೆರೊ 2023.1 SoC ಡಿಸೈನ್ ಸೂಟ್‌ನೊಂದಿಗೆ ಬಿಡುಗಡೆ ಮಾಡಲಾಗಿದೆ.
B 04/2023 ಈ ಡಾಕ್ಯುಮೆಂಟ್ ಅನ್ನು v2023.1 ರಿಂದ ಯಾವುದೇ ಬದಲಾವಣೆಗಳಿಲ್ಲದೆ ಲಿಬೆರೊ 2022.3 SoC ಡಿಸೈನ್ ಸೂಟ್‌ನೊಂದಿಗೆ ಬಿಡುಗಡೆ ಮಾಡಲಾಗಿದೆ.
A 12/2022 ಆರಂಭಿಕ ಪರಿಷ್ಕರಣೆ.

ಮೈಕ್ರೋಚಿಪ್ FPGA ಬೆಂಬಲ
ಮೈಕ್ರೋಚಿಪ್ FPGA ಉತ್ಪನ್ನಗಳ ಗುಂಪು ತನ್ನ ಉತ್ಪನ್ನಗಳನ್ನು ಗ್ರಾಹಕ ಸೇವೆ, ಗ್ರಾಹಕ ತಾಂತ್ರಿಕ ಬೆಂಬಲ ಕೇಂದ್ರ ಸೇರಿದಂತೆ ವಿವಿಧ ಬೆಂಬಲ ಸೇವೆಗಳೊಂದಿಗೆ ಬೆಂಬಲಿಸುತ್ತದೆ. webಸೈಟ್, ಮತ್ತು ವಿಶ್ವಾದ್ಯಂತ ಮಾರಾಟ ಕಚೇರಿಗಳು.
ಗ್ರಾಹಕರು ಬೆಂಬಲವನ್ನು ಸಂಪರ್ಕಿಸುವ ಮೊದಲು ಮೈಕ್ರೋಚಿಪ್ ಆನ್‌ಲೈನ್ ಸಂಪನ್ಮೂಲಗಳನ್ನು ಭೇಟಿ ಮಾಡಲು ಸೂಚಿಸಲಾಗಿದೆ ಏಕೆಂದರೆ ಅವರ ಪ್ರಶ್ನೆಗಳಿಗೆ ಈಗಾಗಲೇ ಉತ್ತರಿಸಲಾಗಿದೆ.
ಮೂಲಕ ತಾಂತ್ರಿಕ ಬೆಂಬಲ ಕೇಂದ್ರವನ್ನು ಸಂಪರ್ಕಿಸಿ webನಲ್ಲಿ ಸೈಟ್ www.microchip.com/support. FPGA ಸಾಧನದ ಭಾಗ ಸಂಖ್ಯೆಯನ್ನು ಉಲ್ಲೇಖಿಸಿ, ಸೂಕ್ತವಾದ ಕೇಸ್ ವರ್ಗವನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ವಿನ್ಯಾಸವನ್ನು ಅಪ್‌ಲೋಡ್ ಮಾಡಿ fileತಾಂತ್ರಿಕ ಬೆಂಬಲ ಪ್ರಕರಣವನ್ನು ರಚಿಸುವಾಗ ರು.
ಉತ್ಪನ್ನ ಬೆಲೆ, ಉತ್ಪನ್ನ ಅಪ್‌ಗ್ರೇಡ್‌ಗಳು, ಅಪ್‌ಡೇಟ್ ಮಾಹಿತಿ, ಆರ್ಡರ್ ಸ್ಥಿತಿ ಮತ್ತು ದೃಢೀಕರಣದಂತಹ ತಾಂತ್ರಿಕವಲ್ಲದ ಉತ್ಪನ್ನ ಬೆಂಬಲಕ್ಕಾಗಿ ಗ್ರಾಹಕ ಸೇವೆಯನ್ನು ಸಂಪರ್ಕಿಸಿ.

  • ಉತ್ತರ ಅಮೆರಿಕಾದಿಂದ, 800.262.1060 ಗೆ ಕರೆ ಮಾಡಿ
  • ಪ್ರಪಂಚದ ಇತರ ಭಾಗಗಳಿಂದ, 650.318.4460 ಗೆ ಕರೆ ಮಾಡಿ
  • ಫ್ಯಾಕ್ಸ್, ಜಗತ್ತಿನ ಎಲ್ಲಿಂದಲಾದರೂ, 650.318.8044

ಮೈಕ್ರೋಚಿಪ್ ಮಾಹಿತಿ
ಮೈಕ್ರೋಚಿಪ್ Webಸೈಟ್
ಮೈಕ್ರೋಚಿಪ್ ನಮ್ಮ ಮೂಲಕ ಆನ್‌ಲೈನ್ ಬೆಂಬಲವನ್ನು ಒದಗಿಸುತ್ತದೆ webನಲ್ಲಿ ಸೈಟ್ www.microchip.com/. ಈ webಸೈಟ್ ಮಾಡಲು ಬಳಸಲಾಗುತ್ತದೆ fileಗಳು ಮತ್ತು ಮಾಹಿತಿಯು ಗ್ರಾಹಕರಿಗೆ ಸುಲಭವಾಗಿ ಲಭ್ಯವಿದೆ. ಲಭ್ಯವಿರುವ ಕೆಲವು ವಿಷಯಗಳು ಸೇರಿವೆ:

  • ಉತ್ಪನ್ನ ಬೆಂಬಲ - ಡೇಟಾ ಶೀಟ್‌ಗಳು ಮತ್ತು ದೋಷಗಳು, ಅಪ್ಲಿಕೇಶನ್ ಟಿಪ್ಪಣಿಗಳು ಮತ್ತು ರುample ಪ್ರೋಗ್ರಾಂಗಳು, ವಿನ್ಯಾಸ ಸಂಪನ್ಮೂಲಗಳು, ಬಳಕೆದಾರರ ಮಾರ್ಗದರ್ಶಿಗಳು ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ಬೆಂಬಲ ದಾಖಲೆಗಳು, ಇತ್ತೀಚಿನ ಸಾಫ್ಟ್‌ವೇರ್ ಬಿಡುಗಡೆಗಳು ಮತ್ತು ಆರ್ಕೈವ್ ಮಾಡಿದ ಸಾಫ್ಟ್‌ವೇರ್
  • ಸಾಮಾನ್ಯ ತಾಂತ್ರಿಕ ಬೆಂಬಲ - ಪದೇ ಪದೇ ಕೇಳಲಾಗುವ ಪ್ರಶ್ನೆಗಳು (FAQಗಳು), ತಾಂತ್ರಿಕ ಬೆಂಬಲ ವಿನಂತಿಗಳು, ಆನ್‌ಲೈನ್ ಚರ್ಚಾ ಗುಂಪುಗಳು, ಮೈಕ್ರೋಚಿಪ್ ವಿನ್ಯಾಸ ಪಾಲುದಾರ ಕಾರ್ಯಕ್ರಮದ ಸದಸ್ಯರ ಪಟ್ಟಿ
  • ಮೈಕ್ರೋಚಿಪ್ ವ್ಯವಹಾರ - ಉತ್ಪನ್ನ ಆಯ್ಕೆ ಮತ್ತು ಆದೇಶ ಮಾರ್ಗದರ್ಶಿಗಳು, ಇತ್ತೀಚಿನ ಮೈಕ್ರೋಚಿಪ್ ಪತ್ರಿಕಾ ಪ್ರಕಟಣೆಗಳು, ಸೆಮಿನಾರ್‌ಗಳು ಮತ್ತು ಈವೆಂಟ್‌ಗಳ ಪಟ್ಟಿ, ಮೈಕ್ರೋಚಿಪ್ ಮಾರಾಟ ಕಚೇರಿಗಳು, ವಿತರಕರು ಮತ್ತು ಕಾರ್ಖಾನೆ ಪ್ರತಿನಿಧಿಗಳ ಪಟ್ಟಿಗಳು

ಉತ್ಪನ್ನ ಬದಲಾವಣೆ ಅಧಿಸೂಚನೆ ಸೇವೆ
ಮೈಕ್ರೋಚಿಪ್‌ನ ಉತ್ಪನ್ನ ಬದಲಾವಣೆ ಅಧಿಸೂಚನೆ ಸೇವೆಯು ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳಲ್ಲಿ ಗ್ರಾಹಕರನ್ನು ಪ್ರಸ್ತುತವಾಗಿರಿಸಲು ಸಹಾಯ ಮಾಡುತ್ತದೆ. ನಿರ್ದಿಷ್ಟಪಡಿಸಿದ ಉತ್ಪನ್ನ ಕುಟುಂಬ ಅಥವಾ ಆಸಕ್ತಿಯ ಅಭಿವೃದ್ಧಿ ಸಾಧನಕ್ಕೆ ಸಂಬಂಧಿಸಿದ ಬದಲಾವಣೆಗಳು, ನವೀಕರಣಗಳು, ಪರಿಷ್ಕರಣೆಗಳು ಅಥವಾ ದೋಷಗಳು ಇದ್ದಾಗ ಚಂದಾದಾರರು ಇಮೇಲ್ ಅಧಿಸೂಚನೆಯನ್ನು ಸ್ವೀಕರಿಸುತ್ತಾರೆ. ನೋಂದಾಯಿಸಲು, ಇಲ್ಲಿಗೆ ಹೋಗಿ www.microchip.com/pcn ಮತ್ತು ನೋಂದಣಿ ಸೂಚನೆಗಳನ್ನು ಅನುಸರಿಸಿ.

ಗ್ರಾಹಕ ಬೆಂಬಲ
ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳ ಬಳಕೆದಾರರು ಹಲವಾರು ಚಾನಲ್‌ಗಳ ಮೂಲಕ ಸಹಾಯವನ್ನು ಪಡೆಯಬಹುದು:

  • ವಿತರಕ ಅಥವಾ ಪ್ರತಿನಿಧಿ
  • ಸ್ಥಳೀಯ ಮಾರಾಟ ಕಚೇರಿ
  • ಎಂಬೆಡೆಡ್ ಸೊಲ್ಯೂಷನ್ಸ್ ಇಂಜಿನಿಯರ್ (ಇಎಸ್‌ಇ)
  • ತಾಂತ್ರಿಕ ಬೆಂಬಲ

ಬೆಂಬಲಕ್ಕಾಗಿ ಗ್ರಾಹಕರು ತಮ್ಮ ವಿತರಕರು, ಪ್ರತಿನಿಧಿ ಅಥವಾ ESE ಅನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು. ಗ್ರಾಹಕರಿಗೆ ಸಹಾಯ ಮಾಡಲು ಸ್ಥಳೀಯ ಮಾರಾಟ ಕಚೇರಿಗಳು ಸಹ ಲಭ್ಯವಿದೆ. ಈ ಡಾಕ್ಯುಮೆಂಟ್‌ನಲ್ಲಿ ಮಾರಾಟ ಕಚೇರಿಗಳು ಮತ್ತು ಸ್ಥಳಗಳ ಪಟ್ಟಿಯನ್ನು ಸೇರಿಸಲಾಗಿದೆ. ಮೂಲಕ ತಾಂತ್ರಿಕ ಬೆಂಬಲ ಲಭ್ಯವಿದೆ webಸೈಟ್: www.microchip.com/support
ಮೈಕ್ರೋಚಿಪ್ ಸಾಧನಗಳ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯ
ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳಲ್ಲಿನ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯದ ಕೆಳಗಿನ ವಿವರಗಳನ್ನು ಗಮನಿಸಿ:

  • ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳು ತಮ್ಮ ನಿರ್ದಿಷ್ಟ ಮೈಕ್ರೋಚಿಪ್ ಡೇಟಾ ಶೀಟ್‌ನಲ್ಲಿರುವ ವಿಶೇಷಣಗಳನ್ನು ಪೂರೈಸುತ್ತವೆ.
  • ಉದ್ದೇಶಿತ ರೀತಿಯಲ್ಲಿ, ಕಾರ್ಯಾಚರಣೆಯ ವಿಶೇಷಣಗಳಲ್ಲಿ ಮತ್ತು ಸಾಮಾನ್ಯ ಪರಿಸ್ಥಿತಿಗಳಲ್ಲಿ ಬಳಸಿದಾಗ ಅದರ ಉತ್ಪನ್ನಗಳ ಕುಟುಂಬವು ಸುರಕ್ಷಿತವಾಗಿದೆ ಎಂದು ಮೈಕ್ರೋಚಿಪ್ ನಂಬುತ್ತದೆ.
  • ಮೈಕ್ರೋಚಿಪ್ ತನ್ನ ಬೌದ್ಧಿಕ ಆಸ್ತಿ ಹಕ್ಕುಗಳನ್ನು ಮೌಲ್ಯೀಕರಿಸುತ್ತದೆ ಮತ್ತು ಆಕ್ರಮಣಕಾರಿಯಾಗಿ ರಕ್ಷಿಸುತ್ತದೆ. ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನದ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ಉಲ್ಲಂಘಿಸುವ ಪ್ರಯತ್ನಗಳನ್ನು ಕಟ್ಟುನಿಟ್ಟಾಗಿ ನಿಷೇಧಿಸಲಾಗಿದೆ ಮತ್ತು ಡಿಜಿಟಲ್ ಮಿಲೇನಿಯಮ್ ಹಕ್ಕುಸ್ವಾಮ್ಯ ಕಾಯಿದೆಯನ್ನು ಉಲ್ಲಂಘಿಸಬಹುದು.
  • ಮೈಕ್ರೋಚಿಪ್ ಅಥವಾ ಯಾವುದೇ ಇತರ ಸೆಮಿಕಂಡಕ್ಟರ್ ತಯಾರಕರು ಅದರ ಕೋಡ್‌ನ ಸುರಕ್ಷತೆಯನ್ನು ಖಾತರಿಪಡಿಸುವುದಿಲ್ಲ. ಕೋಡ್ ರಕ್ಷಣೆ ಎಂದರೆ ಉತ್ಪನ್ನವು "ಮುರಿಯಲಾಗದು" ಎಂದು ನಾವು ಖಾತರಿಪಡಿಸುತ್ತೇವೆ ಎಂದು ಅರ್ಥವಲ್ಲ. ಕೋಡ್ ರಕ್ಷಣೆ ನಿರಂತರವಾಗಿ ವಿಕಸನಗೊಳ್ಳುತ್ತಿದೆ. ಮೈಕ್ರೋಚಿಪ್ ನಮ್ಮ ಉತ್ಪನ್ನಗಳ ಕೋಡ್ ರಕ್ಷಣೆ ವೈಶಿಷ್ಟ್ಯಗಳನ್ನು ನಿರಂತರವಾಗಿ ಸುಧಾರಿಸಲು ಬದ್ಧವಾಗಿದೆ.

ಕಾನೂನು ಸೂಚನೆ
ನಿಮ್ಮ ಅಪ್ಲಿಕೇಶನ್‌ನೊಂದಿಗೆ ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳನ್ನು ವಿನ್ಯಾಸಗೊಳಿಸಲು, ಪರೀಕ್ಷಿಸಲು ಮತ್ತು ಸಂಯೋಜಿಸಲು ಸೇರಿದಂತೆ ಈ ಪ್ರಕಟಣೆ ಮತ್ತು ಇಲ್ಲಿರುವ ಮಾಹಿತಿಯನ್ನು ಮೈಕ್ರೋಚಿಪ್ ಉತ್ಪನ್ನಗಳೊಂದಿಗೆ ಮಾತ್ರ ಬಳಸಬಹುದು. ಈ ಮಾಹಿತಿಯನ್ನು ಬೇರೆ ಯಾವುದೇ ರೀತಿಯಲ್ಲಿ ಬಳಸುವುದು ಈ ನಿಯಮಗಳನ್ನು ಉಲ್ಲಂಘಿಸುತ್ತದೆ. ಸಾಧನದ ಅಪ್ಲಿಕೇಶನ್‌ಗಳಿಗೆ ಸಂಬಂಧಿಸಿದ ಮಾಹಿತಿಯನ್ನು ನಿಮ್ಮ ಅನುಕೂಲಕ್ಕಾಗಿ ಮಾತ್ರ ಒದಗಿಸಲಾಗಿದೆ ಮತ್ತು ನವೀಕರಣಗಳ ಮೂಲಕ ಅದನ್ನು ರದ್ದುಗೊಳಿಸಬಹುದು. ನಿಮ್ಮ ಅಪ್ಲಿಕೇಶನ್ ನಿಮ್ಮ ವಿಶೇಷಣಗಳನ್ನು ಪೂರೈಸುತ್ತದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳುವುದು ನಿಮ್ಮ ಜವಾಬ್ದಾರಿಯಾಗಿದೆ. ಹೆಚ್ಚುವರಿ ಬೆಂಬಲಕ್ಕಾಗಿ ನಿಮ್ಮ ಸ್ಥಳೀಯ ಮೈಕ್ರೋಚಿಪ್ ಮಾರಾಟ ಕಚೇರಿಯನ್ನು ಸಂಪರ್ಕಿಸಿ ಅಥವಾ, ಹೆಚ್ಚುವರಿ ಬೆಂಬಲವನ್ನು ಪಡೆದುಕೊಳ್ಳಿ www.microchip.com/en-us/support/design-help/client-support-services.
ಈ ಮಾಹಿತಿಯನ್ನು ಮೈಕ್ರೋಚಿಪ್ "ಇರುವಂತೆ" ಒದಗಿಸಿದೆ. MICROCHIP ಯಾವುದೇ ರೀತಿಯ ಪ್ರಾತಿನಿಧ್ಯಗಳನ್ನು ಅಥವಾ ವಾರಂಟಿಗಳನ್ನು ನೀಡುವುದಿಲ್ಲ, ಲಿಖಿತ ಅಥವಾ ಮೌಖಿಕ, ಲಿಖಿತ ಅಥವಾ ಮೌಖಿಕ, ಶಾಸನಬದ್ಧ ಅಥವಾ ಇನ್ನಾವುದೇ, ತಿಳಿಸಲಾದ ಮಾಹಿತಿಗೆ ಸಂಬಂಧಿಸಿದೆ ಉಲ್ಲಂಘನೆ, ವ್ಯಾಪಾರ, ಮತ್ತು ನಿರ್ದಿಷ್ಟ ಉದ್ದೇಶಕ್ಕಾಗಿ ಫಿಟ್‌ನೆಸ್, ಅಥವಾ ಅದರ ಸ್ಥಿತಿ, ಗುಣಮಟ್ಟ ಅಥವಾ ಕಾರ್ಯಕ್ಷಮತೆಗೆ ಸಂಬಂಧಿಸಿದ ವಾರಂಟಿಗಳು. ಯಾವುದೇ ಸಂದರ್ಭದಲ್ಲಿ ಮೈಕ್ರೋಚಿಪ್ ಯಾವುದೇ ಪರೋಕ್ಷ, ವಿಶೇಷ, ದಂಡನಾತ್ಮಕ, ಪ್ರಾಸಂಗಿಕ, ಅಥವಾ ಅನುಕ್ರಮವಾದ ನಷ್ಟ, ಹಾನಿ, ವೆಚ್ಚ ಅಥವಾ ಯಾವುದೇ ರೀತಿಯ ಬಳಕೆಗೆ ಸಂಬಂಧಿಸಿದ ಯಾವುದೇ ವೆಚ್ಚಗಳಿಗೆ ಜವಾಬ್ದಾರನಾಗಿರುವುದಿಲ್ಲ ಬಳಸಲಾಗಿದೆ, ಮೈಕ್ರೋಚಿಪ್ ಸಾಧ್ಯತೆಯ ಬಗ್ಗೆ ಸಲಹೆ ನೀಡಿದ್ದರೂ ಅಥವಾ ಹಾನಿಗಳು ನಿರೀಕ್ಷಿತವಾಗಿದ್ದರೂ ಸಹ. ಕಾನೂನಿನಿಂದ ಅನುಮತಿಸಲಾದ ಪೂರ್ಣ ಪ್ರಮಾಣದಲ್ಲಿ, ಮಾಹಿತಿಗೆ ಸಂಬಂಧಿಸಿದ ಯಾವುದೇ ರೀತಿಯಲ್ಲಿ ಎಲ್ಲಾ ಹಕ್ಕುಗಳ ಮೇಲೆ ಮೈಕ್ರೋಚಿಪ್‌ನ ಒಟ್ಟು ಹೊಣೆಗಾರಿಕೆ ಅಥವಾ ಅದರ ಬಳಕೆಯು ಯಾವುದೇ ಪ್ರಕಾರದ ಫೀಡ್‌ಗಳ ಪ್ರಮಾಣವನ್ನು ಮೀರುವುದಿಲ್ಲ. ಮಾಹಿತಿಗಾಗಿ ರೋಚಿಪ್.
ಜೀವಾಧಾರಕ ಮತ್ತು/ಅಥವಾ ಸುರಕ್ಷತಾ ಅನ್ವಯಿಕೆಗಳಲ್ಲಿ ಮೈಕ್ರೋಚಿಪ್ ಸಾಧನಗಳ ಬಳಕೆಯು ಸಂಪೂರ್ಣವಾಗಿ ಖರೀದಿದಾರರ ಜವಾಬ್ದಾರಿಯಾಗಿರುತ್ತದೆ ಮತ್ತು ಅಂತಹ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಮತ್ತು ಎಲ್ಲಾ ಹಾನಿಗಳು, ಮೊಕದ್ದಮೆಗಳು, ಮೊಕದ್ದಮೆಗಳು ಅಥವಾ ವೆಚ್ಚಗಳಿಂದ ಮೈಕ್ರೋಚಿಪ್ ಅನ್ನು ರಕ್ಷಿಸಲು, ನಷ್ಟ ಪರಿಹಾರ ನೀಡಲು ಮತ್ತು ನಿರುಪದ್ರವಿಯಾಗಿ ಹಿಡಿದಿಡಲು ಖರೀದಿದಾರರು ಒಪ್ಪುತ್ತಾರೆ. ಬೇರೆ ರೀತಿಯಲ್ಲಿ ಹೇಳದ ಹೊರತು, ಯಾವುದೇ ಮೈಕ್ರೋಚಿಪ್ ಬೌದ್ಧಿಕ ಆಸ್ತಿ ಹಕ್ಕುಗಳ ಅಡಿಯಲ್ಲಿ ಯಾವುದೇ ಪರವಾನಗಿಗಳನ್ನು ಸೂಚ್ಯವಾಗಿ ಅಥವಾ ಬೇರೆ ರೀತಿಯಲ್ಲಿ ತಿಳಿಸಲಾಗುವುದಿಲ್ಲ.
ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳು
ಮೈಕ್ರೋಚಿಪ್ ಹೆಸರು ಮತ್ತು ಲೋಗೋ, ಮೈಕ್ರೋಚಿಪ್ ಲೋಗೋ, ಅಡಾಪ್ಟೆಕ್, AVR, AVR ಲೋಗೋ, AVR ಫ್ರೀಕ್ಸ್, ಬೆಸ್ಟ್‌ಟೈಮ್, ಬಿಟ್‌ಕ್ಲೌಡ್, ಕ್ರಿಪ್ಟೋಮೆಮೊರಿ, ಕ್ರಿಪ್ಟೋಆರ್‌ಎಫ್, ಡಿಎಸ್‌ಪಿಐಸಿ, ಫ್ಲೆಕ್ಸ್‌ಪಿಡಬ್ಲ್ಯೂಆರ್, ಹೆಲ್ಡೋ, ಇಗ್ಲೂ, ಜ್ಯೂಕ್‌ಬ್ಲಾಕ್ಸ್, ಕೆಲ್‌ಎಕ್ಸ್, ಮ್ಯಾಕ್ಸ್, ಎಮ್‌ಡಿ uch, MediaLB, megaAVR, ಮೈಕ್ರೋಸೆಮಿ, ಮೈಕ್ರೋಸೆಮಿ ಲೋಗೋ, MOST, MOST ಲೋಗೋ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 ಲೋಗೋ, PolarFire, Prochip ಡಿಸೈನರ್, QTouch, SAM-BA, SenGenuity, SpyNIC, SpyNIC, ಸೂಪರ್ ಎಫ್‌ಐಎನ್‌ಐಸಿ, chyon, TimeSource, tinyAVR, UNI/O, Vectron ಮತ್ತು XMEGA ಗಳು USA ಮತ್ತು ಇತರ ದೇಶಗಳಲ್ಲಿ ಮೈಕ್ರೊಚಿಪ್ ತಂತ್ರಜ್ಞಾನದ ನೋಂದಾಯಿತ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC ಪ್ಲಸ್ ಲೋಗೋ, ಸ್ಮಾರ್ಟ್‌ಡಬ್ಲ್ಯೂ, ಕ್ವಿಸೆಟ್ ಟೈಮ್‌ಸೀಸಿಯಮ್, ಟೈಮ್‌ಹಬ್, ಟೈಮ್‌ಪಿಕ್ಟ್ರಾ, ಟೈಮ್‌ಪ್ರೊವೈಡರ್ ಮತ್ತು ಝಡ್‌ಎಲ್ ಯುಎಸ್‌ಎಯಲ್ಲಿ ಮೈಕ್ರೊಚಿಪ್ ಟೆಕ್ನಾಲಜಿಯ ನೋಂದಾಯಿತ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ.
ಪಕ್ಕದ ಕೀ ಸಪ್ರೆಶನ್, AKS, ಅನಲಾಗ್-ಫಾರ್-ದಿ-ಡಿಜಿಟಲ್ ಏಜ್, ಯಾವುದೇ ಕೆಪಾಸಿಟರ್, AnyIn, AnyOut, ವರ್ಧಿತ ಸ್ವಿಚಿಂಗ್, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, DDE, CryptoCompanion, CryptoCompanion. ನಾಮಿಕ ಸರಾಸರಿ ಹೊಂದಾಣಿಕೆ , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, ಇನ್-ಸರ್ಕ್ಯೂಟ್ ಸೀರಿಯಲ್ ಪ್ರೋಗ್ರಾಮಿಂಗ್, ICSP, INICnet, ಇಂಟೆಲಿಜೆಂಟ್ ಪ್ಯಾರಲಲಿಂಗ್, IntelliMOS, ಇಂಟರ್-ಚಿಪ್ ಕನೆಕ್ಟಿವಿಟಿ, Kitterblocker, Kitterblocker-DAM ಗರಿಷ್ಠView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB ಪ್ರಮಾಣೀಕೃತ ಲೋಗೋ, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, ಸರ್ವಜ್ಞ ಕೋಡ್ ಜನರೇಷನ್, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Powermarilticon , ಕ್ಯೂಮ್ಯಾಟ್ರಿಕ್ಸ್, ರಿಯಲ್ ಐಸ್, ರಿಪ್ಪಲ್ ಬ್ಲಾಕರ್, ಆರ್‌ಟಿಎಎಕ್ಸ್, ಆರ್‌ಟಿಜಿ7, ಸ್ಯಾಮ್-ಐಸಿಇ, ಸೀರಿಯಲ್ ಕ್ವಾಡ್ ಐ/ಒ, ಸಿಂಪಲ್‌ಮ್ಯಾಪ್, ಸಿಂಪ್ಲಿಫಿ, ಸ್ಮಾರ್ಟ್‌ಬಫರ್, ಸ್ಮಾರ್ಟ್‌ಎಚ್‌ಎಲ್‌ಎಸ್, ಸ್ಮಾರ್ಟ್-ಐಎಸ್, ಸ್ಟೋರ್‌ಕ್ಲಾಡ್, ಎಸ್‌ಕ್ಯೂಐ, ಸೂಪರ್‌ಸ್ವಿಚರ್, ಸೂಪರ್‌ಸ್ವಿಚರ್, ಟೋಸಿನ್‌ಚ್ರೋನ್ಸ್‌ಡ್ಕ್ , ವಿಶ್ವಾಸಾರ್ಹ ಸಮಯ, TSHARC, ಟ್ಯೂರಿಂಗ್, USB ಚೆಕ್, ವೇರಿಸೆನ್ಸ್, ವೆಕ್ಟರ್‌ಬ್ಲಾಕ್ಸ್, ವೆರಿಫಿ, Viewಸ್ಪ್ಯಾನ್, ವೈಪರ್‌ಲಾಕ್, ಎಕ್ಸ್‌ಪ್ರೆಸ್‌ಕನೆಕ್ಟ್ ಮತ್ತು ಜೆನಾ ಯುಎಸ್‌ಎ ಮತ್ತು ಇತರ ದೇಶಗಳಲ್ಲಿ ಮೈಕ್ರೊಚಿಪ್ ತಂತ್ರಜ್ಞಾನದ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ.
SQTP ಯುಎಸ್ಎಯಲ್ಲಿ ಮೈಕ್ರೊಚಿಪ್ ತಂತ್ರಜ್ಞಾನದ ಸೇವಾ ಚಿಹ್ನೆಯಾಗಿದೆ
ಅಡಾಪ್ಟೆಕ್ ಲೋಗೋ, ಫ್ರೀಕ್ವೆನ್ಸಿ ಆನ್ ಡಿಮ್ಯಾಂಡ್, ಸಿಲಿಕಾನ್ ಸ್ಟೋರೇಜ್ ಟೆಕ್ನಾಲಜಿ ಮತ್ತು ಸಿಮ್‌ಕಾಮ್ ಇತರ ದೇಶಗಳಲ್ಲಿ ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಇಂಕ್.ನ ನೋಂದಾಯಿತ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ.
GestIC ಎಂಬುದು ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಜರ್ಮನಿ II GmbH & Co. KG ನ ನೋಂದಾಯಿತ ಟ್ರೇಡ್‌ಮಾರ್ಕ್ ಆಗಿದೆ, ಇದು ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ Inc. ನ ಅಂಗಸಂಸ್ಥೆಯಾಗಿದೆ.
ಇಲ್ಲಿ ಉಲ್ಲೇಖಿಸಲಾದ ಎಲ್ಲಾ ಇತರ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳು ಆಯಾ ಕಂಪನಿಗಳ ಆಸ್ತಿ.
2024, ಮೈಕ್ರೋಚಿಪ್ ಟೆಕ್ನಾಲಜಿ ಇನ್ಕಾರ್ಪೊರೇಟೆಡ್ ಮತ್ತು ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳು. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ.
ISBN: 978-1-6683-0183-8
ಗುಣಮಟ್ಟ ನಿರ್ವಹಣಾ ವ್ಯವಸ್ಥೆ
ಮೈಕ್ರೋಚಿಪ್‌ನ ಗುಣಮಟ್ಟ ನಿರ್ವಹಣಾ ವ್ಯವಸ್ಥೆಗಳ ಕುರಿತು ಮಾಹಿತಿಗಾಗಿ, ದಯವಿಟ್ಟು ಭೇಟಿ ನೀಡಿ www.microchip.com/qualitty.
ವಿಶ್ವಾದ್ಯಂತ ಮಾರಾಟ ಮತ್ತು ಸೇವೆ

ಅಮೇರಿಕಾ  ASIA/PACIFIC  ASIA/PACIFIC  ಯುರೋಪ್
ಕಾರ್ಪೊರೇಟ್ ಕಚೇರಿ
2355 ವೆಸ್ಟ್ ಚಾಂಡ್ಲರ್ ಬುಲೇವಾರ್ಡ್.
ಚಾಂಡ್ಲರ್, AZ 85224-6199
ದೂರವಾಣಿ: 480-792-7200
ಫ್ಯಾಕ್ಸ್: 480-792-7277
ತಾಂತ್ರಿಕ ಬೆಂಬಲ: www.microchip.com/support
Web ವಿಳಾಸ: www.microchip.com
ಅಟ್ಲಾಂಟಾ
ಡುಲುತ್, ಜಿಎ
ದೂರವಾಣಿ: 678-957-9614
ಫ್ಯಾಕ್ಸ್: 678-957-1455
ಆಸ್ಟಿನ್, TX
ದೂರವಾಣಿ: 512-257-3370
ಬೋಸ್ಟನ್
ವೆಸ್ಟ್‌ಬರೋ, MA
ದೂರವಾಣಿ: 774-760-0087
ಫ್ಯಾಕ್ಸ್: 774-760-0088
ಚಿಕಾಗೋ
ಇಟಾಸ್ಕಾ, IL
ದೂರವಾಣಿ: 630-285-0071
ಫ್ಯಾಕ್ಸ್: 630-285-0075
ಡಲ್ಲಾಸ್
ಅಡಿಸನ್, ಟಿಎಕ್ಸ್
ದೂರವಾಣಿ: 972-818-7423
ಫ್ಯಾಕ್ಸ್: 972-818-2924
ಡೆಟ್ರಾಯಿಟ್
ನೋವಿ, MI
ದೂರವಾಣಿ: 248-848-4000
ಹೂಸ್ಟನ್, TX
ದೂರವಾಣಿ: 281-894-5983
ಇಂಡಿಯಾನಾಪೊಲಿಸ್
ನೋಬಲ್ಸ್ವಿಲ್ಲೆ, IN
ದೂರವಾಣಿ: 317-773-8323
ಫ್ಯಾಕ್ಸ್: 317-773-5453
ದೂರವಾಣಿ: 317-536-2380
ಲಾಸ್ ಏಂಜಲೀಸ್
ಮಿಷನ್ ವಿಜೊ, CA
ದೂರವಾಣಿ: 949-462-9523
ಫ್ಯಾಕ್ಸ್: 949-462-9608
ದೂರವಾಣಿ: 951-273-7800
ರೇಲಿ, NC
ದೂರವಾಣಿ: 919-844-7510
ನ್ಯೂಯಾರ್ಕ್, NY
ದೂರವಾಣಿ: 631-435-6000
ಸ್ಯಾನ್ ಜೋಸ್, CA
ದೂರವಾಣಿ: 408-735-9110
ದೂರವಾಣಿ: 408-436-4270
ಕೆನಡಾ - ಟೊರೊಂಟೊ
ದೂರವಾಣಿ: 905-695-1980
ಫ್ಯಾಕ್ಸ್: 905-695-2078
ಆಸ್ಟ್ರೇಲಿಯಾ - ಸಿಡ್ನಿ
ದೂರವಾಣಿ: 61-2-9868-6733
ಚೀನಾ - ಬೀಜಿಂಗ್
ದೂರವಾಣಿ: 86-10-8569-7000
ಚೀನಾ - ಚೆಂಗ್ಡು
ದೂರವಾಣಿ: 86-28-8665-5511
ಚೀನಾ - ಚಾಂಗ್ಕಿಂಗ್
ದೂರವಾಣಿ: 86-23-8980-9588
ಚೀನಾ - ಡಾಂಗ್ಗುವಾನ್
ದೂರವಾಣಿ: 86-769-8702-9880
ಚೀನಾ - ಗುವಾಂಗ್ಝೌ
ದೂರವಾಣಿ: 86-20-8755-8029
ಚೀನಾ - ಹ್ಯಾಂಗ್ಝೌ
ದೂರವಾಣಿ: 86-571-8792-8115
ಚೀನಾ - ಹಾಂಗ್ ಕಾಂಗ್ SAR
ದೂರವಾಣಿ: 852-2943-5100
ಚೀನಾ - ನಾನ್ಜಿಂಗ್
ದೂರವಾಣಿ: 86-25-8473-2460
ಚೀನಾ - ಕಿಂಗ್ಡಾವೊ
ದೂರವಾಣಿ: 86-532-8502-7355
ಚೀನಾ - ಶಾಂಘೈ
ದೂರವಾಣಿ: 86-21-3326-8000
ಚೀನಾ - ಶೆನ್ಯಾಂಗ್
ದೂರವಾಣಿ: 86-24-2334-2829
ಚೀನಾ - ಶೆನ್ಜೆನ್
ದೂರವಾಣಿ: 86-755-8864-2200
ಚೀನಾ - ಸುಝೌ
ದೂರವಾಣಿ: 86-186-6233-1526
ಚೀನಾ - ವುಹಾನ್
ದೂರವಾಣಿ: 86-27-5980-5300
ಚೀನಾ - ಕ್ಸಿಯಾನ್
ದೂರವಾಣಿ: 86-29-8833-7252
ಚೀನಾ - ಕ್ಸಿಯಾಮೆನ್
ದೂರವಾಣಿ: 86-592-2388138
ಚೀನಾ - ಝುಹೈ
ದೂರವಾಣಿ: 86-756-3210040
ಭಾರತ - ಬೆಂಗಳೂರು
ದೂರವಾಣಿ: 91-80-3090-4444
ಭಾರತ - ನವದೆಹಲಿ
ದೂರವಾಣಿ: 91-11-4160-8631
ಭಾರತ - ಪುಣೆ
ದೂರವಾಣಿ: 91-20-4121-0141
ಜಪಾನ್ - ಒಸಾಕಾ
ದೂರವಾಣಿ: 81-6-6152-7160
ಜಪಾನ್ - ಟೋಕಿಯೋ
ದೂರವಾಣಿ: 81-3-6880- 3770
ಕೊರಿಯಾ - ಡೇಗು
ದೂರವಾಣಿ: 82-53-744-4301
ಕೊರಿಯಾ - ಸಿಯೋಲ್
ದೂರವಾಣಿ: 82-2-554-7200
ಮಲೇಷ್ಯಾ - ಕೌಲಾಲಂಪುರ್
ದೂರವಾಣಿ: 60-3-7651-7906
ಮಲೇಷ್ಯಾ - ಪೆನಾಂಗ್
ದೂರವಾಣಿ: 60-4-227-8870
ಫಿಲಿಪೈನ್ಸ್ - ಮನಿಲಾ
ದೂರವಾಣಿ: 63-2-634-9065
ಸಿಂಗಾಪುರ
ದೂರವಾಣಿ: 65-6334-8870
ತೈವಾನ್ - ಹ್ಸಿನ್ ಚು
ದೂರವಾಣಿ: 886-3-577-8366
ತೈವಾನ್ - ಕಾಹ್ಸಿಯುಂಗ್
ದೂರವಾಣಿ: 886-7-213-7830
ತೈವಾನ್ - ತೈಪೆ
ದೂರವಾಣಿ: 886-2-2508-8600
ಥೈಲ್ಯಾಂಡ್ - ಬ್ಯಾಂಕಾಕ್
ದೂರವಾಣಿ: 66-2-694-1351
ವಿಯೆಟ್ನಾಂ - ಹೋ ಚಿ ಮಿನ್ಹ್
ದೂರವಾಣಿ: 84-28-5448-2100
ಆಸ್ಟ್ರಿಯಾ - ವೆಲ್ಸ್
ದೂರವಾಣಿ: 43-7242-2244-39
ಫ್ಯಾಕ್ಸ್: 43-7242-2244-393
ಡೆನ್ಮಾರ್ಕ್ - ಕೋಪನ್ ಹ್ಯಾಗನ್
ದೂರವಾಣಿ: 45-4485-5910
ಫ್ಯಾಕ್ಸ್: 45-4485-2829
ಫಿನ್ಲ್ಯಾಂಡ್ - ಎಸ್ಪೂ
ದೂರವಾಣಿ: 358-9-4520-820
ಫ್ರಾನ್ಸ್ - ಪ್ಯಾರಿಸ್
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
ಜರ್ಮನಿ - ಗಾರ್ಚಿಂಗ್
ದೂರವಾಣಿ: 49-8931-9700
ಜರ್ಮನಿ - ಹಾನ್
ದೂರವಾಣಿ: 49-2129-3766400
ಜರ್ಮನಿ - ಹೈಲ್ಬ್ರಾನ್
ದೂರವಾಣಿ: 49-7131-72400
ಜರ್ಮನಿ - ಕಾರ್ಲ್ಸ್ರುಹೆ
ದೂರವಾಣಿ: 49-721-625370
ಜರ್ಮನಿ - ಮ್ಯೂನಿಚ್
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
ಜರ್ಮನಿ - ರೋಸೆನ್ಹೈಮ್
ದೂರವಾಣಿ: 49-8031-354-560
ಇಸ್ರೇಲ್ - ಹಾಡ್ ಹಶರಾನ್
ದೂರವಾಣಿ: 972-9-775-5100
ಇಟಲಿ - ಮಿಲನ್
ದೂರವಾಣಿ: 39-0331-742611
ಫ್ಯಾಕ್ಸ್: 39-0331-466781
ಇಟಲಿ - ಪಡೋವಾ
ದೂರವಾಣಿ: 39-049-7625286
ನೆದರ್ಲ್ಯಾಂಡ್ಸ್ - ಡ್ರುನೆನ್
ದೂರವಾಣಿ: 31-416-690399
ಫ್ಯಾಕ್ಸ್: 31-416-690340
ನಾರ್ವೆ - ಟ್ರೊಂಡೆಮ್
ದೂರವಾಣಿ: 47-72884388
ಪೋಲೆಂಡ್ - ವಾರ್ಸಾ
ದೂರವಾಣಿ: 48-22-3325737
ರೊಮೇನಿಯಾ - ಬುಕಾರೆಸ್ಟ್
Tel: 40-21-407-87-50
ಸ್ಪೇನ್ - ಮ್ಯಾಡ್ರಿಡ್
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
ಸ್ವೀಡನ್ - ಗೋಥೆನ್ಬರ್ಗ್
Tel: 46-31-704-60-40
ಸ್ವೀಡನ್ - ಸ್ಟಾಕ್ಹೋಮ್
ದೂರವಾಣಿ: 46-8-5090-4654
ಯುಕೆ - ವೋಕಿಂಗ್ಹ್ಯಾಮ್
ದೂರವಾಣಿ: 44-118-921-5800
ಫ್ಯಾಕ್ಸ್: 44-118-921-5820

ಮೈಕ್ರೋಚಿಪ್ - ಲೋಗೋ

ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು

ಮೈಕ್ರೋಚಿಪ್ DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
DS00004807F ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ, DS00004807F, ಪೋಲಾರ್‌ಫೈರ್ ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ, ಫ್ಯಾಮಿಲಿ FPGA ಕಸ್ಟಮ್ ಫ್ಲೋ, ಕಸ್ಟಮ್ ಫ್ಲೋ, ಫ್ಲೋ

ಉಲ್ಲೇಖಗಳು

ಕಾಮೆಂಟ್ ಬಿಡಿ

ನಿಮ್ಮ ಇಮೇಲ್ ವಿಳಾಸವನ್ನು ಪ್ರಕಟಿಸಲಾಗುವುದಿಲ್ಲ. ಅಗತ್ಯವಿರುವ ಕ್ಷೇತ್ರಗಳನ್ನು ಗುರುತಿಸಲಾಗಿದೆ *