MICROCHIP - לאָגאָ PolarFire Family FPGA Custom Flow User Guide
Libero SoC v2024.2

הקדמה (פרעגן אַ קשיא)

Libero System-on-Chip (SoC) ווייכווארג גיט אַ גאָר ינאַגרייטיד פעלד פּראָגראַממאַבלע גייט אַררייַ (FPGA) פּלאַן סוויווע. אָבער, עטלעכע יוזערז זאל וועלן צו נוצן דריט-פּאַרטיי סינטעז און סימיאַליישאַן מכשירים אַרויס די Libero SoC סוויווע. Libero קענען איצט זיין ינאַגרייטיד אין די FPGA פּלאַן סוויווע. עס איז רעקאַמענדיד צו נוצן Libero SoC צו פירן די גאנצע FPGA פּלאַן לויפן.
דער באַניצער גייד באשרייבט די מנהג פלאָו פֿאַר PolarFire און PolarFire SoC משפּחה דעוויסעס, אַ פּראָצעס צו ויסשטימען Libero ווי אַ טייל פון די גרעסערע פפּגאַ פּלאַן לויפן. שטיצט דיווייס פאַמיליעס® די פאלגענדע טיש ליסטעד די מיטל פאַמיליעס וואָס Libero SoC שטיצט. אָבער, עטלעכע אינפֿאָרמאַציע אין דעם פירער קען נאָר אַפּלייז צו אַ ספּעציפיש משפּחה פון דעוויסעס. אין דעם פאַל, אַזאַ אינפֿאָרמאַציע איז קלאר יידענאַפייד.
טיש 1. מיטל פאַמילי געשטיצט דורך Libero SoC

מיטל משפּחה באַשרייַבונג
PolarFire® PolarFire FPGAs צושטעלן די ינדאַסטרי ס לאָואַסט מאַכט אין מיטן קייט דענסאַטיז מיט יקסעפּשאַנאַל זיכערהייט און רילייאַבילאַטי.
PolarFire SoC PolarFire SoC איז דער ערשטער SoC FPGA מיט אַ דיטערמאַניסטיק, קאָוכיראַנט RISC-V קפּו קנויל און אַ דיטערמאַניסטיק L2 זכּרון סאַבסיסטאַם וואָס ינייבאַלז לינוקס® און פאַקטיש-צייט אַפּלאַקיישאַנז.

איבערview (פרעגן אַ קשיא)

בשעת Libero SoC גיט אַ גאָר ינאַגרייטיד סוף-צו-סוף פּלאַן סוויווע צו אַנטוויקלען SoC און FPGA דיזיינז, עס אויך גיט די בייגיקייט צו לויפן סינטעז און סימיאַליישאַן מיט דריט-פּאַרטיי מכשירים אַרויס די Libero SoC סוויווע. אָבער, עטלעכע פּלאַן סטעפּס מוזן בלייבן אין די Libero SoC סוויווע.
די פאלגענדע טיש ליסטעד די הויפּט סטעפּס אין די FPGA פּלאַן לויפן און ינדיקייץ די סטעפּס פֿאַר וואָס Libero SoC מוזן זיין געוויינט.
טיש 1-1. FPGA פּלאַן פלאָו

פּלאַן פלאָו סטעפּ מוזן נוצן Libero באַשרייַבונג
פּלאַן פּאָזיציע: HDL ניין ניצן דריט-פּאַרטיי HDL רעדאַקטאָר / טשעקער געצייַג אַרויס Libero® SoC אויב איר ווילט.
פּלאַן פּאָזיציע: קאָנפיגוראַטאָרס יא שאַפֿן דער ערשטער Libero פּרויעקט פֿאַר IP קאַטאַלאָג האַרץ קאָמפּאָנענט דור.
אָטאַמאַטיק PDC / SDC קאַנסטריינץ דור ניין דערייווד קאַנסטריינץ דאַרפֿן אַלע HDL files און אַ derive_constraints נוצן ווען דורכגעקאָכט אַרויס פון Libero SoC, ווי דיסקרייבד אין אַפּפּענדיקס C-דעריווע קאַנסטריינץ.
סימיאַליישאַן ניין ניצן דריט-פּאַרטיי געצייַג אַרויס Libero SoC, אויב איר ווילט. ריקוויירז אראפקאפיע פון ​​​​פאַר-קאָמפּילעד סימיאַליישאַן לייברעריז פֿאַר ציל מיטל, ציל סימיאַלייטער און ציל Libero ווערסיע געניצט פֿאַר באַקענד ימפּלאַמענטיישאַן.
סינטעז ניין ניצן דריט-פּאַרטיי געצייַג אַרויס Libero SoC אויב איר ווילט.
פּלאַן ימפּלעמענטאַטיאָן: פירן קאַנסטריינץ, צונויפנעמען נעטליסט, אָרט-און-רוט (זען איבערview) יא שאַפֿן צווייטע Libero פּרויעקט פֿאַר די באַקענד ימפּלאַמענטיישאַן.
טיימינג און מאַכט וועראַפאַקיישאַן יא בלייבן אין צווייטן Libero פּרויעקט.
קאַנפיגיער דיזיין יניטיאַליזאַטיאָן דאַטאַ און מעמאָריעס יא ניצן דעם געצייַג צו פירן פאַרשידענע טייפּס פון מעמעריז און פּלאַן יניטיאַליזיישאַן אין די מיטל. בלייַבן אין צווייט פּרויעקט.
פּראָגראַממינג File דור יא בלייַבן אין צווייט פּרויעקט.

MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל וויכטיק: איר מוזן אראפקאפיע פּריקאָמפּילעד לייברעריז בנימצא אין די פּרעקאָמפּילעד סימיאַליישאַן ליבראַריעס בלאַט צו נוצן אַ דריט-פּאַרטיי סימיאַלייטער.
אין אַ ריין שטאָף פפּגאַ לויפן, אַרייַן דיין פּלאַן מיט HDL אָדער סכעמאַטיש פּאָזיציע און פאָרן אַז גלייך
צו די סינטעז מכשירים. די לויפן איז נאָך געשטיצט. PolarFire און PolarFire SoC FPGAs האָבן באַטייַטיק
פּראַפּרייאַטערי שווער IP בלאַקס וואָס דאַרפן די נוצן פון קאַנפיגיעריישאַן קאָרעס (SgCores) פֿון Libero SoC IP
קאַטאַלאָג. ספּעציעלע האַנדלינג איז פארלאנגט פֿאַר קיין בלאַקס וואָס כולל SoC פאַנגקשאַנאַליטי:

  • PolarFire
    – PF_UPROM
    – PF_SYSTEM_SERVICES
    – PF_CCC
    – PF CLK DIV
    – PF_CRYPTO
    – PF_DRI
    – PF_INIT_MONITOR
    – PF_NGMUX
    – PF_OSC
    - RAMs (TPSRAM, DPSRAM, URAM)
    – PF_SRAM_AHBL_AXI
    – PF_XCVR_ERM
    – PF_XCVR_REF_CLK
    – PF_TX_PLL
    – PF_PCIE
    – PF_IO
    – PF_IOD_CDR
    – PF_IOD_CDR_CCC
    – PF_IOD_GENERIC_RX
    – PF_IOD_GENERIC_TX
    – PF_IOD_GENERIC_TX_CCC
    – PF_RGMII_TO_GMII
    – PF_IOD_OCTAL_DDR
    – PF_DDR3
    – PF_DDR4
    – PF_LPDDR3
    – PF_QDR
    – PF_CORESMARTBERT
    – פּף_טAMPER
    – PF_TVS, און אַזוי אויף.

אין אַדישאַן צו די פריערדיקע ליסטעד SgCores, עס זענען פילע DirectCore ווייך IPs בנימצא פֿאַר PolarFire און PolarFire SoC מיטל פאַמיליעס אין די Libero SoC קאַטאַלאָג וואָס נוצן די FPGA שטאָף רעסורסן.
פֿאַר פּלאַן פּאָזיציע, אויב איר נוצן איינער פון די פריערדיקע קאַמפּאָונאַנץ, איר מוזן נוצן Libero SoC פֿאַר טייל פון די פּלאַן פּאָזיציע (קאָמפּאָנענט קאָנפיגוראַטיאָן), אָבער איר קענען פאָרזעצן די רעשט פון דיין פּלאַן פּאָזיציע (HDL פּאָזיציע, און אַזוי אויף) אַרויס פון Libero. צו פירן די FPGA פּלאַן לויפן אַרויס פון Libero, נאָכגיין די סטעפּס צוגעשטעלט אין די רעשט פון דעם פירער.
1.1 קאָמפּאָנענט לעבן ציקל (פרעגן אַ קשיא)
די פאלגענדע סטעפּס באַשרייַבן די לעבן ציקל פון אַ SoC קאָמפּאָנענט און צושטעלן ינסטראַקשאַנז אויף ווי צו שעפּן די דאַטן.

  1. דזשענערייט די קאָמפּאָנענט מיט זיין קאַנפיגיערייטער אין Libero SoC. דאָס דזשענערייץ די פאלגענדע טייפּס פון דאַטן:
    – HDL files
    – זכּרון files
    - סטימול און סימיאַליישאַן files
    - קאָמפּאָנענט SDC file
  2. פֿאַר HDL files, ינסטאַנטייט און ויסשטימען זיי אין די רעשט פון די HDL פּלאַן ניצן די פונדרויסנדיק פּלאַן פּאָזיציע געצייַג / פּראָצעס.
  3. צושטעלן זכּרון files און סטימול fileס צו דיין סימיאַליישאַן געצייַג.
  4. צושטעלן קאָמפּאָנענט SDC file צו דערייווד קאַנסטריינט געצייַג פֿאַר קאַנסטריינט דור. זען אַפּפּענדיקס C—דערייווד קאַנסטריינץ פֿאַר מער דעטאַילס.
  5. איר מוזן מאַכן אַ צווייט Libero פּרויעקט, ווו איר אַרייַנפיר די פּאָסט-סינטעז נעטליסט און דיין קאָמפּאָנענט מעטאַדאַטאַ, אַזוי קאַמפּליטינג די קשר צווישן וואָס איר דזשענערייטאַד און וואָס איר פּראָגראַם.

1.2 Libero SoC Project Creation (פרעגן אַ קשיא)
עטלעכע פּלאַן סטעפּס מוזן זיין לויפן אין די Libero SoC סוויווע (טאַבלע 1-1). פֿאַר די סטעפּס צו לויפן, איר מוזן שאַפֿן צוויי Libero SoC פּראַדזשעקס. דער ערשטער פּרויעקט איז געניצט פֿאַר קאַנפיגיעריישאַן און דור פון פּלאַן קאָמפּאָנענט, און די צווייטע פּרויעקט איז פֿאַר די גשמיות ימפּלאַמענטיישאַן פון די שפּיץ-מדרגה פּלאַן.
1.3 מנהג לויפן (פרעגן אַ קשיא)
די פאלגענדע פיגור ווייזט:

  • Libero SoC קענען זיין ינאַגרייטיד ווי אַ טייל פון די גרעסערע FPGA פּלאַן לויפן מיט די דריט-פּאַרטיי סינטעז און סימיאַליישאַן מכשירים אַרויס די Libero SoC סוויווע.
  • פאַרשידן סטעפּס ינוואַלווד אין די לויפן, סטאַרטינג פון פּלאַן שאַפונג און סטיטשינג אַלע די וועג צו פּראָגראַממינג די מיטל.
  • די דאַטן וועקסל (ינפּוץ און אַוטפּוץ) וואָס מוזן פּאַסירן אין יעדער פּלאַן לויפן שריט.

MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג לויפן - מנהג לויפן איבערviewMICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל 1 טיפּ:

  1. SNVM.cfg, UPROM.cfg
  2. *.מעם file דור פֿאַר סימיאַליישאַן: pa4rtupromgen.exe נעמט UPROM.cfg ווי אַרייַנשרייַב און דזשענערייץ UPROM.mem.

די פאלגענדע זענען די סטעפּס אין די מנהג לויפן:

  1. קאָמפּאָנענט קאַנפיגיעריישאַן און פּראָדוקציע:
    א. שאַפֿן אַ ערשטער Libero פּרויעקט (צו דינען ווי אַ רעפֿערענץ פּראָיעקט).
    ב. אויסקלייַבן די קאָר פון די קאַטאַלאָג. טאָפּל גיט די האַרץ צו געבן עס אַ קאָמפּאָנענט נאָמען און קאַנפיגיער די קאָמפּאָנענט.
    דעם אויטאָמאַטיש עקספּאָרץ קאָמפּאָנענט דאַטן און fileס. א קאָמפּאָנענט מאַניפעסץ איז אויך דזשענערייטאַד. זען קאָמפּאָנענט מאַניפעסץ פֿאַר פרטים. פֿאַר מער דעטאַילס, זען קאָמפּאָנענט קאַנפיגיעריישאַן.
  2. פאַרענדיקן דיין RTL פּלאַן אַרויס פון Libero:
    א. ינסטאַנטייט די קאָמפּאָנענט HDL files.
    ב. דער אָרט פון די HDL files איז ליסטעד אין די קאָמפּאָנענט מאַניפעסץ files.
  3. דזשענערייט SDC קאַנסטריינץ פֿאַר די קאַמפּאָונאַנץ. ניצן דעריווע קאַנסטריינץ נוצן צו דזשענערייט די טיימינג קאַנסטריינץ file(SDC) באזירט אויף:
    א. קאָמפּאָנענט HDL files
    ב. קאָמפּאָנענט SDC files
    ג. באַניצער HDL files
    פֿאַר מער דעטאַילס, זען אַפּפּענדיקס C—דערייווד קאַנסטריינץ.
  4. סינטעז געצייַג / סימיאַליישאַן געצייַג:
    א. באַקומען HDL files, סטימול files, און קאָמפּאָנענט דאַטן פון די ספּעציפיש לאָוקיישאַנז ווי אנגעוויזן אין די קאָמפּאָנענט מאַניפעסץ.
    ב. סינטאַסייז און סימולירן די פּלאַן מיט דריט-פּאַרטיי מכשירים אַרויס Libero SoC.
  5. שאַפֿן דיין צווייטע (ימפּלעמענטאַטיאָן) Libero Project.
  6. אַראָפּנעמען סינטעז פון די פּלאַן לויפן געצייַג קייט (פּראָיעקט> פּראָיעקט סעטטינגס> פּלאַן פלאָו> ויסמעקן די געבן סינטעז טשעק קעסטל).
  7. אַרייַנפיר די פּלאַן מקור files (פּאָסט-סינטעז *.vm נעטליסט פון סינטעז געצייַג):
    - ימפּאָרט נאָך סינטעז *.vm נעטליסט (File> ימפּאָרט > סינטאַסייזד ווערילאָג נעטליסט (וום)).
    – קאָמפּאָנענט מעטאַדאַטאַ *.קפג files פֿאַר uPROM און / אָדער sNVM.
  8. אַרייַנפיר קיין Libero SoC בלאָק קאָמפּאָנענט fileס. די בלאָק files מוזן זיין אין די *.קקסז file פֿאָרמאַט.
    פֿאַר מער אינפֿאָרמאַציע אויף ווי צו שאַפֿן אַ בלאָק, זען PolarFire Block Flow User Guide.
  9. אַרייַנפיר די פּלאַן קאַנסטריינץ:
    - אַרייַנפיר איך / אָ באַגרענעצונג files (קאָנסטריינץ מאַנאַגער> איך / אָאַטטריביוץ> ימפּאָרט).
    - ימפּאָרט פלאָרפּלאַנינג *.פּדק files (קאָנסטריינץ מאַנאַגער> פלאָר פּלאַננער> ימפּאָרט).
    - ימפּאָרט *.sdc טיימינג קאַנסטריינץ files (קאָנסטריינץ מאַנאַגער> טיימינג> ימפּאָרט). אַרייַנפיר די SDC file דזשענערייטאַד דורך דעריווע קאָנסטרעינט געצייַג.
    - ימפּאָרט *.נדק קאַנסטריינץ files (קאָנסטריינץ מאַנאַגער> נעטליסט אַטריביוץ> ימפּאָרט), אויב קיין.
  10. קאַנסטריינט file און מכשירים פאַרבאַנד
    - אין די קאַנסטריינט מאַנאַגער, פאַרבינדן די *.pdc fileס צו שטעלן און מאַרשרוט, די *.סק files צו שטעלן און מאַרשרוט און טיימינג וועראַפאַקיישאַנז, און די *.נדק files צו זאַמלען נעטליסט.
  11. גאַנץ פּלאַן ימפּלאַמענטיישאַן
    - אָרט און מאַרשרוט, באַשטעטיקן טיימינג און מאַכט, קאַנפיגיער די פּלאַן יניטיאַליזאַטיאָן דאַטן און מעמעריז און פּראָגראַממינג file דור.
  12. באַשטעטיקן דעם פּלאַן
    - וואַלאַדייט די פּלאַן אויף FPGA און דיבאַגינג ווי נייטיק מיט די פּלאַן מכשירים צוגעשטעלט מיט די Libero SoC פּלאַן סוויט.

קאָמפּאָנענט קאַנפיגיעריישאַן (פרעגן אַ קשיא)

דער ערשטער שריט אין די מנהג לויפן איז צו קאַנפיגיער דיין קאַמפּאָונאַנץ ניצן אַ Libero רעפֿערענץ פּרויעקט (אויך גערופן ערשטער Libero פּרויעקט אין טיש 1-1). אין סאַבסאַקוואַנט סטעפּס, איר נוצן דאַטן פון דעם רעפֿערענץ פּרויעקט.
אויב איר נוצן קיין קאַמפּאָונאַנץ ליסטעד פריער, אונטער די איבערview אין דיין פּלאַן, דורכפירן די סטעפּס דיסקרייבד אין דעם אָפּטיילונג.
אויב איר נוצן קיין פון די אויבן קאַמפּאָונאַנץ, איר קענען שרייַבן דיין RTL אַרויס פון Libero און גלייך אַרייַנפיר עס אין דיין סינטעז און סימיאַליישאַן מכשירים. דערנאָך איר קענען גיינ ווייַטער צו די פּאָסטן-סינטעז אָפּטיילונג און בלויז אַרייַנפיר דיין פּאָסטן-סינטעז *.vm נעטליסט אין דיין לעצט Libero ימפּלאַמענטיישאַן פּרויעקט (אויך גערופן די רגע ליבעראָ פּרויעקט אין טיש 1-1).
2.1 קאָמפּאָנענט קאַנפיגיעריישאַן ניצן Libero (פרעגן אַ קשיא)
נאָך סעלעקטינג די קאַמפּאָונאַנץ וואָס מוזן זיין געוויינט פון די פריערדיקע רשימה, דורכפירן די פאלגענדע סטעפּס:

  1. שאַפֿן אַ נייַע Libero פּרויעקט (האַרץ קאָנפיגוראַטיאָן און דור): סעלעקטירן דעם מיטל און משפּחה צו וואָס איר ציל דיין לעצט פּלאַן.
  2. ניצן איינער אָדער מער פון די קאָרעס דערמאנט אין Custom Flow.
    א. שאַפֿן אַ SmartDesign און קאַנפיגיער די געוואלט האַרץ און ינסטאַנטייט עס אין די SmartDesign קאָמפּאָנענט.
    ב. העכערן אַלע די פּינס צו שפּיץ מדרגה.
    ג. דזשענערייט די SmartDesign.
    ד. טאָפּל גיט די סימולירן געצייַג (קיין פון פאַר-סינטעז אָדער פּאָסט-סינטעז אָדער פּאָסט-אויסלייג אָפּציעס) צו רופן דעם סימיאַלייטער. איר קענען אַרויסגאַנג די סימיאַלייטער נאָך עס איז ינוואָוקט. דעם שריט דזשענערייץ די סימיאַליישאַן fileאיז נייטיק פֿאַר דיין פּרויעקט.

MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל 1 עצה: איר מוזן דורכפירן דעם שריט אויב איר ווילן צו סימולירן דיין פּלאַן אַרויס Libero.
פֿאַר מער אינפֿאָרמאַציע, זען סימולאַטינג דיין פּלאַן.
E. היט דיין פּרויעקט - דאָס איז דיין רעפֿערענץ פּרויעקט.
2.2 קאָמפּאָנענט מאַניפעסץ (פרעגן אַ קשיא)
ווען איר דזשענערייט דיין קאַמפּאָונאַנץ, אַ סכום פון files איז דזשענערייטאַד פֿאַר יעדער קאָמפּאָנענט. די קאָמפּאָנענט מאַניפעסט באַריכט דעטאַילס די גאַנג פון fileאיז דזשענערייטאַד און געוויינט אין יעדער סאַבסאַקוואַנט שריט (סינטעז, סימיאַליישאַן, פירמוואַרע גענעראַטיאָן, און אַזוי אויף). דער באַריכט גיט איר די לאָוקיישאַנז פון אַלע די דזשענערייטאַד fileס דארף צו גיינ ווייַטער מיט די מנהג פלאָו. איר קענען אַקסעס די קאָמפּאָנענט באַשייַמפּערלעך אין די רעפּאָרץ געגנט: גיט פּלאַן > רעפּאָרץ צו עפֿענען די רעפּאָרץ קוויטל. אין די רעפּאָרץ קוויטל, איר זען אַ סכום פון manifest.txt files (איבערview), איינער פֿאַר יעדער קאָמפּאָנענט איר דזשענערייטאַד.
עצה: איר מוזן שטעלן אַ קאָמפּאָנענט אָדער מאָדולע ווי "וואָרצל" צו זען דעם קאָמפּאָנענט באַשייַמפּערלעך file אינהאַלט אין די רעפּאָרץ קוויטל.
אַלטערנאַטיוועלי, איר קענען צוטריט די יחיד באַשטימט באַריכט fileס פֿאַר יעדער דזשענערייטאַד האַרץ קאָמפּאָנענט אָדער SmartDesign קאָמפּאָנענט פֿון /קאָמפּאָנענט/אַרבעט/ / / _manifest.txt אָדער /קאָמפּאָנענט/אַרבעט/ / _manifest.txt. איר קענט אויך צוטריט צו די מאַנאַפעסטיישאַן file אינהאַלט פון יעדער קאָמפּאָנענט דזשענערייטאַד פֿון די נייַ קאַמפּאָונאַנץ קוויטל אין Libero, ווו די file לאָוקיישאַנז זענען דערמאנט מיט רעספּעקט צו די פּרויעקט וועגווייַזער.MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - Libero רעפּאָרץ קוויטלפאָקוס אויף די פאלגענדע קאָמפּאָנענט מאַניפעסט ריפּאָרץ:

  • אויב איר ינסטאַנטיייטיד קאָרעס אין אַ SmartDesign, לייענען די file _manifest.txt.
  • אויב איר באשאפן קאַמפּאָונאַנץ פֿאַר קאָרעס, לייענען די _manifest.txt.

איר מוזן נוצן אַלע קאָמפּאָנענט מאַניפעסץ ריפּאָרץ וואָס אַפּלייז צו דיין פּלאַן. פֿאַר עקסampאויב דיין פּרויעקט האט אַ SmartDesign מיט איינער אָדער מער האַרץ קאַמפּאָונאַנץ ינסטאַנטיאַטעד אין עס און איר בדעה צו נוצן זיי אַלע אין דיין לעצט פּלאַן, איר מוזן אויסקלייַבן fileס ליסטעד אין די קאָמפּאָנענט מאַניפעסץ ריפּאָרץ פון אַלע די קאַמפּאָונאַנץ פֿאַר נוצן אין דיין פּלאַן לויפן.
2.3 ינטערפּריטינג מאַנאַפעסט Fileס (פרעגן אַ קשיא)
ווען איר עפענען אַ קאָמפּאָנענט באַשייַמפּערלעך file, איר זען פּאַטס צו fileס אין דיין Libero פּרויעקט און אָנווייַזן אויף ווו אין די פּלאַן לויפן צו נוצן זיי. איר קען זען די פאלגענדע טייפּס פון fileס אין אַ באַשייַמפּערלעך file:

  • HDL מקור fileס פֿאַר אַלע סינטעז און סימיאַליישאַן מכשירים
  • סטימול fileס פֿאַר אַלע סימיאַליישאַן מכשירים
  • קאַנסטריינט files

די פאלגענדע איז די קאָמפּאָנענט מאַניפעסט פון אַ PolarFire האַרץ קאָמפּאָנענט.MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג לויפן - קאָמפּאָנענט מאַניפעסטיעדער טיפּ פון file איז נייטיק דאַונסטרים אין דיין פּלאַן לויפן. די פאלגענדע סעקשאַנז באַשרייַבן ינאַגריישאַן פון די fileס פון די באַשייַמפּערלעך אין דיין פּלאַן לויפן.

דור פון באַגרענעצונג (פרעגן אַ קשיא)

ווען איר דורכפירן קאַנפיגיעריישאַן און דור, מאַכן זיכער צו שרייַבן / דזשענערייט די SDC / PDC / NDC קאַנסטריינץ fileס פֿאַר די פּלאַן צו פאָרן זיי צו סינטעז, אָרט-און-רוט און באַשטעטיקן טיימינג מכשירים.
ניצן די דעריווע קאַנסטריינץ נוצן אַרויס פון די Libero סוויווע צו דזשענערייט קאַנסטריינץ אַנשטאָט פון שרייבן זיי מאַניואַלי. צו נוצן די Derive Constraint נוצן אַרויס פון די Libero סוויווע, איר מוזן:

  • צושטעלן באַניצער HDL, קאָמפּאָנענט HDL און קאָמפּאָנענט SDC קאַנסטריינץ files
  • ספּעציפיצירן די שפּיץ מדרגה מאָדולע
  • ספּעציפיצירן די אָרט ווו צו דזשענערייט די דערייווד קאַנסטריינץ files

די SDC קאָמפּאָנענט קאַנסטריינץ זענען בנימצא אונטער /קאָמפּאָנענט/אַרבעט/ / / וועגווייַזער נאָך קאָמפּאָנענט קאַנפיגיעריישאַן און דור.
פֿאַר מער דעטאַילס וועגן ווי צו דזשענערייט קאַנסטריינץ פֿאַר דיין פּלאַן, זען אַפּפּענדיקס C - דערייווד קאַנסטריינץ.

סינטאַסייזינג דיין פּלאַן (פרעגן אַ קשיא)

איינער פון די ערשטיק פֿעיִקייטן פון די מנהג פלאָו איז צו לאָזן איר נוצן אַ דריט-פּאַרטיי סינטעז
געצייַג אַרויס Libero. דער מנהג לויפן שטיצט די נוצן פון Synopsys SynplifyPro. צו סינטאַסייז דיין
פּרויעקט, נוצן די פאלגענדע פּראָצעדור:

  1. שאַפֿן אַ נייַע פּרויעקט אין דיין סינטעז געצייַג, טאַרגאַטינג די זעלבע מיטל משפּחה, שטאַרבן און פּעקל ווי די Libero פּרויעקט איר באשאפן.
    א. אַרייַנפיר דיין אייגענע RTL fileס ווי איר נאָרמאַלי טאָן.
    ב. שטעלן די סינטעז רעזולטאַט צו זיין סטראַקטשעראַל ווערילאָג (.וום).
    טיפּ: סטראַקטשעראַל Verilog (.וום) איז דער בלויז געשטיצט סינטעז רעזולטאַט פֿאָרמאַט אין PolarFire.
  2. אַרייַנפיר קאָמפּאָנענט HDL fileאין דיין סינטעז פּרויעקט:
    א. פֿאַר יעדער קאָמפּאָנענט מאַניפעסץ באריכט: פֿאַר יעדער file אונטער HDL מקור fileפֿאַר אַלע סינטעז און סימיאַליישאַן מכשירים, אַרייַנפיר די file אין דיין סינטעז פּראָיעקט.
  3. אַרייַנפיר די file polarfire_syn_comps.v (אויב ניצן Synopsys Synplify) פֿון
    ייַנמאָנטירונג אָרט>/data/aPA5M צו דיין סינטעז פּרויעקט.
  4. אַרייַנפיר די פריער דזשענערייטאַד SDC file דורך די דעריוועד קאַנסטריינט געצייַג (זען אַפּפּענדיקס
    א — סample SDC Constraints) אין די סינטעז געצייַג. דעם באַגרענעצונג file קאַנסטריינץ די סינטעז געצייַג צו דערגרייכן טיימינג קלאָוזשער מיט ווייניקער מי און ווייניקערע פּלאַן יטעריישאַנז.

MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל וויכטיק: 

  • אויב איר פּלאַן צו נוצן די זעלבע *.sdc file צו באַגרענעצן אָרט-און-רוט בעשאַס די פּלאַן ימפּלאַמענטיישאַן פאַסע, איר מוזן אַרייַנפיר דעם *.sdc אין די סינטעז פּרויעקט. דאָס איז צו ענשור אַז אין די סינטאַסייזד נעטליסט און די אָרט-און-רוט קאַנסטריינץ עס זענען קיין מיסמאַטשאַז פון די נאָמען פון די פּלאַן אַבדזשעקץ בעשאַס די ימפּלאַמענטיישאַן פאַסע פון ​​​​די פּלאַן פּראָצעס. אויב איר טאָן ניט אַרייַננעמען דעם *.סק file אין די סינטעז שריט, די נעטליסט דזשענערייטאַד פֿון סינטעז קען פאַרלאָזן די אָרט און רוט שריט ווייַל פון די מיסמאַטשאַז פון די נאָמען פון די פּלאַן אַבדזשעקץ.
    א. אַרייַנפיר נעטליסט אַטריביוץ *.נדק, אויב קיין, אין די סינטעז געצייַג.
    ב. לויפן סינטעז.
  • דער אָרט פון דיין סינטעז געצייַג רעזולטאַט האט די *.וום נעטליסט file דזשענערייטאַד פּאָסטן סינטעז. איר מוזן אַרייַנפיר די נעטליסט אין די Libero ימפּלעמענטאַטיאָן פּראָיעקט צו פאָרזעצן מיט די פּלאַן פּראָצעס.

סימולאַטינג דיין פּלאַן (פרעגן אַ קשיא)

צו סימולירן דיין פּלאַן אַרויס פון Libero (דאָס איז, ניצן דיין אייגענע סימיאַליישאַן סוויווע און סימיאַלייטער), דורכפירן די פאלגענדע סטעפּס:

  1. פּלאַן Files:
    א. פאַר-סינטעז סימיאַליישאַן:
    • אַרייַנפיר דיין RTL אין דיין סימיאַליישאַן פּרויעקט.
    • פֿאַר יעדער קאָמפּאָנענט מאַניפעסץ באריכט.
    - ימפּאָרט יעדער file אונטער HDL מקור fileס פֿאַר אַלע סינטעז און סימיאַליישאַן מכשירים אין דיין סימיאַליישאַן פּרויעקט.
    • צונויפנעמען די fileלויט די ינסטראַקשאַנז פון דיין סימיאַלייטער.
    ב. סימיאַליישאַן נאָך סינטעז:
    • אַרייַנפיר דיין פּאָסטן-סינטעז *.וום נעטליסט (דזשענערייטאַד אין סינטאַסיזינג דיין פּלאַן) אין דיין סימיאַליישאַן פּרויעקט און צונויפנעמען עס.
    ג. פּאָסט-אויסלייג סימיאַליישאַן:
    • ערשטער, פאַרענדיקן ימפּלאַמענינג דיין פּלאַן (זען ימפּלעמענטינג דיין פּלאַן). פאַרזיכערן אַז דיין לעצט Libero פּרויעקט איז אין פּאָסט-אויסלייג שטאַט.
    • טאָפּל גיט Generate BackAnnotated Files אין די Libero Design Flow פֿענצטער. עס דזשענערייץ צוויי files:
    / דיזיינער / / _ba.v/vhd / דיזיינער /
    / _ba.sdf
    • ימפּאָרט ביידע פון ​​די fileס אין דיין סימיאַליישאַן געצייַג.
  2. סטימול און קאַנפיגיעריישאַן files:
    א. פֿאַר יעדער קאָמפּאָנענט מאַניפעסץ באַריכט:
    • קאָפּי אַלע fileס אונטער די סטימול Files פֿאַר אַלע סעקשאַנז פון סימיאַליישאַן מכשירים צו דער וואָרצל וועגווייַזער פון דיין סימיאַליישאַן פּרויעקט.
    ב. פאַרזיכערן אַז קיין Tcl files אין די פּריסידינג רשימות (אין שריט 2.אַ) זענען עקסאַקיוטאַד ערשטער, איידער די אָנהייב פון סימיאַליישאַן.
    ג. UPROM.mem: אויב איר נוצן די UPROM האַרץ אין דיין פּלאַן מיט די אָפּציע ניצן אינהאַלט פֿאַר סימיאַליישאַן ענייבאַלד פֿאַר איינער אָדער מער דאַטן סטאָרידזש קלייאַנץ וואָס איר ווילט סימולירן, איר מוזן נוצן די עקסעקוטאַבלע pa4rtupromgen (pa4rtupromgen.exe אויף Windows) צו דזשענערייט די UPROM.mem. file. די פּאַ4רטופּראָמגען עקסעקוטאַבלע נעמט די UPROM.cfg file ווי ינפּוץ דורך אַ Tcl שריפט file און אַוטפּוץ די UPROM.mem file פארלאנגט פֿאַר סימיאַליישאַנז. דעם UPROM.mem file מוזן זיין קאַפּיד צו די סימיאַליישאַן טעקע איידער די סימיאַליישאַן לויפן. אַן עקסampדי וואָס ווייַזן די עקסעקוטאַבלע באַניץ פון pa4rtupromgen איז צוגעשטעלט אין די פאלגענדע סטעפּס. די UPROM.cfg file איז בנימצא אין דער וועגווייַזער /קאָמפּאָנענט/אַרבעט/ / אין די Libero פּרויעקט וואָס איר געוויינט צו דזשענערייט די UPROM קאָמפּאָנענט.
    ד. snvm.mem: אויב איר נוצן די סיסטעם באַדינונגס האַרץ אין דיין פּלאַן און קאַנפיגיערד די sNVM קוויטל אין די האַרץ מיט די אָפּציע ניצן אינהאַלט פֿאַר סימיאַליישאַן ענייבאַלד פֿאַר איינער אָדער מער קלייאַנץ וואָס איר ווילט סימולירן, אַ snvm.mem file איז אויטאָמאַטיש דזשענערייטאַד צו
    די וועגווייַזער /קאָמפּאָנענט/אַרבעט/ / אין די Libero פּרויעקט וואָס איר געוויינט צו דזשענערייט די סיסטעם באַדינונגס קאָמפּאָנענט. דאס snvm.mem file מוזן זיין קאַפּיד צו די סימיאַליישאַן טעקע איידער די סימיאַליישאַן לויפן.
  3. שאַפֿן אַ ארבעטן טעקע און אַ סאַב-טעקע מיטן נאָמען סימיאַליישאַן אונטער די ארבעטן טעקע.
    די פּאַ4רטופּראָמגען עקסעקוטאַבלע דערוואַרטן די בייַזייַן פון די סימיאַליישאַן סאַב טעקע אין די ארבעטן טעקע און די *.טקל שריפט איז געשטעלט אין די סימיאַליישאַן סאַב טעקע.
  4. נאָכמאַכן די UPROM.cfg file פון דער ערשטער Libero פּרויעקט באשאפן פֿאַר קאָמפּאָנענט דור אין דער אַרבעט טעקע.
  5. פּאַפּ די פאלגענדע קאַמאַנדז אין אַ *.טקל שריפט און שטעלן עס אין די סימיאַליישאַן טעקע באשאפן אין שריט 3.
    Sample *.tcl פֿאַר PolarFire און PolarFire Soc משפּחה דעוויסעס צו דזשענערייט URPOM.mem file
    פֿון UPROM.cfg
    set_device -fam — שטאַרבן -pkg
    set_input_cfg -path
    set_sim_mem -pathFile/UPROM.mem>
    gent_sim -use_init פאַלש
    פֿאַר די געהעריק ינערלעך נאָמען צו נוצן פֿאַר די שטאַרבן און פּעקל, זען די *.פּרדזשקס file פון דער ערשטער Libero פּרויעקט (געוויינט פֿאַר קאָמפּאָנענט דור).
    דער אַרגומענט use_init מוזן זיין באַשטימט צו פאַלש.
    ניצן די set_sim_mem באַפֿעל צו ספּעציפיצירן דעם דרך צו דער רעזולטאַט file UPROM.mem אַז איז
    דזשענערייטאַד אויף דורכפירונג פון די שריפט file מיט די פּאַ4רטופּראָמגען עקסעקוטאַבלע.
  6. אין די באַפֿעל פּינטלעך אָדער סיגווין וואָקזאַל, גיין צו די אַרבעט וועגווייַזער באשאפן אין שריט 3.
    ויספירן די pa4rtupromgen באַפֿעל מיט די-סקריפּט אָפּציע און פאָרן צו אים די *.tcl שריפט באשאפן אין די פריערדיקע שריט.
    פֿאַר Windows
    /designer/bin/pa4rtupromgen.exe \
    –סקריפּט./סימולאַטיאָן/ .טקל
    פֿאַר לינוקס:
    /bin/pa4rtupromgen
    –סקריפּט./סימולאַטיאָן/ .טקל
  7. נאָך געראָטן דורכפירונג פון די פּאַ4רטופּראָמגען עקסעקוטאַבלע, קאָנטראָלירן אַז די UPROM.mem file איז דזשענערייטאַד אין דעם אָרט ספּעסיפיעד אין די set_sim_mem באַפֿעל אין די *.טקל שריפט.
  8. צו סימולירן די sNVM, נאָכמאַכן די snvm.mem file פון דיין ערשטער Libero פּרויעקט (געניצט פֿאַר קאָמפּאָנענט קאַנפיגיעריישאַן) אין די שפּיץ מדרגה סימיאַליישאַן טעקע פון ​​דיין סימיאַליישאַן פּרויעקט צו לויפן סימיאַליישאַן (אַרויס פון Libero SoC). צו סימולירן UPROM אינהאַלט, נאָכמאַכן די דזשענערייטאַד UPROM.mem file אין די שפּיץ מדרגה סימיאַליישאַן טעקע פון ​​דיין סימיאַליישאַן פּרויעקט צו לויפן סימיאַליישאַן (אַרויס פון Libero SoC).

MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל וויכטיק: צו סימולירן די פאַנגקשאַנאַליטי פון SoC קאַמפּאָונאַנץ, אראפקאפיע די פּריקאָמפּיילד PolarFire סימיאַליישאַן לייברעריז און אַרייַנפיר זיי אין דיין סימיאַליישאַן סוויווע ווי דיסקרייבד דאָ. פֿאַר מער דעטאַילס, זען אַפּפּענדיקס ב - ימפּאָרטינג סימיאַליישאַן ליבראַריעס אין סימיאַליישאַן סוויווע.

ימפּלאַמענטינג דיין פּלאַן (פרעגן אַ קשיא)

נאָך קאַמפּליטינג די סינטעז און פּאָסט-סינטעז סימיאַליישאַן אין דיין סוויווע, איר מוזן נוצן Libero ווידער צו ינסטרומענט דיין פּלאַן פיזיקלי, לויפן טיימינג און מאַכט אַנאַליסיס און דזשענערייט דיין פּראָגראַממינג. file.

  1. שאַפֿן אַ נייַע Libero פּרויעקט פֿאַר די גשמיות ימפּלאַמענטיישאַן און אויסלייג פון די פּלאַן. פאַרזיכערן צו ציל די זעלבע מיטל ווי אין די רעפֿערענץ פּרויעקט איר באשאפן אין קאָמפּאָנענט קאָנפיגוראַטיאָן.
  2. נאָך די שאַפונג פון די פּרויעקט, אַראָפּנעמען סינטעז פון די געצייַג קייט אין די פּלאַן פלאָו פֿענצטער (פּראָיעקט > פּראָיעקט סעטטינגס > פּלאַן פלאָו > ונטשעקק געבן סינטעז).
  3.  אַרייַנפיר דיין פּאָסטן-סינטעז *.וום file אין דעם פּרויעקט, (File > ימפּאָרט > סינטיסייזד ווערילאָג נעטליסט (וום)).
    MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל 1 עצה: עס איז רעקאַמענדיד צו שאַפֿן אַ לינק צו דעם file, אַזוי אַז אויב איר רעסינטאַסייז דיין פּלאַן, Libero שטענדיק ניצט די לעצטע פּאָסטן-סינטעז נעטליסט.
    א. אין די פּלאַן כייעראַרקי פֿענצטער, טאָן די נאָמען פון די וואָרצל מאָדולע.MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג לויפן - פּלאַן כייעראַרקי
  4. אַרייַנפיר די קאַנסטריינץ אין די Libero פּרויעקט. ניצן די קאַנסטריינט מאַנאַגער צו אַרייַנפיר *.pdc/*.sdc/*.ndc קאַנסטריינץ.
    א. אַרייַנפיר איך / אָ *. פּדק קאַנסטריינץ files (קאָנסטריינץ מאַנאַגער > איך / אָ אַטריביוץ > ימפּאָרט).
    ב. אַרייַנפיר פלאָרפּלאַננינג *. פּדק קאַנסטריינץ files (קאָנסטריינץ מאַנאַגער> פלאָר פּלאַננער> ימפּאָרט).
    ג. אַרייַנפיר *.סק טיימינג קאַנסטריינץ files (קאָנסטריינץ מאַנאַגער> טיימינג> אַרייַנפיר). אויב דיין פּלאַן האט קיין פון די קאָרעס ליסטעד אין אָווערview, ענשור צו אַרייַנפיר די SDC file דזשענערייטאַד דורך אַרויספירן קאַנסטריינט געצייַג.
    ד. אַרייַנפיר *.נדק קאַנסטריינץ files (קאָנסטריינץ מאַנאַגער> נעטליסט אַטריביוץ> ימפּאָרט).
  5. אַססאָסיאַטע קאַנסטריינץ Fileס צו פּלאַן מכשירים.
    א. עפֿן קאַנסטריינץ מאַנאַגער (אָנפירן קאַנסטריינץ> עפֿן פירן קאַנסטריינץ View).
    קוק די אָרט-און-רוט און טיימינג וועראַפאַקיישאַן טשעק קעסטל ווייַטער צו די קאַנסטריינץ file צו פאַרלייגן באַגרענעצונג file און מכשירים פאַרבאַנד. אַססאָסיאַטע די *.pdc קאַנסטריינץ צו אָרט-אַנדראָוטע און די *.סדק צו ביידע אָרט-און-רוט און טיימינג וועראַפאַקיישאַן. אַססאָסיאַטע די *.נדק file צו זאַמלען נעטליסט.
    MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל 1 עצה: אויב אָרט און רוט פיילז מיט דעם *.sdc קאַנסטריינץ file, דעמאָלט אַרייַנפיר דעם זעלביקער *.סק file צו סינטעז און שייַעך-לויפן סינטעז.
  6. דריקט צונויפנעמען נעטליסט און דעמאָלט אָרט און רוט צו פאַרענדיקן דעם אויסלייג שריט.
  7. די קאַנפיגיער דיזיין יניטיאַליזאַטיאָן דאַטן און מעמאָריעס געצייַג אַלאַוז איר צו ינישאַלייז פּלאַן בלאַקס, אַזאַ ווי LSRAM, µSRAM, XCVR (טראַנססעיווערס) און PCIe ניצן דאַטן סטאָרד אין ניט-וואַלאַטאַל µPROM, sNVM אָדער פונדרויסנדיק SPI פלאַש סטאָרידזש זכּרון. די געצייַג האט די פאלגענדע טאַבס פֿאַר דיפיינינג די ספּעסאַפאַקיישאַנז פון די פּלאַן יניטיאַליזאַטיאָן סיקוואַנס, די ספּעסיפיקאַטיאָן פון די יניטיאַליזאַטיאָן קלייאַנץ, באַניצער דאַטן קלייאַנץ.
    - פּלאַן יניטיאַליזאַטיאָן קוויטל
    - µPROM קוויטל
    - sNVM קוויטל
    - SPI פלאַש קוויטל
    - שטאָף RAMs קוויטל
    ניצן די טאַבס אין די געצייַג צו קאַנפיגיער די פּלאַן יניטיאַליזאַטיאָן דאַטן און מעמעריז.MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג לויפן - דאַטן און זכרונותנאָך קאַמפּליטינג די קאַנפיגיעריישאַן, דורכפירן די פאלגענדע סטעפּס צו פּראָגראַם די יניטיאַליזאַטיאָן דאַטן:
    • גענעראַטע יניטיאַליזאַטיאָן קלייאַנץ
    • גענעראַטע אָדער אַרויספירן די ביטסטרים
    • פּראָגראַם די מיטל
    פֿאַר דיטיילד אינפֿאָרמאַציע וועגן ווי צו נוצן דעם געצייַג, זען Libero SoC Design Flow User Guide. פֿאַר מער אינפֿאָרמאַציע אויף די Tcl קאַמאַנדז געניצט צו קאַנפיגיער פאַרשידן טאַבס אין די געצייַג און ספּעציפיצירן זכּרון קאַנפיגיעריישאַן files (*.קפג), זען Tcl קאַמאַנדז רעפערענץ גייד.
  8. שאַפֿן אַ פּראָגראַממינג File פון דעם פּרויעקט און נוצן עס צו פּראָגראַם דיין FPGA.

ביילאגע א — שampדי SDC קאַנסטריינץ (פרעגן אַ קשיא

Libero SoC דזשענערייץ SDC טיימינג קאַנסטריינץ פֿאַר זיכער IP קאָרעס, אַזאַ ווי CCC, OSC, Transceiver און אַזוי אויף. דורכגיין די SDC קאַנסטריינץ צו פּלאַן מכשירים ינקריסיז די געלעגנהייט צו טרעפן טיימינג קלאָוזשער מיט ווייניקער מי און ווייניקערע פּלאַן יטעריישאַנז. דער פול כייעראַרקאַקאַל דרך פון די שפּיץ-מדרגה בייַשפּיל איז געגעבן פֿאַר אַלע פּלאַן אַבדזשעקץ רעפערענסעד אין די קאַנסטריינץ.
7.1 SDC טיימינג קאַנסטריינץ (פרעגן אַ קשיא)
אין די Libero IP האַרץ רעפֿערענץ פּרויעקט, דעם העכסט-מדרגה SDC קאַנסטריינץ file איז בנימצא פון די קאַנסטריינט מאַנאַגער (דיזיין פלאָו> עפֿן פירן קאַנסטריינט View > טיימינג > דערייווד קאַנסטריינץ).
MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו - בילדל וויכטיק: זען דאָס file צו שטעלן די SDC קאַנסטריינץ אויב דיין פּלאַן כּולל CCC, OSC, Transceiver און אנדערע קאַמפּאָונאַנץ. מאָדיפיצירן די פול כייעראַרקאַקאַל דרך, אויב נייטיק, צו גלייַכן דיין פּלאַן כייעראַרקי אָדער נוצן די Derive_Constraints יוטילאַטיז און סטעפּס אין אַפּפּענדיקס C-דערייווד קאַנסטריינץ אויף די קאָמפּאָנענט מדרגה SDC file.
היט די file צו אַ אַנדערש נאָמען און אַרייַנפיר די SDC file צו די סינטעז געצייַג, אָרט-און-רוט געצייַג און טיימינג וועראַפאַקיישאַנז, פּונקט ווי קיין אנדערע SDC קאַנסטריינץ files.
7.1.1 דערייווד SDC File (פרעגן אַ קשיא)
# דאס file איז דזשענערייטאַד באזירט אויף די פאלגענדע SDC מקור files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** קיין מאָדיפיקאַטיאָנס צו דעם file וועט זיין פאַרפאַלן אויב דערייווד קאַנסטריינץ איז שייַעך-לויפן. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} - פּעריאָד 6.25
[ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK }] שאַפֿן_קלאָק - נאָמען {REF_CLK_PAD_P} - פּעריאָד 10 [ באַקומען_פּאָרץ {REF_CLK_PAD_P }] שאַפֿן_קלאָק - נאָמען {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/ntx
DIV_CLK} - פּעריאָד 8
[ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK}] create_generated_clock -נאָמען {CLOCKS_AND_RESETS_inst_0/CCC_FIC_X_CLK/inst_0_CLK/0inst_0
OUT0} -מולטיפּלי_ביי 25 -דיווידע_ביי 32 -מקור
[געט_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0}] -פאַסע 0
[ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0}] create_generated_clock -נאָמען {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_C0_0/pll_click/pf_0_XNUMX
OUT1} -מולטיפּלי_ביי 25 -דיווידע_ביי 32 -מקור
[געט_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0}] -פאַסע 0
[ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1}] create_generated_clock -נאָמען {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_C0_0/pll_click/pf_0_XNUMX
OUT2} -מולטיפּלי_ביי 25 -דיווידע_ביי 32 -מקור
[געט_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0}] -פאַסע 0
[ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2}] create_generated_clock -נאָמען {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_C0_0/pll_click/pf_0_XNUMX
OUT3} -מולטיפּלי_ביי 25 -דיווידע_ביי 64 -מקור
[געט_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0}] -פאַסע 0
[ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3}] create_generated_clock -נאָמען {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz_to_CLK_0MHz_to_CLK_XNUMX
Y_DIV} -דיווידע_ביי 2 -מקור
[ באַקומען_פּינס { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A }] [ באַקומען_פּינס {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_DIVY/CLK_DIVY/CLK_DIVY/CLK_DIVY ] set_false_path -through [get_nets {DMA_INITIATOR_inst_80/ARESETN*}] set_false_path -from [get_cells {DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray*}] -צו [get_cells {DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1*}] set_false_path -from [get_cells {DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray*} ] -צו [get_cells {DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* }] set_false_path -through [get_nets {FIC0_INITIATOR_inst_0/ARESETN*}] set_false_path -to [get_pins {PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_0PCIE_CIE_CIE
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets ] ARE_SET_INITIA 0 אַפּפּענדיקס ב - ימפּאָרטינג סימיאַליישאַן ליבראַריעס אין סימיאַליישאַן סוויווע (פרעגן אַ קשיא)
די פעליקייַט סימיאַליישאַן פֿאַר RTL סימיאַליישאַן מיט Libero SoC איז ModelSim ME Pro.
פאַר-קאָמפּילעד לייברעריז פֿאַר פעליקייַט סימיאַלייטער זענען בארעכטיגט מיט Libero ינסטאַלירונג אין דער Directory /Designer/lib/modelsimpro/precompiled/vlog פֿאַר® שטיצט משפחות. Libero SoC אויך שטיצט אנדערע דריט-פּאַרטיי סימיאַלייטערז אַדישאַנז פון ModelSim, Questasim, VCS, Xcelium
, אַקטיוו HDL און Riviera Pro. אראפקאפיע ריספּעקטיוו פּרי-קאָמפּילעד לייברעריז פון Libero SoC v12.0 און שפּעטער באזירט אויף די סימיאַלייטער און זייַן ווערסיע.
ענלעך צו Libero סוויווע, run.do file מוזן זיין באשאפן צו לויפן סימיאַליישאַן אַרויס Libero.
שאַפֿן אַ פּשוט run.do file וואָס האט קאַמאַנדז צו פאַרלייגן ביבליאָטעק פֿאַר זאַמלונג רעזולטאַטן, ביבליאָטעק מאַפּינג, זאַמלונג און סימיאַליישאַן. גיי די סטעפּס צו שאַפֿן אַ יקערדיק run.do file.

  1. שאַפֿן אַ לאַדזשיקאַל ביבליאָטעק צו קראָם זאַמלונג רעזולטאַטן מיט vlib באַפֿעל vlib פּרעסינטה.
  2. מאַפּע די לאַדזשיקאַל ביבליאָטעק נאָמען צו די פאַר-קאָמפּילעד ביבליאָטעק וועגווייַזער מיט vmap באַפֿעלן vmap .
  3. קלייַבן מקור files-נוצן שפּראַך-ספּעציפיש קאַמפּיילער קאַמאַנדז צו צונויפנעמען פּלאַן fileס אין ארבעטן וועגווייַזער.
    - וולאָג פֿאַר .v/.sv
    – vcom פֿאַר .vhd
  4. לאָדן די פּלאַן פֿאַר סימיאַליישאַן ניצן vsim באַפֿעל דורך ספּעציפיצירן די נאָמען פון קיין שפּיץ-מדרגה מאָדולע.
  5. סימולירן די פּלאַן מיט לויפן באַפֿעל.
    נאָך לאָודינג די פּלאַן, סימיאַליישאַן צייט איז באַשטימט צו נול, און איר קענען אַרייַן די לויפן באַפֿעל צו אָנהייבן סימיאַליישאַן.
    אין די סימיאַלייטער טראַנסקריפּט פֿענצטער, ויספירן run.do file ווי run.do לויפן די סימיאַליישאַן. שample run.do file ווי גייט.

שטיל שטעלן ACTELLIBNAME PolarFire שטיל שטעלן PROJECT_DIR "W:/Test/basic_test" אויב
{[file יגזיסץ פּרעסינטה / _ אינפֿאָרמאַציע]} {עקאָו "INFO: סימיאַליישאַן ביבליאָטעק פּרעסינטה יגזיסץ"} אַנדערש
{ file ויסמעקן -פאָרסע פּרעסינטה vlib פּרעסינטה } vmap פּרעסינטה פּרעסינטה וומאַפּ PolarFire
"X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire" vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” וולאָג “+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb לייגן כוואַליע /טב/*
לויפן 1000ns לאָג /טב/* אַרויסגאַנג

אַפּפּענדיקס C—דערייווד קאַנסטריינץ (פרעגן אַ קשיא)

דער אַפּפּענדיקס באשרייבט די דעריווע קאַנסטריינץ Tcl קאַמאַנדז.
9.1 אַרויספירן קאַנסטריינץ Tcl קאַמאַנדז (פרעגן אַ קשיא)
די דערive_constraints נוצן העלפּס איר באַקומען קאַנסטריינץ פֿון די RTL אָדער די קאַנפיגיערייטער אַרויס די Libero SoC פּלאַן סוויווע. צו דזשענערייט קאַנסטריינץ פֿאַר דיין פּלאַן, איר דאַרפֿן די באַניצער HDL, Component HDL און Component Constraints fileס. די SDC קאָמפּאָנענט קאַנסטריינץ files זענען בנימצא אונטער /קאָמפּאָנענט/אַרבעט/ / / וועגווייַזער נאָך קאָמפּאָנענט קאַנפיגיעריישאַן און דור.
יעדער קאָמפּאָנענט קאַנסטריינץ file באשטייט פון די set_component tcl באַפֿעל (ספּעציפייז די קאָמפּאָנענט נאָמען) און די רשימה פון קאַנסטריינץ דזשענערייטאַד נאָך קאַנפיגיעריישאַן. די קאַנסטריינץ זענען דזשענערייטאַד באזירט אויף די קאַנפיגיעריישאַן און זענען ספּעציפיש צו יעדער קאָמפּאָנענט.
Example 9-1. קאָמפּאָנענט קאַנסטריינץ File פֿאַר די PF_CCC קאָר
דאָ איז אַן עקסampפון אַ קאָמפּאָנענט באַגרענעצונג file פֿאַר די PF_CCC האַרץ:
set_component PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# מיקראָטשיפּ קאָרפּ.
# טאָג: 2021-אקטאבער 26 04:36:00
# באַזע זייגער פֿאַר PLL #0
create_clock -period 10 [get_pins {pll_inst_0/REF_CLK_0}] create_generated_clock -divide_by 1 -source [get_pins {pll_inst_0/
REF_CLK_0 } ] -פאַסע 0 [ get_pins {pll_inst_0/OUT0 } ] דאָ, create_clock און create_generated_clock זענען רעפערענץ און רעזולטאַט זייגער קאַנסטריינץ ריספּעקטיוולי, וואָס זענען דזשענערייטאַד באזירט אויף די קאַנפיגיעריישאַן.
9.1.1 ארבעטן מיט דערive_constraints Utility (פרעגן אַ קשיא)
באַקומען קאַנסטריינץ דורכגיין די פּלאַן און אַלאַקייט נייַ קאַנסטריינץ פֿאַר יעדער בייַשפּיל פון קאָמפּאָנענט באזירט אויף פריער צוגעשטעלט קאָמפּאָנענט SDC fileס. פֿאַר די CCC רעפֿערענץ קלאַקס, עס פּראַפּאַגייץ צוריק דורך די פּלאַן צו געפֿינען די מקור פון די רעפֿערענץ זייגער. אויב דער מקור איז אַן I/O, די רעפֿערענץ זייגער קאַנסטריינץ וועט זיין שטעלן אויף די I/O. אויב עס איז אַ CCC רעזולטאַט אָדער אן אנדער זייגער מקור (למשלample, טראַנססעיווער, אַסאַלייטער), עס ניצט די זייגער פון די אנדערע קאָמפּאָנענט און ריפּאָרץ אַ ווארענונג אויב די ינטערוואַלז טאָן ניט גלייַכן. דעריווע קאַנסטריינץ וועט אויך אַלאַקייט קאַנסטריינץ פֿאַר עטלעכע מאַקראָס ווי אויף-שפּאָן אַסאַלייטערז אויב איר האָבן זיי אין דיין RTL.
צו ויספירן די derive_constraints נוצן, איר מוזן צושטעלן אַ .טקל file באַפֿעלן שורה אַרגומענט מיט די פאלגענדע אינפֿאָרמאַציע אין די ספּעסאַפייד סדר.

  1. ספּעציפיצירן מיטל אינפֿאָרמאַציע ניצן די אינפֿאָרמאַציע אין אָפּטיילונג set_device.
  2. ספּעציפיצירן די דרך צו די RTL fileניצן די אינפֿאָרמאַציע אין אָפּטיילונג read_verilog אָדער read_vhdl.
  3. שטעלן די שפּיץ מדרגה מאָדולע ניצן די אינפֿאָרמאַציע אין די אָפּטיילונג set_top_level.
  4. ספּעציפיצירן דרך צו די קאָמפּאָנענט SDC fileניצן די אינפֿאָרמאַציע אין אָפּטיילונג read_sdc אָדער read_ndc.
  5. ויספירן די files ניצן די אינפֿאָרמאַציע אין אָפּטיילונג derive_constraints.
  6.  ספּעציפיצירן די וועג צו די SDC-דערייווד קאַנסטריינץ file ניצן די אינפֿאָרמאַציע אין אָפּטיילונג write_sdc אָדער write_pdc אָדער write_ndc.

Example 9-2. דורכפירונג און אינהאַלט פון דער דעריווע.טקל File
די פאלגענדע איז אַן עקסampדי באַפֿעלן-שורה אַרגומענט צו ויספירן די דעריווע_קאַנסטראַינץ נוצן.
$ /bin{64}/derive_constraints derive.tcl
דער אינהאַלט פון דער דעריווע.טקל file:
# מיטל אינפֿאָרמאַציע
set_device -family PolarFire -die MPF100T -speed -1
# RTL files
read_verilog -מאָדע system_verilog project/component/work/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {project/component/work/txpll0/txpll0.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {project/hdl/xcvr1.vhd}
#קאָמפּאָנענט SDC files
set_top_level {xcvr1}
read_sdc -קאָמפּאָנענט {project/component/work/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -קאָמפּאָנענט {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#ניצן דעריווע_קאָנסטריינט באַפֿעל
דעריוו_קאַנסטראַינץ
#SDC/PDC/NDC רעזולטאַט files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 set_device (פרעגן אַ קשיא)
באַשרייַבונג
ספּעציפיצירן משפּחה נאָמען, שטאַרבן נאָמען און גיכקייַט מיינונג.
set_device -family — שטאַרבן -גיכקייט
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
— פאמיליע שטריקל ספּעציפיצירן די משפּחה נאָמען. מעגלעך וואַלועס זענען PolarFire®, PolarFire SoC.
— שטאַרבן שטריקל ספּעציפיצירן די נאָמען פון די טייג.
-גיכקייט שטריקל ספּעציפיצירן די מיטל גיכקייַט מיינונג. מעגלעך וואַלועס זענען STD אָדער -1.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פארלאנגט פּאַראַמעטער - שטאַרבן איז פעלנדיק די שטייער אָפּציע איז מאַנדאַטאָרי און מוזן זיין ספּעסיפיעד.
ERR0005 אומבאַקאַנט די 'MPF30' די ווערט פון -די אָפּציע איז נישט ריכטיק. זען די מעגלעך רשימה פון וואַלועס אין די באַשרייַבונג פון די אָפּציע.
ERR0023 פּאַראַמעטער-שטאַרבן איז פעלנדיק ווערט די שטאַרבן אָפּציע איז ספּעסיפיעד אָן ווערט.
ERR0023 פארלאנגט פּאַראַמעטער - משפּחה איז פעלנדיק די משפּחה אָפּציע איז מאַנדאַטאָרי און מוזן זיין ספּעסיפיעד.
ERR0004 אומבאַקאַנט משפּחה 'PolarFire®' די משפּחה אָפּציע איז נישט ריכטיק. זען די מעגלעך רשימה פון וואַלועס אין די באַשרייַבונג פון די אָפּציע.
………… פארבליבן
טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פּאַראַמעטער - משפּחה איז פעלנדיק ווערט די משפּחה אָפּציע איז ספּעסיפיעד אָן ווערט.
ERR0023 פארלאנגט פּאַראַמעטער-גיכקייַט איז פעלנדיק די גיכקייַט אָפּציע איז מאַנדאַטאָרי און מוזן זיין ספּעסיפיעד.
ERR0007 אומבאַקאַנט גיכקייַט ' ' די גיכקייַט אָפּציע איז נישט ריכטיק. זען די מעגלעך רשימה פון וואַלועס אין די באַשרייַבונג פון די אָפּציע.
ERR0023 פּאַראַמעטער-גיכקייַט איז פעלנדיק ווערט די גיכקייַט אָפּציע איז ספּעסיפיעד אָן ווערט.

Example
set_device -family {PolarFire} -die {MPF300T_ES} -speed -1
set_device -family SmartFusion 2 -die M2S090T -speed -1
9.1.3 read_verilog (פרעגן אַ קשיא)
באַשרייַבונג
לייענען אַ ווערילאָג file ניצן וועריפיק.
read_verilog [-ליב ] [-מאָדע ]fileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
-lib שטריקל ספּעציפיצירן די ביבליאָטעק וואָס כּולל די מאַדזשולז צו זיין מוסיף אין דער ביבליאָטעק.
-מאָדע שטריקל ספּעציפיצירן די ווערילאָג נאָרמאַל. מעגלעך וואַלועס זענען verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. וואַלועס זענען פאַל ינסענסיטיוו. פעליקייַט איז verilog_2k.
fileנאָמען שטריקל ווערילאָג file נאָמען.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פּאַראַמעטער-ליב איז פעלנדיק ווערט די ליב אָפּציע איז ספּעסיפיעד אָן ווערט.
ERR0023 פּאַראַמעטער-מאָדע איז פעלנדיק ווערט די מאָדע אָפּציע איז ספּעסיפיעד אָן ווערט.
ERR0015 אומבאַקאַנט מאָדע ' ' די ספּעסיפיעד ווערילאָג מאָדע איז אומבאַקאַנט. זען די רשימה פון מעגלעך ווערילאָג מאָדע אין מאָדע אָפּציע באַשרייַבונג.
ERR0023 פארלאנגט פּאַראַמעטער file נאָמען איז פעלנדיק קיין ווערילאָג file דרך איז צוגעשטעלט.
ERR0016 דורכפאַל ווייַל פון וועריפיק ס פּאַרסער סינטאַקס טעות אין ווערילאָג file. וועריפיק ס פּאַרסער קענען זיין באמערקט אין די קאַנסאָול אויבן די טעות אָנזאָג.
ERR0012 set_device איז נישט גערופן די מיטל אינפֿאָרמאַציע איז נישט ספּעסיפיעד. ניצן set_device באַפֿעל צו באַשרייַבן די מיטל.

Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -מאָדע system_verilog_mfcu design.v
9.1.4 read_vhdl (פרעגן אַ קשיא)
באַשרייַבונג
לייג אַ VHDL file אין דער רשימה פון VHDL files.
read_vhdl [-ליב ] [-מאָדע ]fileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
-lib ספּעציפיצירן די ביבליאָטעק אין וואָס די אינהאַלט מוזן זיין מוסיף.
-מאָדע ספּעציפיצירט די VHDL נאָרמאַל. פעליקייַט איז VHDL_93. מעגלעך וואַלועס זענען ווהדל_93, ווהדל_87, ווהדל_2ק, והדל_2008, ווהדל_פּסל. וואַלועס זענען פאַל ינסענסיטיוו.
fileנאָמען VHDL file נאָמען.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פּאַראַמעטער-ליב איז פעלנדיק ווערט די ליב אָפּציע איז ספּעסיפיעד אָן ווערט.
ERR0023 פּאַראַמעטער-מאָדע איז פעלנדיק ווערט די מאָדע אָפּציע איז ספּעסיפיעד אָן ווערט.
ERR0018 אומבאַקאַנט מאָדע ' ' די ספּעסיפיעד VHDL מאָדע איז אומבאַקאַנט. זען די רשימה פון מעגלעך VHDL מאָדע אין-מאָדע אָפּציע באַשרייַבונג.
ERR0023 פארלאנגט פּאַראַמעטער file נאָמען איז פעלנדיק קיין VHDL file דרך איז צוגעשטעלט.
ERR0019 ניט געקענט רעגיסטרירן invalid_path.v file די ספּעסאַפייד VHDL file טוט נישט עקסיסטירן אָדער האט נישט לייענען פּערמישאַנז.
ERR0012 set_device איז נישט גערופן די מיטל אינפֿאָרמאַציע איז נישט ספּעסיפיעד. ניצן set_device באַפֿעל צו באַשרייַבן די מיטל.

Example
read_vhdl -mode vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 set_top_level (פרעגן אַ קשיא)
באַשרייַבונג
ספּעציפיצירן די נאָמען פון די שפּיץ-מדרגה מאָדולע אין RTL.
סעט_טאָפּ_לעוועל [-ליב ]
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
-lib שטריקל די ביבליאָטעק צו זוכן פֿאַר די שפּיץ-מדרגה מאָדולע אָדער ענטיטי (אָפּטיאָנאַל).
נאָמען שטריקל די נאָמען פון די שפּיץ-מדרגה מאָדולע אָדער ענטיטי.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פארלאנגט פּאַראַמעטער שפּיץ מדרגה איז פעלנדיק די שפּיץ מדרגה אָפּציע איז מאַנדאַטאָרי און מוזן זיין ספּעסיפיעד.
ERR0023 פּאַראַמעטער-ליב איז פעלנדיק ווערט די ליב אָפּציע איז ספּעסיפיעד אָן וואַלועס.
ERR0014 ניט געקענט צו געפֿינען די שפּיץ מדרגה אין ביבליאָטעק די ספּעסיפיעד שפּיץ-מדרגה מאָדולע איז נישט דיפיינד אין די צוגעשטעלט ביבליאָטעק. צו פאַרריכטן דעם טעות, די שפּיץ מאָדולע אָדער ביבליאָטעק נאָמען מוזן זיין קערעקטאַד.
ERR0017 פּראָטים דורכפאַל טעות אין RTL פּלאַנירונג פּראָצעס. דער טעות אָנזאָג קענען זיין באמערקט פֿון די קאַנסאָול.

Example
set_top_level {שפּיץ}
set_top_level -lib hdl top
9.1.6 read_sdc (פרעגן אַ קשיא)
באַשרייַבונג
לייענען אַ SDC file אין די קאָמפּאָנענט דאַטאַבייס.
read_sdc -קאָמפּאָנענטfileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
-קאָמפּאָנענט דאָס איז אַ מאַנדאַטאָרי פאָן פֿאַר read_sdc באַפֿעלן ווען מיר באַקומען קאַנסטריינץ.
fileנאָמען שטריקל וועג צו די SDC file.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פארלאנגט פּאַראַמעטער file נאָמען איז פעלנדיק. די מאַנדאַטאָרי אָפּציע file נאָמען איז נישט ספּעסיפיעד.
ERR0000 SDC file <file_path> איז ניט ליינעוודיק. די ספּעסיפיעד SDC file האט ניט לייענען פּערמישאַנז.
ERR0001 ניט געקענט עפֿענעןfile_path> file. די SDC file עקזיסטירט נישט. דער וועג מוזן זיין קערעקטאַד.
ERR0008 פעלנדיק set_component באַפֿעל איןfile_path> file די ספּעסיפיעד קאָמפּאָנענט פון SDC file טוט נישט ספּעציפיצירן די קאָמפּאָנענט.
טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0009 <List of errors from sdc file> די SDC file כּולל פאַלש sdc קאַמאַנדז. פֿאַר עקסampלא,

ווען עס איז אַ טעות אין set_multicycle_path קאַנסטריינט: טעות בשעת עקסאַקיוטינג באַפֿעל read_sdc: איןfile_path> file: טעות אין באַפֿעל set_multicycle_path: אומבאַקאַנט פּאַראַמעטער [געט_סעללס {רעג_אַ}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (פרעגן אַ קשיא)
באַשרייַבונג
לייענען אַן NDC file אין די קאָמפּאָנענט דאַטאַבייס.
read_ndc -קאָמפּאָנענטfileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
-קאָמפּאָנענט דאָס איז אַ מאַנדאַטאָרי פאָן פֿאַר read_ndc באַפֿעלן ווען מיר באַקומען קאַנסטריינץ.
fileנאָמען שטריקל וועג צו די NDC file.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0001 ניט געקענט עפֿענעןfile_path> file די NDC file עקזיסטירט נישט. דער וועג מוזן זיין קערעקטאַד.
ERR0023 פארלאנגט פּאַראַמעטער - AtclParamO_ איז פעלנדיק. די מאַנדאַטאָרי אָפּציע fileנאָמען איז נישט ספּעסיפיעד.
ERR0023 פארלאנגט פּאַראַמעטער-קאָמפּאָנענט איז פעלנדיק. קאָמפּאָנענט אָפּציע איז מאַנדאַטאָרי און מוזן זיין ספּעסיפיעד.
ERR0000 NDC file 'file_path>' איז ניט ליינעוודיק. די ספּעסאַפייד NDC file האט ניט לייענען פּערמישאַנז.

Example
read_ndc -קאָמפּאָנענט {component/work/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (פרעגן אַ קשיא)
באַשרייַבונג
ינסטאַנטייט קאָמפּאָנענט SDC files אין די פּלאַן-מדרגה דאַטאַבייס.
דעריוו_קאַנסטראַינץ
אַרגומענטן

צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0013 דער שפּיץ מדרגה איז נישט דיפיינד דעם מיטל אַז דער שפּיץ-מדרגה מאָדולע אָדער ענטיטי איז נישט ספּעסיפיעד. צו פאַרריכטן דעם רופן, אַרויסגעבן די
set_top_level באַפֿעל איידער די derive_constraints באַפֿעל.

Example
דעריוו_קאַנסטראַינץ
9.1.9 write_sdc (פרעגן אַ קשיא)
באַשרייַבונג
שרייבט אַ באַגרענעצונג file אין SDC פֿאָרמאַט.
שרייַבן_סדקfileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
<fileנאָמען> שטריקל וועג צו די SDC file וועט זיין דזשענערייטאַד. דאָס איז אַ מאַנדאַטאָרי אָפּציע. אויב די file עקזיסטירט, וועט עס איבערגעשריבן ווערן.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0003 ניט געקענט עפֿענעןfile דרך> file. File דער דרך איז נישט ריכטיק. קוק צי די פאָטער דירעקטעריז עקסיסטירן.
ERR0002 SDC file 'file path>' איז נישט שרייבטאַבלע. די ספּעסיפיעד SDC file האט נישט שרייבן רשות.
ERR0023 פארלאנגט פּאַראַמעטער file נאָמען איז פעלנדיק. די SDC file דרך איז אַ מאַנדאַטאָרי אָפּציע און מוזן זיין ספּעסיפיעד.

Example
write_sdc "derived.sdc"
9.1.10 write_pdc (פרעגן אַ קשיא)
באַשרייַבונג
שרייבט גשמיות קאַנסטריינץ (דערייווד קאַנסטריינץ בלויז).
שרייַבן_פּדקfileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
<fileנאָמען> שטריקל וועג צו די PDC file וועט זיין דזשענערייטאַד. דאָס איז אַ מאַנדאַטאָרי אָפּציע. אויב די file דער דרך יגזיסץ, עס וועט זיין אָוווערריטאַן.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אַרטיקלען באַשרייַבונג
ERR0003 ניט געקענט עפֿענעןfile דרך> file די file דער דרך איז נישט ריכטיק. קוק צי די פאָטער דירעקטעריז עקסיסטירן.
ERR0002 PDC file 'file path>' קען נישט שרייַבן. די ספּעסאַפייד PDC file האט נישט שרייבן רשות.
ERR0023 פארלאנגט פּאַראַמעטער file נאָמען איז פעלנדיק די PDC file דרך איז אַ מאַנדאַטאָרי אָפּציע און מוזן זיין ספּעסיפיעד.

Example
write_pdc "derived.pdc"
9.1.11 write_ndc (פרעגן אַ קשיא)
באַשרייַבונג
שרייבט NDC קאַנסטריינץ אין אַ file.
שרייב_נדקfileנאָמען>
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
fileנאָמען שטריקל וועג צו די NDC file וועט זיין דזשענערייטאַד. דאָס איז אַ מאַנדאַטאָרי אָפּציע. אויב די file עקזיסטירט, וועט עס איבערגעשריבן ווערן.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אַרטיקלען באַשרייַבונג
ERR0003 ניט געקענט עפֿענעןfile_path> file. File דער דרך איז נישט ריכטיק. די פאָטער דירעקטעריז טאָן ניט עקסיסטירן.
ERR0002 NDC file 'file_path>' איז ניט רייטאַבאַל. די ספּעסאַפייד NDC file האט נישט שרייבן רשות.
ERR0023 פארלאנגט פּאַראַמעטער _AtclParamO_ איז פעלנדיק. די NDC file דרך איז אַ מאַנדאַטאָרי אָפּציע און מוזן זיין ספּעסיפיעד.

Example
write_ndc "derived.ndc"
9.1.12 add_include_path (פרעגן אַ קשיא)
באַשרייַבונג
ספּעציפיצירט אַ דרך צו זוכן אַרייַננעמען files ווען לייענען RTL files.
add_include_path
אַרגומענטן

פּאַראַמעטער טיפּ באַשרייַבונג
וועגווייַזער שטריקל ספּעציפיצירט אַ דרך צו זוכן אַרייַננעמען files ווען לייענען RTL fileס. דעם אָפּציע איז מאַנדאַטאָרי.
צוריקקומען טיפּ באַשרייַבונג
0 דער באַפֿעל איז געראָטן.
צוריקקומען טיפּ באַשרייַבונג
1 באַפֿעל ניט אַנדערש. עס איז אַ טעות. איר קענען אָבסערווירן די טעות אָנזאָג אין די קאַנסאָול.

רשימה פון ערראָרס

טעות קאָד טעות אָנזאָג באַשרייַבונג
ERR0023 פארלאנגט פּאַראַמעטער אַרייַננעמען דרך איז פעלנדיק. דער וועגווייַזער אָפּציע איז מאַנדאַטאָרי און מוזן זיין צוגעשטעלט.

באַמערקונג: אויב דער וועגווייַזער דרך איז נישט ריכטיק, דעמאָלט add_include_path וועט זיין דורכגעגאנגען אָן אַ טעות.
אָבער, read_verilog/read_vhd קאַמאַנדז וועט פאַרלאָזן רעכט צו וועריפיק ס פּאַרסער.
Example
add_include_path קאָמפּאָנענט/ווערק/COREABC0/COREABC0_0/rtl/vlog/core

רעוויזיע געשיכטע (פרעגן אַ קשיא)

די רעוויזיע געשיכטע באשרייבט די ענדערונגען וואָס זענען ימפּלאַמענאַד אין דעם דאָקומענט. די ענדערונגען זענען ליסטעד דורך רעוויזיע, סטאַרטינג מיט די לעצטע ויסגאַבע.

רעוויזיע טאָג באַשרייַבונג
F 08/2024 די פאלגענדע ענדערונגען זענען געמאכט אין דעם רעוויזיע:
• דערהייַנטיקט אָפּטיילונג אַפּפּענדיקס ב — ימפּאָרטינג סימיאַליישאַן ליבראַריעס אין סימיאַליישאַן סוויווע.
E 08/2024 די פאלגענדע ענדערונגען זענען געמאכט אין דעם רעוויזיע:
• דערהייַנטיקט אָפּטיילונג איבערview.
• דערהייַנטיקט אָפּטיילונג דערייווד SDC File.
• דערהייַנטיקט אָפּטיילונג אַפּפּענדיקס ב — ימפּאָרטינג סימיאַליישאַן ליבראַריעס אין סימיאַליישאַן סוויווע.
D 02/2024 דער דאָקומענט איז באפרייט מיט Libero 2024.1 SoC Design Suite אָן ענדערונגען פֿון וו2023.2.
דערהייַנטיקט אָפּטיילונג ארבעטן מיט derive_constraints וטיליטי
C 08/2023 דער דאָקומענט איז באפרייט מיט Libero 2023.2 SoC Design Suite אָן ענדערונגען פֿון וו2023.1.
B 04/2023 דער דאָקומענט איז באפרייט מיט Libero 2023.1 SoC Design Suite אָן ענדערונגען פֿון וו2022.3.
A 12/2022 ערשט רעוויזיע.

מיקראָטשיפּ FPGA שטיצן
מיקראָטשיפּ FPGA פּראָדוקטן גרופּע שטיצט זייַן פּראָדוקטן מיט פאַרשידן שטיצן באַדינונגס, אַרייַנגערעכנט קונה סערוויס, קונה טעכניש שטיצן צענטער, webפּלאַץ, און ווערלדווייד פארקויפונג אָפאַסיז.
קאַסטאַמערז זענען סאַגדזשעסטיד צו באַזוכן מיקראָטשיפּ אָנליין רעסורסן איידער זיי קאָנטאַקט שטיצן, ווייַל עס איז זייער מסתּמא אַז זייער פֿראגן האָבן שוין געענטפערט.
קאָנטאַקט טעכניש שטיצן צענטער דורך די webפּלאַץ בייַ www.microchip.com/support. דערמאָנען די FPGA דיווייס טייל נומער, אויסקלייַבן די צונעמען פאַל קאַטעגאָריע און ופּלאָאַד פּלאַן fileס בשעת קריייטינג אַ טעכניש שטיצן פאַל.
קאָנטאַקט קונה סערוויס פֿאַר ניט-טעכניש פּראָדוקט שטיצן, אַזאַ ווי פּראָדוקט פּרייסינג, פּראָדוקט אַפּגריידז, דערהייַנטיקן אינפֿאָרמאַציע, סדר סטאַטוס און דערלויבעניש.

  • פֿון צפון אַמעריקע, רופן 800.262.1060
  • פון די רעשט פון דער וועלט, רופן 650.318.4460
  • פאַקס, פֿון ערגעץ אין דער וועלט, 650.318.8044

מיקראָטשיפּ אינפֿאָרמאַציע
די מיקראָטשיפּ Webפּלאַץ
מיקראָטשיפּ גיט אָנליין שטיצן דורך אונדזער webפּלאַץ בייַ www.microchip.com/. דאס webפּלאַץ איז געניצט צו מאַכן files און אינפֿאָרמאַציע לייכט בנימצא צו קאַסטאַמערז. עטלעכע פון ​​די בנימצא אינהאַלט כולל:

  • פּראָדוקט סופּפּאָרט - דאַטאַ שיץ און ערראַטאַ, אַפּלאַקיישאַן הערות און סampלאַ מגילה, פּלאַן רעסורסן, באַניצער גוידעס און ייַזנוואַרג שטיצן דאָקומענטן, לעצט ווייכווארג ריליסיז און אַרטשיוועד ווייכווארג
  • אַלגעמיינע טעכניש שטיצן - אָפט געשטעלטע פֿראגן (FAQs), טעכניש שטיצן ריקוועס, אָנליין דיסקוסיע גרופּעס, מיקראָטשיפּ פּלאַן שוטעף פּראָגראַם מיטגליד ליסטינג
  • ביזנעס פון מיקראָטשיפּ - פּראָדוקט סעלעקטאָר און אָרדערינג גוידעס, לעצטע מיקראָטשיפּ פּרעס ריליסיז, ליסטינג פון סעמינאַרס און events, ליסטינגס פון מיקראָטשיפּ פארקויפונג אָפאַסיז, ​​דיסטריביאַטערז און פאַבריק פארשטייערס

פּראָדוקט ענדערונג אָנזאָג סערוויס
מיקראָטשיפּ ס פּראָדוקט ענדערונג אָנזאָג דינסט העלפּס האַלטן קאַסטאַמערז קראַנט אויף מיקראָטשיפּ פּראָדוקטן. אבאנענטן וועלן באַקומען E- בריוו אָנזאָג ווען עס זענען ענדערונגען, דערהייַנטיקונגען, ריוויזשאַנז אָדער ערראַטאַ שייַכות צו אַ ספּעציפיש פּראָדוקט משפּחה אָדער אַנטוויקלונג געצייַג פון אינטערעס. צו רעגיסטרירן, גיין צו www.microchip.com/pcn און נאָכגיין די רעגיסטראַציע ינסטראַקשאַנז.

קונה שטיצן
יוזערז פון מיקראָטשיפּ פּראָדוקטן קענען באַקומען הילף דורך עטלעכע טשאַנאַלז:

  • דיסטריביאַטער אָדער פארשטייער
  • לאקאלע סאַלעס אָפפיסע
  • עמבעדיד סאַלושאַנז ינזשעניר (ESE)
  • טעכניש שטיצן

קאַסטאַמערז זאָל קאָנטאַקט זייער דיסטריביאַטער, פארשטייער אָדער ESE פֿאַר שטיצן. לאקאלע פארקויפונג אָפאַסיז זענען אויך בנימצא צו העלפן קאַסטאַמערז. א ליסטינג פון פארקויפונג אָפאַסיז און לאָוקיישאַנז איז אַרייַנגערעכנט אין דעם דאָקומענט. טעכניש שטיצן איז בנימצא דורך די webפּלאַץ אין: www.microchip.com/support
מיקראָטשיפּ דעוויסעס קאָוד פּראַטעקשאַן שטריך
באַמערקונג די פאלגענדע דעטאַילס פון די קאָד שוץ שטריך אויף מיקראָטשיפּ פּראָדוקטן:

  • מיקראָטשיפּ פּראָדוקטן טרעפן די ספּעסאַפאַקיישאַנז קאַנטיינד אין זייער באַזונדער מיקראָטשיפּ דאַטאַ בלאַט.
  • מיקראָטשיפּ גלויבט אַז זיין משפּחה פון פּראָדוקטן איז זיכער ווען געוויינט אין די בדעה שטייגער, אין אַפּערייטינג ספּעסאַפאַקיישאַנז און אונטער נאָרמאַל טנאָים.
  • מיקראָטשיפּ וואַלועס און אַגרעסיוו פּראַטעקץ זייַן אינטעלעקטואַל פאַרמאָג רעכט. פרווון צו ברעכן די קאָד שוץ פֿעיִקייטן פון מיקראָטשיפּ פּראָדוקט איז שטרענג פּראָוכיבאַטאַד און קען אָנרירן די דיגיטאַל מיללענניום קאַפּירייט אקט.
  • ניט מיקראָטשיפּ אָדער קיין אנדערע סעמיקאַנדאַקטער פאַבריקאַנט קענען גאַראַנטירן די זיכערהייט פון זיין קאָד. קאָד שוץ טוט נישט מיינען אַז מיר גאַראַנטירן אַז די פּראָדוקט איז "אַנברייקאַבאַל". קאָד שוץ איז קעסיידער יוואַלווינג. מיקראָטשיפּ איז קאַמיטאַד צו קאַנטיניואַסלי פֿאַרבעסערן די קאָד שוץ פֿעיִקייטן פון אונדזער פּראָדוקטן.

לעגאַל נאָטיץ
די ויסגאַבע און די אינפֿאָרמאַציע דאָ קען זיין געוויינט בלויז מיט מיקראָטשיפּ פּראָדוקטן, אַרייַנגערעכנט צו פּלאַן, פּרובירן און ויסשטימען מיקראָטשיפּ פּראָדוקטן מיט דיין אַפּלאַקיישאַן. נוצן פון דעם אינפֿאָרמאַציע אין קיין אנדערע שטייגער ווייאַלייץ די טערמינען. אינפֿאָרמאַציע וועגן מיטל אַפּלאַקיישאַנז איז צוגעשטעלט בלויז פֿאַר דיין קאַנוויניאַנס און קען זיין סופּערסטיד דורך דערהייַנטיקונגען. עס איז דיין פֿאַראַנטוואָרטלעכקייט צו ענשור אַז דיין אַפּלאַקיישאַן טרעפן דיין ספּעסאַפאַקיישאַנז. קאָנטאַקט דיין היגע מיקראָטשיפּ פארקויפונג אָפיס פֿאַר נאָך שטיצן אָדער באַקומען נאָך שטיצן ביי www.microchip.com/en-us/support/design-help/client-support-services.
די אינפֿאָרמאַציע איז צוגעשטעלט דורך MICROCHIP "ווי איז". POROCHIP מאכט קיין רעפּרעסענטאַטיאָנס אָדער וואָראַנטיז פון קיין מין, צי אויסדריקלעך אָדער ימפּלייד, געשריבן אָדער מויל, סטאַטוטאָרי אָדער אַנדערש, שייַכות צו די אינפֿאָרמאַציע אַרייַנגערעכנט אָבער נישט לימיטעד צו קיין ימפּלייד וואָראַנטיאַטיז, פּאַרטיעס, פּאַרטיעס שייַכות צו זייַן צושטאַנד, קוואַליטעט אָדער פאָרשטעלונג. אין קיין פאַל, MICROCHIP וועט זיין פאַראַנטוואָרטלעך פֿאַר קיין ינדירעעקט, ספּעציעלע, שטראָף, ינסידענטאַל אָדער קאָנסעקווענשאַל אָנווער, שעדיקן, קאָס אָדער קאָסט פון קיין מין וואָס איז שייַכות צו די אינפֿאָרמאַציע אָדער זייַן נוצן, אָבער, ווי די סיבה פון די סיבה. די מעגלעכקייט אָדער די שעדיקן זענען פאָרסיאַבאַל. צו די פולשטענדיק מאָס ערלויבט דורך געזעץ, מיקראָטשיפּס גאַנץ אַכרייַעס אויף אַלע קליימז אין קיין וועג שייַכות צו די אינפֿאָרמאַציע אָדער זייַן נוצן וועט נישט יקסיד די סומע פון ​​פיז, אויב קיין, וואָס איר האָט באַצאָלט גלייַך צו די אינפֿאָרמאַציע.
די נוצן פון מיקראָטשיפּ דעוויסעס אין לעבן שטיצן און / אָדער זיכערקייַט אַפּלאַקיישאַנז איז לעגאַמרע אין די ריזיקירן פון די קוינע, און די קוינע אַגריז צו באַשיצן, באַשייַמפּערלעך און האַלטן ומשעדלעך מיקראָטשיפּ פון קיין און אַלע דאַמידזשיז, ליימס, סוץ אָדער הוצאות ריזאַלטינג פון אַזאַ נוצן. קיין לייסאַנסיז זענען קאַנווייד, ימפּליסאַטלי אָדער אַנדערש, אונטער קיין מיקראָטשיפּ אינטעלעקטואַל פאַרמאָג רעכט סייַדן אַנדערש סטייטיד.
טריידמאַרקס
די מיקראָטשיפּ נאָמען און לאָגאָ, די מיקראָטשיפּ לאָגאָ, Adaptec, AVR, AVR לאָגאָ, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST לאָגאָ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 לאָגאָ, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logo, SuperFlash, Symmetricom , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron און XMEGA זענען רעגיסטרירט טריידמאַרקס פון מיקראָטשיפּ טעכנאָלאָגיע ינקאָרפּערייטיד אין די USA און אנדערע לענדער.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSyncch, Flashtec, Hyper Speed ​​​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logo, Quiet-Wire, SmartFusion, SyncWorld, TimeCesium, TimeHub, TimePictra, TimeProvider און ZL זענען רעגיסטרירט טריידמאַרקס פון מיקראָטשיפּ טעכנאָלאָגיע ינקאָרפּערייטיד אין די USA
שכייניש שליסל סופּפּרעססיאָן, AKS, אַנאַלאָג-פֿאַר-די-דיגיטאַל עלטער, קיין קאַפּאַסאַטער, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net, דינאַמיש. , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IgaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, JitterBlocker, Knob-on-Display, Marginlinko, max. מאַקסView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified לאָגאָ, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS 7, PowerSmart, PureSilicon , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Synchrophy, Total Endurance , Trusted Time, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, Viewספּאַן, WiperLock, XpressConnect און ZENA זענען טריידמאַרקס פון מיקראָטשיפּ טעכנאָלאָגיע ינקאָרפּערייטיד אין די USA און אנדערע לענדער.
SQTP איז אַ דינסט צייכן פון מיקראָטשיפּ טעכנאָלאָגיע ינקאָרפּערייטיד אין די USA
די אַדאַפּטעק לאָגאָ, אָפטקייַט אויף פאָדערונג, סיליציום סטאָרידזש טעכנאָלאָגיע און סימקאָם זענען רעגיסטרירט טריידמאַרקס פון מיקראָטשיפּ טעכנאָלאָגיע ינק. אין אנדערע לענדער.
GestIC איז אַ רעגיסטרירט טריידמאַרק פון Microchip Technology Germany II GmbH & Co. KG, אַ סאַבסידיערי פון מיקראָטשיפּ טעכנאָלאָגיע ינק., אין אנדערע לענדער.
אַלע אנדערע טריידמאַרקס דערמאנט דאָ זענען פאַרמאָג פון זייער ריספּעקטיוו קאָמפּאַניעס.
2024, מיקראָטשיפּ טעכנאָלאָגיע ינקאָרפּערייטיד און זייַן סאַבסידיעריז. כל הזכויות שמורות.
ISBN: 978-1-6683-0183-8
קוואַליטי מאַנאַגעמענט סיסטעם
פֿאַר אינפֿאָרמאַציע וועגן מיקראָטשיפּ ס קוואַליטי מאַנאַגעמענט סיסטעמען, ביטע באַזוכן www.microchip.com/quality.
ווערלדווייד סאַלעס און סערוויס

אמעריקע  אַסיאַ / פּאַסיפיק  אַסיאַ / פּאַסיפיק  אייראָפּע
פֿירמע אָפפיסע
2355 West Chandler Blvd.
טשאַנדלער, אַז 85224-6199
תּל: 480-792-7200
פאַקס: 480-792-7277
טעכניש שטיצן: www.microchip.com/support
Web אַדרעס: www.microchip.com
אַטלאַנטאַ
דולוטה, גאַ
תּל: 678-957-9614
פאַקס: 678-957-1455
אַוסטין, טקסס
תּל: 512-257-3370
באָסטאָן
וועסטבאָראָוגה, מאַ
תּל: 774-760-0087
פאַקס: 774-760-0088
טשיקאַגאָ
Itasca, IL
תּל: 630-285-0071
פאַקס: 630-285-0075
Dallas
אַדדיסאָן, טקס
תּל: 972-818-7423
פאַקס: 972-818-2924
דעטראָיט
נאָווי, מי
תּל: 248-848-4000
האָוסטאָן, טקסס
תּל: 281-894-5983
אינדיאנאפאליס
Noblesville, ינ
תּל: 317-773-8323
פאַקס: 317-773-5453
תּל: 317-536-2380
לאס אנדזשעלעס
מיסיע ווידזשאָ, CA
תּל: 949-462-9523
פאַקס: 949-462-9608
תּל: 951-273-7800
Raleigh, NC
תּל: 919-844-7510
ניו יארק, ניו יאָרק
תּל: 631-435-6000
סאַן דזשאָסע, CA
תּל: 408-735-9110
תּל: 408-436-4270
קאַנאַדע - טאָראָנטאָ
תּל: 905-695-1980
פאַקס: 905-695-2078
אויסטראַליע - סידני
תּל: 61-2-9868-6733
כינע - בעידזשינג
תּל: 86-10-8569-7000
כינע - טשענגדו
תּל: 86-28-8665-5511
טשיינאַ - טשאָנגקינג
תּל: 86-23-8980-9588
טשיינאַ - דאָנגגואַן
תּל: 86-769-8702-9880
טשיינאַ - גואַנגזשאָו
תּל: 86-20-8755-8029
טשיינאַ - האַנגזשאָו
תּל: 86-571-8792-8115
טשיינאַ - האָנג קאָנג סאַר
תּל: 852-2943-5100
טשיינאַ - נאַנדזשינג
תּל: 86-25-8473-2460
טשיינאַ - קינגדאַאָ
תּל: 86-532-8502-7355
כינע - שאַנגהאַי
תּל: 86-21-3326-8000
כינע - שעניאַנג
תּל: 86-24-2334-2829
כינע - שענזשען
תּל: 86-755-8864-2200
כינע - סוזשאָו
תּל: 86-186-6233-1526
טשיינאַ - וווהאַן
תּל: 86-27-5980-5300
טשיינאַ - קסיאַן
תּל: 86-29-8833-7252
טשיינאַ - קסיאַמען
תּל: 86-592-2388138
כינע – זשוהאי
תּל: 86-756-3210040
ינדיאַ - באַנגאַלאָרע
תּל: 91-80-3090-4444
ינדיאַ - ניו דעלי
תּל: 91-11-4160-8631
ינדיאַ - פּונע
תּל: 91-20-4121-0141
יאַפּאַן - אָסאַקאַ
תּל: 81-6-6152-7160
יאַפּאַן - טאָקיאָ
תּל: 81-3-6880-3770
קארעע - דאַעגו
תּל: 82-53-744-4301
קארעע - סעאָול
תּל: 82-2-554-7200
מאַלייַסיאַ - קואַלאַ לומפּור
תּל: 60-3-7651-7906
מאַלייַסיאַ - פּענאַנג
תּל: 60-4-227-8870
פיליפינען - מאַנילאַ
תּל: 63-2-634-9065
סינגאַפּאָר
תּל: 65-6334-8870
טייוואַן - הסין טשו
תּל: 886-3-577-8366
טייוואַן - קאַאָשיונג
תּל: 886-7-213-7830
טייוואַן - טייפּיי
תּל: 886-2-2508-8600
טיילאַנד - באַנגקאָק
תּל: 66-2-694-1351
וויעטנאַם - האָ טשי מין
תּל: 84-28-5448-2100
עסטרייך - וועלס
תּל: 43-7242-2244-39
פאַקס: 43-7242-2244-393
דענמאַרק - קאָפּענהאַגען
תּל: 45-4485-5910
פאַקס: 45-4485-2829
פינלאַנד - עספּאָו
תּל: 358-9-4520-820
פֿראַנקרייַך - פּאַריז
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
דייַטשלאַנד - גאַרטשינג
תּל: 49-8931-9700
דייטשלאנד – האן
תּל: 49-2129-3766400
דייטשלאנד – היילברון
תּל: 49-7131-72400
דייטשלאנד – קארלסרוהע
תּל: 49-721-625370
דייַטשלאַנד - מינכען
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
דייטשלאנד – ראזענהיים
תּל: 49-8031-354-560
ישראל – הוד השרון
תּל: 972-9-775-5100
איטאליע - מילאַן
תּל: 39-0331-742611
פאַקס: 39-0331-466781
איטאליע - פּאַדאָוואַ
תּל: 39-049-7625286
נעטהערלאַנדס - Drunen
תּל: 31-416-690399
פאַקס: 31-416-690340
נאָרווייַ - טראָנדהאַנד
תּל: 47-72884388
פוילן – ווארשע
תּל: 48-22-3325737
רומעניע - בוקארעשט
Tel: 40-21-407-87-50
ספּאַין - מאַדריד
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
שוועדן – גאָטהענבערג
Tel: 46-31-704-60-40
שוועדן - סטאָקכאָלם
תּל: 46-8-5090-4654
וק - וואָקינגהאַם
תּל: 44-118-921-5800
פאַקס: 44-118-921-5820

MICROCHIP - לאָגאָ

דאָקומענטן / רעסאָורסעס

MICROCHIP DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו [pdfבאַניצער גייד
DS00004807F PolarFire משפּחה פפּגאַ מנהג פלאָו, DS00004807F, PolarFire משפּחה פפּגאַ מנהג פלאָו, משפּחה פפּגאַ מנהג לויפן, מנהג לויפן, לויפן

רעפערענצן

לאָזן אַ באַמערקונג

דיין בליצפּאָסט אַדרעס וועט נישט זיין ארויס. פארלאנגט פעלדער זענען אנגעצייכנט *