مایکروچپ - logo د پولار فایر کورنۍ FPGA ګمرکي جریان کارونکي لارښود
لایبررو SoC v2024.2

پیژندنه (یوه پوښتنه وکړئ)

د لایبرو سیسټم-آن-چپ (SoC) سافټویر په بشپړ ډول مدغم شوی د ساحې پروګرام وړ ګیټ اری (FPGA) ډیزاین چاپیریال چمتو کوي. په هرصورت، یو څو کاروونکي ممکن وغواړي چې د لایبرو SoC چاپیریال څخه بهر د دریمې ډلې ترکیب او سمولیشن وسایل وکاروي. لایبرو اوس د FPGA ډیزاین چاپیریال کې مدغم کیدی شي. د ټول FPGA ډیزاین جریان اداره کولو لپاره د لایبرو SoC کارولو سپارښتنه کیږي.
دا کارونکي لارښود د پولار فایر او پولار فایر SoC کورنۍ وسیلو لپاره د ګمرک جریان تشریح کوي، د لوی FPGA ډیزاین جریان برخې په توګه د لیبرو مدغم کولو پروسه. ملاتړ شوي وسیلې کورنۍ® لاندې جدول د هغه وسیلو کورنۍ لیست کوي چې لیبرو SoC یې ملاتړ کوي. په هرصورت، پدې لارښود کې ځینې معلومات ممکن یوازې د وسیلو یوې ځانګړې کورنۍ ته پلي شي. پدې حالت کې، دا ډول معلومات په روښانه ډول پیژندل شوي.
جدول ۱. د وسایلو کورنۍ چې د Libero SoC لخوا ملاتړ کیږي

د وسیلې کورنۍ تفصیل
PolarFire® د پولار فایر FPGAs د صنعت ترټولو ټیټ بریښنا په منځني رینج کثافتونو کې د استثنایی امنیت او اعتبار سره وړاندې کوي.
PolarFire SoC پولار فایر SoC لومړنی SoC FPGA دی چې یو ټاکلی، همغږي RISC-V CPU کلستر، او یو ټاکلی L2 حافظه فرعي سیسټم لري چې لینکس® او ریښتیني وخت غوښتنلیکونه فعالوي.

اوورview (یوه پوښتنه وکړئ)

پداسې حال کې چې لیبرو SoC د SoC او FPGA ډیزاینونو پراختیا لپاره په بشپړ ډول مدغم شوی پای څخه تر پایه ډیزاین چاپیریال چمتو کوي، دا د لیبرو SoC چاپیریال څخه بهر د دریمې ډلې وسیلو سره د ترکیب او سمولیشن چلولو لپاره انعطاف هم چمتو کوي. په هرصورت، د ډیزاین ځینې مرحلې باید د لیبرو SoC چاپیریال کې پاتې شي.
لاندې جدول د FPGA ډیزاین جریان کې مهم ګامونه لیست کوي او هغه ګامونه په ګوته کوي چې د کومو لپاره باید Libero SoC وکارول شي.
جدول ۱-۱. د FPGA ډیزاین جریان

د ډیزاین جریان مرحله باید لیبرو وکاروئ تفصیل
د ډیزاین داخله: HDL نه که وغواړئ، د Libero® SoC څخه بهر د دریمې ډلې HDL ایډیټر/چیکر وسیله وکاروئ.
د ډیزاین ننوتنه: ترتیب کوونکي هو د IP کتلاګ اصلي برخې تولید لپاره لومړی لایبر پروژه جوړه کړئ.
د اتوماتیک PDC/SDC محدودیت تولید نه اخستل شوي محدودیتونه ټول HDL ته اړتیا لري files او د derive_constraints یوټیلټي کله چې د Libero SoC څخه بهر ترسره کیږي، لکه څنګه چې په ضمیمه C—Derive Constraints کې تشریح شوي.
سمول نه که وغواړئ، د لیبرو SoC څخه بهر د دریمې ډلې وسیله وکاروئ. د هدف وسیلې، هدف سمیلیټر، او د بیک انډ پلي کولو لپاره کارول شوي هدف لیبرو نسخې لپاره د مخکې څخه تالیف شوي سمولیټر کتابتونونو ډاونلوډ ته اړتیا لري.
ترکیب نه که وغواړئ، د Libero SoC څخه بهر د دریمې ډلې وسیله وکاروئ.
د ډیزاین تطبیق: محدودیتونه اداره کړئ، د نیټ لیست تالیف کړئ، ځای او لاره (وګورئ اوورview) هو د بیک اینډ پلي کولو لپاره دوهم لیبرو پروژه جوړه کړئ.
د وخت او بریښنا تایید هو په دوهم لیبرو پروژه کې پاتې شه.
د ډیزاین پیل کولو ډیټا او یادښتونه تنظیم کړئ هو د دې وسیلې څخه کار واخلئ ترڅو په وسیله کې د مختلفو ډولونو یادونو او ډیزاین پیل کولو اداره وکړئ. په دوهم پروژه کې پاتې شئ.
برنامه کول File نسل هو په دوهمه پروژه کې پاتې شه.

مایکروچپ DS00004807F پولار فایر کورنۍ FPGA دودیز جریان - آیکون مهم: تاسو باید مخکې له مخکې تالیف شوي کتابتونونه ډاونلوډ کړئ چې په کې شتون لري مخکې له مخکې تالیف شوي سمولیشن کتابتونونه د دریمې ډلې سمیلیټر کارولو لپاره پاڼه.
په خالص فیبرک FPGA جریان کې، خپل ډیزاین د HDL یا سکیمیک ننوتلو په کارولو سره دننه کړئ او مستقیم یې تېر کړئ.
د ترکیب وسیلو ته. جریان لاهم ملاتړ کیږي. پولار فایر او پولار فایر SoC FPGAs د پام وړ لري
د ملکیت سخت IP بلاکونه چې د Libero SoC IP څخه د ترتیب کورونو (SgCores) کارولو ته اړتیا لري
کتلاګ. د هر هغه بلاک لپاره چې د SoC فعالیت پکې شامل وي ځانګړي اداره کول اړین دي:

  • PolarFire
    – PF_UPROM
    – د PF_SYSTEM_خدمات
    – PF_CCC
    - د PF CLK DIV
    – PF_CRYPTO
    – PF_DRI
    – PF_INIT_MONITOR
    – PF_NGMUX
    – PF_OSC
    - رامونه (TPSRAM، DPSRAM، URAM)
    – PF_SRAM_AHBL_AXI
    – PF_XCVR_ERM
    – PF_XCVR_REF_CLK
    – PF_TX_PLL
    – PF_PCIE
    – PF_IO
    - PF_IOD_CDR
    – PF_IOD_CDR_CCC
    – PF_IOD_GENERIC_RX
    – PF_IOD_GENERIC_TX
    – PF_IOD_GENERIC_TX_CCC
    – PF_RGMII_TO_GMII
    – PF_IOD_OCTAL_DDR
    - PF_DDR3
    - PF_DDR4
    – PF_LPDDR3
    – PF_QDR
    – PF_CORESMARTBERT
    – پي ایف_ټيAMPER
    – PF_TVS، او داسې نور.

د پورته ذکر شویو SgCores سربیره، په Libero SoC کتلاګ کې د PolarFire او PolarFire SoC وسیلو کورنیو لپاره ډیری DirectCore نرم IPs شتون لري چې د FPGA فابریکې سرچینې کاروي.
د ډیزاین داخلې لپاره، که تاسو د مخکینیو برخو څخه کوم یو کاروئ، نو تاسو باید د ډیزاین داخلې (د اجزاو ترتیب) برخې لپاره Libero SoC وکاروئ، مګر تاسو کولی شئ د Libero څخه بهر د خپل ډیزاین داخلې (HDL داخله، او داسې نور) پاتې برخه دوام ورکړئ. د Libero څخه بهر د FPGA ډیزاین جریان اداره کولو لپاره، د دې لارښود په پاتې برخه کې چمتو شوي ګامونه تعقیب کړئ.
۱.۱ د اجزاو د ژوند دوره (یوه پوښتنه وکړئ)
لاندې ګامونه د SoC برخې د ژوند دوره تشریح کوي او د معلوماتو د اداره کولو لارښوونې چمتو کوي.

  1. په Libero SoC کې د هغې د تنظیم کونکي په کارولو سره جز تولید کړئ. دا د معلوماتو لاندې ډولونه تولیدوي:
    - HDL files
    – حافظه files
    - محرک او سمولیشن files
    - د SDC برخه file
  2. د HDL لپاره files، د بهرني ډیزاین د ننوتلو وسیلې/پروسې په کارولو سره یې د HDL ډیزاین په پاتې برخه کې انسټینټ او مدغم کړئ.
  3. د حافظې عرضه fileمحرک او محرک fileستاسو د سمیولیشن وسیلې ته.
  4. د اکمالاتو برخه SDC file د محدودیت تولید لپاره د محدودیت د ترلاسه کولو وسیله. د نورو جزیاتو لپاره ضمیمه C—د محدودیتونو ترلاسه کول وګورئ.
  5. تاسو باید دوهمه لیبرو پروژه جوړه کړئ، چیرې چې تاسو د ترکیب وروسته نیټ لیست او ستاسو د اجزاو میټاډاټا وارد کړئ، پدې توګه د هغه څه ترمنځ اړیکه بشپړوي چې تاسو یې تولید کړی او هغه څه چې تاسو یې پروګرام کوئ.

۱.۲ د لایبرو SoC پروژې جوړول (یوه پوښتنه وکړئ)
د ډیزاین ځینې مرحلې باید د لیبرو SoC چاپیریال دننه ترسره شي (جدول ۱-۱). د دې مرحلو د چلولو لپاره، تاسو باید دوه لیبرو SoC پروژې رامینځته کړئ. لومړۍ پروژه د ډیزاین اجزاو ترتیب او تولید لپاره کارول کیږي، او دویمه پروژه د لوړې کچې ډیزاین فزیکي پلي کولو لپاره ده.
۱.۳ دودیز جریان (یوه پوښتنه وکړئ)
لاندې انځور ښیي:

  • لایبررو SoC د لوی FPGA ډیزاین جریان د یوې برخې په توګه د لایبررو SoC چاپیریال څخه بهر د دریمې ډلې ترکیب او سمولیشن وسیلو سره مدغم کیدی شي.
  • په جریان کې مختلف ګامونه شامل دي، د ډیزاین جوړولو څخه پیل او د وسیلې پروګرام کولو پورې ټولې لارې.
  • د معلوماتو تبادله (ننوتل او پایلې) چې باید د ډیزاین جریان په هر ګام کې ترسره شي.

مایکروچپ DS00004807F پولار فایر کورنۍ FPGA ګمرکي جریان - ګمرکي جریان اوورviewمایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - آیکون ۱ لارښوونه:

  1. SNVM.cfg، UPROM.cfg
  2. *.یمه file د سمیولیشن لپاره نسل: pa4rtupromgen.exe UPROM.cfg د ان پټ په توګه اخلي او UPROM.mem تولیدوي.

د دودیز جریان مرحلې په لاندې ډول دي:

  1. د اجزاو ترتیب او تولید:
    الف. د لومړي لایبرو پروژه جوړه کړئ (د حوالې پروژې په توګه کار کولو لپاره).
    ب. له کتلاګ څخه کور غوره کړئ. په کور دوه ځله کلیک وکړئ ترڅو د برخې نوم ورکړئ او جز تنظیم کړئ.
    دا په اتوماتيک ډول د اجزاو معلومات صادروي ​​او files. د اجزاو منشورونه هم تولید شوي دي. د جزیاتو لپاره د اجزاو منشورونه وګورئ. د نورو جزیاتو لپاره، د اجزاو ترتیب وګورئ.
  2. د لیبیرو څخه بهر خپل د RTL ډیزاین بشپړ کړئ:
    الف. د HDL جز انسټنټ کړئ files.
    ب. د HDL موقعیت files د اجزاو په منشورونو کې لیست شوی دی files.
  3. د اجزاو لپاره د SDC محدودیتونه رامینځته کړئ. د وخت محدودیت رامینځته کولو لپاره د Derive Constraints یوټیلټي وکاروئ. file(SDC) پر بنسټ:
    الف. د HDL برخه files
    ب. د SDC برخه files
    ج. د HDL کاروونکي files
    د نورو جزیاتو لپاره، ضمیمه C—Derive Constraints وګورئ.
  4. د ترکیب وسیله/سیمولیشن وسیله:
    الف. HDL ترلاسه کړئ files، محرک files، او د اجزاو معلومات د ځانګړو ځایونو څخه لکه څنګه چې د اجزاو په منشور کې یادونه شوې.
    ب. د لیبیرو SoC څخه بهر د دریمې ډلې وسیلو سره ډیزاین ترکیب او تقلید کړئ.
  5. خپله دوهمه (تطبیقي) لایبر پروژه جوړه کړئ.
  6. د ډیزاین جریان وسیلې زنځیر څخه ترکیب لرې کړئ (پروژه> د پروژې تنظیمات> د ډیزاین جریان> د ترکیب فعالولو چیک بکس پاک کړئ).
  7. د ډیزاین سرچینه وارد کړئ files (د ترکیب وروسته *.vm نیټ لیست د ترکیب وسیلې څخه):
    - د ترکیب وروسته وارد کړئ *.vm نیټ لیست (File> وارد کړئ> ترکیب شوی ویریلوګ نیټ لیست (VM)).
    - د اجزاو میټاډاټا *.cfg fileد uPROM او/یا sNVM لپاره.
  8. د لیبرو SoC بلاک هر ډول برخه وارد کړئ fileد بلاک files باید په *.cxz کې وي file بڼه.
    د بلاک جوړولو په اړه د نورو معلوماتو لپاره، وګورئ د پولار فایر بلاک فلو کارونکي لارښود.
  9. د ډیزاین محدودیتونه وارد کړئ:
    - د واردولو I/O محدودیت files (د محدودیتونو مدیر > I/O ځانګړتیاوې > واردول).
    - د فرش پلان واردول *.pdc files (د محدودیتونو مدیر > د فرش پلان جوړونکی > واردول).
    - د واردولو *.sdc وخت محدودیت files (د محدودیتونو مدیر > وخت > واردول). SDC واردول file د Derive Constraint وسیلې له لارې تولید شوی.
    - د واردولو *.ndc محدودیت files (د محدودیتونو مدیر > نیټ لیست ځانګړتیاوې > واردات)، که کوم وي.
  10. خنډ file او د وسایلو ټولنه
    - د محدودیت مدیر کې، *.pdc سره وصل کړئ fileد ځای او لارې لپاره، *.sdc fileد ځای او لارې او وخت تایید لپاره، او *.ndc files د نیټ لیست تالیف کولو لپاره.
  11. د ډیزاین بشپړ تطبیق
    - ځای او لاره، د وخت او ځواک تایید، د ډیزاین پیل کولو ډاټا او یادښتونه تنظیم کول، او پروګرام کول file نسل
  12. ډیزاین تایید کړئ
    - د لیبرو SoC ډیزاین سویټ سره چمتو شوي ډیزاین وسیلو په کارولو سره په FPGA کې ډیزاین تایید کړئ او د اړتیا په صورت کې ډیبګ کړئ.

د اجزاو ترتیب (یوه پوښتنه وکړئ)

د ګمرکي جریان لومړی ګام دا دی چې خپل اجزا د لیبرو حوالې پروژې په کارولو سره تنظیم کړئ (چې په جدول 1-1 کې د لومړي لیبرو پروژه هم ویل کیږي). په راتلونکو مرحلو کې، تاسو د دې حوالې پروژې څخه معلومات کاروئ.
که تاسو مخکې لیست شوي کومې برخې کاروئ، د اوور لاندېview ستاسو په ډیزاین کې، پدې برخه کې تشریح شوي ګامونه ترسره کړئ.
که تاسو د پورته ذکر شویو برخو څخه هیڅ نه کاروئ، تاسو کولی شئ خپل RTL د لیبرو څخه بهر ولیکئ او په مستقیم ډول یې ستاسو د ترکیب او سمولیشن وسیلو ته وارد کړئ. بیا تاسو کولی شئ د ترکیب وروسته برخې ته لاړ شئ او یوازې خپل د ترکیب وروسته *.vm نیټ لیست ستاسو د وروستي لیبرو پلي کولو پروژې ته وارد کړئ (چې په جدول 1-1 کې د دوهم لیبرو پروژه هم ویل کیږي).
۲.۱ د لایبر په کارولو سره د اجزاو ترتیب (یوه پوښتنه وکړئ)
د مخکینۍ لیست څخه د کارولو وړ اجزاو غوره کولو وروسته، لاندې ګامونه ترسره کړئ:

  1. یو نوی لایبرو پروژه جوړه کړئ (اصلي ترتیب او نسل): هغه وسیله او کورنۍ غوره کړئ چې تاسو یې خپل وروستی ډیزاین په نښه کوئ.
  2. په ګمرکي جریان کې ذکر شوي یو یا ډیرو کورونو څخه کار واخلئ.
    الف. یو سمارټ ډیزاین جوړ کړئ او مطلوب کور تنظیم کړئ او په سمارټ ډیزاین برخې کې یې انسټال کړئ.
    ب. ټول پنونه لوړې کچې ته لوړ کړئ.
    ج. سمارټ ډیزاین جوړ کړئ.
    د. د سیمولیټر د غږولو لپاره د سیمولیټر وسیلې (د مخکې ترکیب یا وروسته ترکیب یا وروسته ترتیب انتخابونو څخه هر یو) دوه ځله کلیک وکړئ. تاسو کولی شئ د سیمولیټر د غږولو وروسته له هغې څخه ووځئ. دا ګام سیمولیټر تولیدوي. fileستاسو د پروژې لپاره اړین دی.

مایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - آیکون ۱ لارښوونه: تاسو که تاسو غواړئ خپل ډیزاین د لیبرو څخه بهر تقلید کړئ، نو دا ګام باید ترسره کړئ.
د نورو معلوماتو لپاره، د خپل ډیزاین تقلید وګورئ.
هـ. خپله پروژه خوندي کړئ — دا ستاسو د حوالې پروژه ده.
۲.۲ د اجزاو څرګندونه (یوه پوښتنه وکړئ)
کله چې تاسو خپل اجزا تولید کړئ، د files د هرې برخې لپاره تولید کیږي. د اجزاو منشور راپور د سیټ توضیحات ورکوي fileهغه فایلونه چې تولید شوي او په هر راتلونکي ګام کې کارول شوي (ترکیب، سمولیشن، فرم ویئر تولید، او داسې نور). دا راپور تاسو ته د ټولو تولید شویو فایلونو موقعیت درکوي fileد ګمرکي جریان سره د پرمختګ لپاره اړین دي. تاسو کولی شئ د راپورونو په ساحه کې د اجزاو مینیفیسټ ته لاسرسی ومومئ: د راپورونو ټب خلاصولو لپاره ډیزاین > راپورونه کلیک وکړئ. د راپورونو ټب کې، تاسو د manifest.txt سیټ ګورئ. files (اوورview)، د هرې برخې لپاره چې تاسو یې تولید کړی یو.
لارښوونه: تاسو باید د اجزاو مینیفیسټ لیدلو لپاره یو اجزا یا ماډل د "روټ" په توګه تنظیم کړئ. file د راپورونو په ټب کې منځپانګې.
په بدیل سره، تاسو کولی شئ انفرادي منشور راپور ته لاسرسی ومومئ fileد هر اصلي برخې لپاره یا د سمارټ ډیزاین برخې لپاره چې له / جز / کار / / / _manifest.txt یا / جز / کار / / _manifest.txt. تاسو کولی شئ مینی فیسټ ته هم لاسرسی ومومئ file د هرې برخې منځپانګې چې په لیبیرو کې د نوي اجزاو ټب څخه رامینځته شوي، چیرې چې file د پروژې لارښود ته په پام سره ځایونه ذکر شوي دي.مایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - د لایبرو راپورونو ټبد اجزاو منشور لاندې راپورونو باندې تمرکز وکړئ:

  • که تاسو په سمارټ ډیزاین کې کورونه نصب کړي وي، نو ولولئ file _منظم.txt.
  • که تاسو د کورونو لپاره اجزا جوړ کړي وي، نو ولولئ _منظم.txt.

تاسو باید د اجزاو ټول هغه راپورونه وکاروئ چې ستاسو په ډیزاین کې پلي کیږي. د مثال په توګهampکه ستاسو پروژه یو سمارټ ډیزاین ولري چې یو یا څو اصلي برخې پکې نصب شوي وي او تاسو اراده لرئ چې دا ټول په خپل وروستي ډیزاین کې وکاروئ، نو تاسو باید غوره کړئ fileستاسو د ډیزاین جریان کې د کارولو لپاره د ټولو هغو برخو د اجزاو د منشور راپورونو کې لیست شوي دي.
۲.۳ د تفسیر منشور Files(یوه پوښتنه وکړئ)
کله چې تاسو د اجزاو مانیفیسټ پرانیزئ file، تاسو لارې ګورئ چې fileستاسو په لایبر پروژه کې s او د ډیزاین جریان کې د دوی د کارولو لپاره لارښوونې. تاسو ممکن لاندې ډولونه وګورئ fileپه یوه منشور کې file:

  • د HDL سرچینه fileد ټولو ترکیب او سمولیشن وسیلو لپاره
  • محرک fileد ټولو سمیولیشن وسیلو لپاره
  • خنډ files

د پولار فایر د اصلي برخې د اجزاو منشور لاندې دی.مایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - د اجزاو منشورهر ډول file ستاسو د ډیزاین جریان کې اړین دی. لاندې برخې د ادغام تشریح کوي fileله مانیفیسټ څخه ستاسو د ډیزاین جریان ته.

د محدودیت تولید (یوه پوښتنه وکړئ)

کله چې ترتیب او تولید ترسره کوئ، ډاډ ترلاسه کړئ چې د SDC/PDC/NDC محدودیت ولیکئ/تولید کړئ fileد ډیزاین لپاره د ترکیب، ځای او لارې، او د وخت تایید وسیلو ته د لیږدولو لپاره.
د لایبررو چاپیریال څخه بهر د ډیرو محدودیتونو یوټیلټي وکاروئ ترڅو محدودیتونه رامینځته کړئ پرځای یې په لاسي ډول ولیکئ. د لایبررو چاپیریال څخه بهر د ډیرو محدودیتونو یوټیلټي کارولو لپاره، تاسو باید:

  • د عرضه کوونکي HDL، د برخې HDL، او د برخې SDC محدودیت files
  • د لوړې کچې ماډل مشخص کړئ
  • هغه ځای مشخص کړئ چیرې چې ترلاسه شوی محدودیت رامینځته شي files

د SDC برخې محدودیتونه لاندې شتون لري / جز / کار / / / د اجزاو ترتیب او تولید وروسته لارښود.
د خپل ډیزاین لپاره د محدودیتونو د رامینځته کولو په اړه د نورو جزیاتو لپاره، ضمیمه C—Derive محدودیتونه وګورئ.

ستاسو د ډیزاین ترکیب کول (یوه پوښتنه وکړئ)

د ګمرکي جریان یو له لومړنیو ځانګړتیاو څخه دا دی چې تاسو ته اجازه درکوي چې د دریمې ډلې ترکیب وکاروئ
وسیله د لیبرو څخه بهر ده. دودیز جریان د Synopsys SynplifyPro کارولو ملاتړ کوي. ستاسو د ترکیب کولو لپاره
پروژه، لاندې پروسیجر وکاروئ:

  1. په خپل ترکیبي وسیلې کې یوه نوې پروژه جوړه کړئ، چې د هغه لایبرو پروژې په څیر ورته وسیلې کورنۍ، ډای، او پیکج په نښه کړئ چې تاسو یې رامینځته کړی.
    الف. خپل RTL وارد کړئ fileلکه څنګه چې تاسو معمولا کوئ.
    ب. د ترکیب محصول د ساختماني ویریلوګ (.vm) په توګه تنظیم کړئ.
    لارښوونه: ساختماني ویریلوګ (.vm) په پولار فایر کې یوازینی ملاتړ شوی ترکیبي محصول بڼه ده.
  2. د HDL برخې واردول fileستاسو د ترکیب پروژې ته:
    الف. د هرې برخې د اعلامیې راپور لپاره: د هر یو لپاره file د HDL سرچینې لاندې fileد ټولو ترکیب او سمولیشن وسیلو لپاره، وارد کړئ file ستاسو د ترکیب پروژې ته.
  3. وارد کړئ file polarfire_syn_comps.v (که چیرې Synopsys Synplify کاروئ) له
    ستاسو د ترکیب پروژې ته د نصبولو ځای>/ډاټا/aPA5M.
  4. مخکې تولید شوی SDC وارد کړئ file د ترلاسه شوي محدودیت وسیلې له لارې (ضمیمه وګورئ)
    الف — سampد ترکیب وسیلې ته د SDC محدودیتونه). دا محدودیت file د ترکیب وسیله محدودوي ترڅو د لږې هڅې او لږ ډیزاین تکرارونو سره د وخت بندولو ترلاسه کولو لپاره.

مایکروچپ DS00004807F پولار فایر کورنۍ FPGA دودیز جریان - آیکون مهم: 

  • که تاسو پلان لرئ چې ورته *.sdc وکاروئ file د ډیزاین د تطبیق په مرحله کې د ځای او لارې محدودولو لپاره، تاسو باید دا *.sdc د ترکیب پروژې ته وارد کړئ. دا د دې لپاره دی چې ډاډ ترلاسه شي چې د ډیزاین پروسې د پلي کولو مرحلې په جریان کې د ترکیب شوي نیټ لیست او د ځای او لارې محدودیتونو کې د ډیزاین شی نوم مطابقت نلري. که تاسو دا *.sdc شامل نه کړئ file د ترکیب په مرحله کې، د ترکیب څخه رامینځته شوی نیټ لیست ممکن د ډیزاین شی نوم د مطابقت نه درلودو له امله د ځای او لارې مرحله ناکامه کړي.
    الف. د نیټ لیست ځانګړتیاوې *.ndc، که کوم وي، د ترکیب وسیلې ته وارد کړئ.
    ب. د ترکیب چلول.
  • ستاسو د ترکیب وسیلې محصول موقعیت *.vm نیټ لیست لري file د ترکیب وروسته تولید شوی. تاسو باید د ډیزاین پروسې سره دوام ورکولو لپاره نیټ لیست د لیبرو پلي کولو پروژې ته وارد کړئ.

ستاسو د ډیزاین تقلید کول (یوه پوښتنه وکړئ)

د لیبیرو څخه بهر د خپل ډیزاین تقلید کولو لپاره (یعنې، ستاسو د خپل نقلي چاپیریال او سمیلیټر کارول)، لاندې مرحلې ترسره کړئ:

  1. ډیزاین Files:
    الف. د ترکیب څخه مخکې سمولیشن:
    • خپل RTL خپل سمیولیشن پروژې ته وارد کړئ.
    • د هرې برخې د اعلامیې راپور لپاره.
    - هر یو وارد کړئ file د HDL سرچینې لاندې fileستاسو د سمولیشن پروژې کې د ټولو ترکیب او سمولیشن وسیلو لپاره.
    • دا راټول کړئ fileستاسو د سمولیټر لارښوونو سره سم.
    ب. د ترکیب وروسته سمولیشن:
    • خپل د ترکیب وروسته *.vm نیټ لیست (چې ستاسو د ډیزاین په ترکیب کې رامینځته شوی) خپل سمولیشن پروژې ته وارد کړئ او تالیف یې کړئ.
    ج. د ترتیب وروسته سمولیشن:
    • لومړی، د خپل ډیزاین پلي کول بشپړ کړئ (د خپل ډیزاین پلي کول وګورئ). ډاډ ترلاسه کړئ چې ستاسو وروستۍ لیبرو پروژه د ترتیب وروسته حالت کې ده.
    • په Generate BackAnnotated دوه ځله کلیک وکړئ Files د لیبرو ډیزاین فلو کړکۍ کې. دا دوه تولیدوي files:
    / ډیزاینر / / _ba.v/vhd / ډیزاینر /
    / _ba.sdf_د_ناروا
    • دا دواړه وارد کړئ fileستاسو د سمیولیشن وسیلې ته.
  2. محرک او ترتیب files:
    الف. د هرې برخې د اعلامیې راپور لپاره:
    • ټول کاپي کړئ fileد محرک لاندې Fileستاسو د سمولیشن پروژې د روټ ډایرکټرۍ ته د ټولو سمولیشن وسیلو برخو لپاره s.
    ب. ډاډ ترلاسه کړئ چې کوم Tcl fileپه تیرو لیستونو کې (په دوهم ګام کې. الف) لومړی د سمولیشن پیل کیدو دمخه اجرا کیږي.
    ج. UPROM.mem: که تاسو په خپل ډیزاین کې د UPROM کور د "Use content for simulation" اختیار سره کاروئ چې د یو یا ډیرو ډیټا ذخیره کولو مراجعینو لپاره فعال شوی وي چې تاسو یې سمولیټ کول غواړئ، نو تاسو باید د UPROM.mem تولیدولو لپاره د اجرا وړ pa4rtupromgen (pa4rtupromgen.exe په وینډوز کې) وکاروئ. file. pa4rtupromgen اجرا کیدونکی UPROM.cfg اخلي file د Tcl سکریپټ له لارې د معلوماتو په توګه file او UPROM.mem بهر ​​ته راوړي file د سمیولیشنونو لپاره اړین دی. دا UPROM.mem file د سمولیشن چلولو دمخه باید د سمولیشن فولډر ته کاپي شي. یو پخوانیampد pa4rtupromgen اجرا وړ کارول ښودل په لاندې مرحلو کې چمتو شوي دي. UPROM.cfg file په ډایرکټرۍ کې شتون لري / جز / کار / / په لایبر پروژه کې چې تاسو د UPROM برخې د تولید لپاره کارولې وه.
    d. snvm.mem: که تاسو په خپل ډیزاین کې د سیسټم خدماتو کور کاروئ او په کور کې د sNVM ټب د "Use content for simulation" اختیار سره تنظیم کړی وي چې د یو یا ډیرو مراجعینو لپاره فعال شوی وي چې تاسو یې سمولیټ کول غواړئ، نو snvm.mem file په اتوماتيک ډول تولید کیږي ترڅو
    لارښود / جز / کار / / په لایبر پروژه کې چې تاسو د سیسټم خدماتو برخې تولیدولو لپاره کارولې وه. دا snvm.mem file د سمیولیشن چلولو دمخه باید د سمیولیشن فولډر ته کاپي شي.
  3. د کاري فولډر لاندې یو کاري فولډر او د سمولیشن په نوم یو فرعي فولډر جوړ کړئ.
    د pa4rtupromgen اجرایوي وړ په کاري فولډر کې د سمولیشن فرعي فولډر شتون تمه کوي او *.tcl سکریپټ د سمولیشن فرعي فولډر کې ځای په ځای شوی.
  4. د UPROM.cfg کاپي کړئ file د لومړي لایبر پروژې څخه چې د اجزاو تولید لپاره رامینځته شوی په کاري فولډر کې.
  5. لاندې کمانډونه په *.tcl سکریپټ کې پیسټ کړئ او په دریم ګام کې جوړ شوي سمیولیشن فولډر کې یې ځای په ځای کړئ.
    Sampد پولار فایر او پولار فایر سوک کورنۍ وسیلو لپاره le *.tcl د URPOM.mem تولید لپاره file
    د UPROM.cfg څخه
    سیټ_ډیوائس - فام - مړ شه -پي کی جي
    د_ان پټ_cfg - لاره تنظیم کړئ
    سیټ_سیم_میم - لارهFile/UPROM.mem>
    gen_sim -use_init غلط
    د ډای او پیکج لپاره د کارولو لپاره د مناسب داخلي نوم لپاره، *.prjx وګورئ file د لومړي لایبرو پروژې (د اجزاو تولید لپاره کارول کیږي).
    د use_init دلیل باید غلط ته تنظیم شي.
    د محصول لاره مشخص کولو لپاره د set_sim_mem قوماندې څخه کار واخلئ. file UPROM.mem دا دی
    د سکرېپټ د اجرا کولو پر مهال رامنځته شوی file د pa4rtupromgen اجرایوي سره.
  6. په کمانډ پرامپټ یا سایګوین ټرمینل کې، په دریم ګام کې رامینځته شوي کاري لارښود ته لاړ شئ.
    د pa4rtupromgen کمانډ د–script اختیار سره اجرا کړئ او په تیرو مرحلو کې رامینځته شوی *.tcl سکریپټ ورته ورکړئ.
    د وینډوز لپاره
    /designer/bin/pa4rtupromgen.exe \
    – سکرېپټ./سیمولیشن/ .tcl
    د لینکس لپاره:
    /بن/pa4rtupromgen
    – سکرېپټ./سیمولیشن/ .tcl
  7. د pa4rtupromgen اجرایوي وړ بریالي اجرا کولو وروسته، وګورئ چې UPROM.mem file په هغه ځای کې چې د *.tcl سکریپټ په set_sim_mem کمانډ کې مشخص شوی، تولید کیږي.
  8. د sNVM د تقلید لپاره، snvm.mem کاپي کړئ file ستاسو د لومړۍ لایبیرو پروژې (د اجزاو تنظیم کولو لپاره کارول کیږي) څخه ستاسو د سمولیشن پروژې د لوړې کچې سمولیشن فولډر ته د سمولیشن چلولو لپاره (د لایبیرو SoC څخه بهر). د UPROM مینځپانګې سمولیشن کولو لپاره، تولید شوی UPROM.mem کاپي کړئ. file د خپل سمیولیشن پروژې د لوړې کچې سمیولیشن فولډر ته د سمیولیشن چلولو لپاره (د لیبرو SoC څخه بهر).

مایکروچپ DS00004807F پولار فایر کورنۍ FPGA دودیز جریان - آیکون مهم: ته د SoC اجزاو فعالیت سمولیټ کړئ، مخکې له مخکې ترتیب شوي پولار فایر سمولیشن کتابتونونه ډاونلوډ کړئ او خپل سمولیشن چاپیریال ته یې وارد کړئ لکه څنګه چې دلته تشریح شوي. د نورو جزیاتو لپاره، ضمیمه B وګورئ — د سمولیشن چاپیریال ته د سمولیشن کتابتونونو واردول.

ستاسو د ډیزاین پلي کول (یوه پوښتنه وکړئ)

ستاسو په چاپیریال کې د ترکیب او وروسته ترکیب سمولیشن بشپړولو وروسته، تاسو باید بیا لیبرو وکاروئ ترڅو خپل ډیزاین په فزیکي توګه پلي کړئ، د وخت چلولو او بریښنا تحلیل وکړئ، او خپل پروګرام جوړ کړئ. file.

  1. د ډیزاین د فزیکي پلي کولو او ترتیب لپاره یو نوی لیبرو پروژه جوړه کړئ. ډاډ ترلاسه کړئ چې ورته وسیله په نښه کړئ لکه څنګه چې د اجزاو ترتیب کې تاسو د حوالې پروژې کې رامینځته کړې.
  2. د پروژې له جوړولو وروسته، د ډیزاین فلو کړکۍ کې د وسیلې زنځیر څخه ترکیب لرې کړئ (پروژه> د پروژې ترتیبات> د ډیزاین فلو> د فعال ترکیب غیر چیک کړئ).
  3.  خپل د ترکیب وروسته *.vm وارد کړئ file په دې پروژه کې، (File > واردول > ترکیب شوی ویریلوګ نیټ لیست (VM)).
    مایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - آیکون ۱ لارښوونه: سپارښتنه کیږي چې تاسو دې ته یو لینک جوړ کړئ file، نو که تاسو خپل ډیزاین بیا ترکیب کړئ، لیبرو تل د ترکیب وروسته وروستي نیټ لیست کاروي.
    الف. د ډیزاین د مراتبو په کړکۍ کې، د روټ ماډل نوم یاد کړئ.مایکروچپ DS00004807F پولار فایر کورنۍ FPGA ګمرکي جریان - د ډیزاین درجه بندي
  4. محدودیتونه په لیبرو پروژه کې وارد کړئ. د محدودیت مدیر څخه کار واخلئ ترڅو *.pdc/*.sdc/*.ndc محدودیتونه وارد کړئ.
    الف. د واردولو I/O *.pdc محدودیت files (د محدودیتونو مدیر > I/O ځانګړتیاوې > واردول).
    ب. د واردولو فرش پلان کول *.pdc محدودیت files (د محدودیتونو مدیر > د فرش پلان جوړونکی > واردول).
    ج. د واردولو *.sdc وخت محدودیت files (د محدودیتونو مدیر > وخت > واردات). که ستاسو ډیزاین په اوور کې لیست شوي کوم کورونه ولريview، د SDC واردولو ډاډ ترلاسه کړئ file د ترلاسه کولو محدودیت وسیلې له لارې رامینځته شوی.
    د. واردولو *.ndc محدودیت files (د محدودیتونو مدیر > د نیټ لیست ځانګړتیاوې > واردول).
  5. د ملګرو محدودیتونه Fileد وسایلو ډیزاین کولو لپاره.
    الف. د محدودیت مدیر پرانیزئ (محدودیتونه اداره کړئ > د محدودیتونو مدیریت خلاص کړئ) View).
    د محدودیت تر څنګ د ځای او لارې او وخت تایید چیک بکس وګورئ. file محدودیت رامینځته کول file او د وسیلو اړیکه. *.pdc محدودیت د ځای او لارې سره او *.sdc د ځای او لارې او د وخت تایید دواړو سره وصل کړئ. *.ndc سره وصل کړئ file د نیټ لیست راټولولو لپاره.
    مایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - آیکون ۱ لارښوونه: که د دې *.sdc محدودیت سره ځای او لاره ناکامه کیږي file، بیا دا ورته *.sdc وارد کړئ file د ترکیب او بیا چلولو ترکیب لپاره.
  6. د ترتیب مرحله بشپړولو لپاره په Compile Netlist او بیا Place and Route کلیک وکړئ.
  7. د ډیزاین د پیل کولو ډیټا او یادونو ترتیبولو وسیله تاسو ته اجازه درکوي چې د ډیزاین بلاکونه پیل کړئ، لکه LSRAM، µSRAM، XCVR (ټرانسسیورونه)، او PCIe د غیر متزلزل µPROM، sNVM، یا بهرني SPI فلش ذخیره کولو حافظې کې زیرمه شوي ډیټا په کارولو سره. دا وسیله د ډیزاین د پیل کولو ترتیب مشخصاتو، د پیل کولو مراجعینو مشخصاتو، د کارونکي ډیټا مراجعینو مشخصاتو تعریف کولو لپاره لاندې ټبونه لري.
    - د ډیزاین پیل کولو ټب
    - µPROM ټب
    - د sNVM ټب
    - د SPI فلش ټب
    - د ټوکر RAMs ټب
    د ډیزاین د پیل کولو معلوماتو او یادونو تنظیم کولو لپاره په وسیله کې ټبونه وکاروئ.مایکروچپ DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان - معلومات او یادښتونهد ترتیب بشپړولو وروسته، د پیل کولو معلوماتو پروګرام کولو لپاره لاندې مرحلې ترسره کړئ:
    • د ابتدايي مراجعینو تولیدول
    • د بټ سټریم تولید یا صادرول
    • وسیله پروګرام کړئ
    د دې وسیلې د کارولو په اړه د تفصيلي معلوماتو لپاره، د لیبرو SoC ډیزاین فلو کارونکي لارښود وګورئ. د Tcl کمانډونو په اړه د نورو معلوماتو لپاره چې په وسیله کې د مختلفو ټبونو تنظیم کولو او د حافظې ترتیب مشخص کولو لپاره کارول کیږي. files (*.cfg)، وګورئ د Tcl قوماندې د حوالې لارښود.
  8. پروګرام جوړ کړئ File له دې پروژې څخه او د خپل FPGA پروګرام کولو لپاره یې وکاروئ.

ضمیمه الف—صampد SDC محدودیتونه (یوه پوښتنه وکړئ

لایبرو SoC د ځینو IP کورونو لپاره د SDC وخت محدودیتونه رامینځته کوي، لکه CCC، OSC، ټرانسسیور او داسې نور. د ډیزاین وسیلو ته د SDC محدودیتونو لیږدول د لږې هڅې او لږ ډیزاین تکرار سره د وخت بندیدو سره د مخ کیدو چانس زیاتوي. د لوړې کچې مثال څخه بشپړ درجه بندي لاره د ټولو ډیزاین شیانو لپاره ورکول کیږي چې په محدودیتونو کې حواله شوي.
۷.۱ د SDC د وخت محدودیتونه (یوه پوښتنه وکړئ)
د لیبرو IP اصلي حوالې پروژې کې، دا د لوړې کچې SDC محدودیت file د محدودیت مدیر څخه شتون لري (ډیزاین فلو > خلاص مدیریت محدودیت View > وخت > د محدودیتونو ترلاسه کول).
مایکروچپ DS00004807F پولار فایر کورنۍ FPGA دودیز جریان - آیکون مهم: وګورئ دا file که ستاسو ډیزاین CCC، OSC، ټرانسسیور، او نور اجزا ولري، د SDC محدودیتونه تنظیم کړئ. که اړتیا وي، د بشپړ درجه بندۍ لاره تعدیل کړئ، ترڅو ستاسو د ډیزاین درجه بندۍ سره سمون ولري یا د Derive_Constraints افادیت او په ضمیمه C کې ګامونه وکاروئ — د برخې په کچه SDC کې Derive محدودیتونه file.
خوندي کړئ file په بل نوم او SDC وارد کړئ file د ترکیب وسیلې، د ځای او لارې وسیلې، او د وخت تاییداتو ته، لکه د SDC نورو محدودیتونو په څیر files.
۷.۱.۱ ترلاسه شوی SDC File (یوه پوښتنه وکړئ)
# دا file د لاندې SDC سرچینې پر بنسټ تولید شوی و files:
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
د CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc په اړه
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
د لیږد_PLL/ټرانسمیټ_PLL_0/ټرانسمیټ_PLL_ټرانسمیټ_PLL_0_PF_TX_PLL.sdc
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
د DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
د FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
د ICICLE_MSS/ICICLE_MSS.sdc
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /ډرایو/یخچال_کیټ_ریف_ډیز/یخچال-کیټ-حواله-ډیزاین-ماسټر/MPFS_یخچال/برخه/کار/
د PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** پدې کې کوم بدلونونه file که چیرې ترلاسه شوي محدودیتونه بیا چلول شي نو له لاسه به ورکړي. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} - موده 6.25
[ پنونه ترلاسه کړئ { ساعتونه او بیا تنظیم کړئ_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] ساعت جوړ کړئ - نوم {REF_CLK_PAD_P} - موده 10 [ پورټونه ترلاسه کړئ {REF_CLK_PAD_P } ] ساعت جوړ کړئ - نوم {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - اتمه دوره
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] جوړ شوی_کلاک - نوم { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} - د 25 لخوا ضرب کول - د 32 لخوا تقسیم کول - سرچینه
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] - مرحله 0
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] جوړ شوی_کلاک - نوم { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} - د 25 لخوا ضرب کول - د 32 لخوا تقسیم کول - سرچینه
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] - مرحله 0
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] جوړ شوی_کلاک - نوم { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} - د 25 لخوا ضرب کول - د 32 لخوا تقسیم کول - سرچینه
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] - مرحله 0
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] جوړ شوی_کلاک - نوم { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} - د 25 لخوا ضرب کول - د 64 لخوا تقسیم کول - سرچینه
[ پنونه ترلاسه کړئ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] - مرحله 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] جوړ شوی_کلاک - نوم { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} - د 2 لخوا ویشل - سرچینه
[ ترلاسه_پېنونه { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ ترلاسه_پېنونه { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] د غلطې لارې تنظیم کول - له لارې [ د جالونو ترلاسه کول { DMA_INITIATOR_inst_0/ARESETN* } ] د غلطې لارې تنظیم کول - له [ د حجرو ترلاسه کول { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] - [ حجرې ترلاسه کړئ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] د غلط_پای_سیټ_کړئ - له [ حجرو ترلاسه کړئ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] - [حجرې ترلاسه کړئ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] د غلطې لارې تنظیم کول - له لارې [ د جالونو ترلاسه کول { FIC0_INITIATOR_inst_0/ARESETN* } ] د غلطې لارې تنظیم کول - تر [ د پنونو ترلاسه کول { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] د غلطې لارې تنظیم کول - له لارې [ د پنونو ترلاسه کول { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] د غلطې لارې تنظیم کول - له لارې [ د جالونو ترلاسه کول { PCIE_INITIATOR_inst_0/ARESETN* } ] ضمیمه ب—د سمولیشن چاپیریال ته د سمولیشن کتابتونونو واردول (یوه پوښتنه وکړئ)
د لیبرو SoC سره د RTL سمولیشن لپاره ډیفالټ سمولیټر ModelSim ME Pro دی.
د ډیفالټ سیمولیټر لپاره مخکې له مخکې تالیف شوي کتابتونونه د لیبرو نصبولو سره په ډایرکټرۍ کې شتون لري. /Designer/lib/modelsimpro/precompiled/vlog for® ملاتړ شوي کورنۍ. Libero SoC د ماډلسیم، کویسټاسم، VCS، ایکسیلیم نورو دریمې ډلې سیمولیټر نسخو ملاتړ هم کوي.
، فعال HDL، او ریویرا پرو. له مخکې تالیف شوي کتابتونونه له Libero SoC v12.0 او وروسته د سمیلیټر او د هغې نسخې پر بنسټ.
د لایبرو چاپیریال ته ورته، run.do file باید د لیبرو څخه بهر د سمیولیشن چلولو لپاره رامینځته شي.
یو ساده run.do جوړ کړئ file چې د تالیف پایلو، د کتابتون نقشه کولو، تالیف کولو، او سمولیشن لپاره د کتابتون جوړولو امرونه لري. د بنسټیز run.do جوړولو لپاره ګامونه تعقیب کړئ file.

  1. د vlib کمانډ vlib presynth په کارولو سره د تالیف پایلې ذخیره کولو لپاره منطقي کتابتون جوړ کړئ.
  2. د vmap کمانډ په کارولو سره د منطقي کتابتون نوم د مخکې تالیف شوي کتابتون لارښود ته نقشه کړئ vmap .
  3. سرچینه تالیف کړئ files—د ډیزاین د تالیف لپاره د ژبې ځانګړي تالیف کونکي امرونه وکاروئ fileد کاري لارښود ته.
    - د .v/.sv لپاره ویلاګ
    - د .vhd لپاره vcom
  4. د vsim کمانډ په کارولو سره د سمولیشن لپاره ډیزاین د هر لوړ پوړي ماډل نوم په ګوته کولو سره پورته کړئ.
  5. د رن کمانډ په کارولو سره ډیزاین سمول کړئ.
    د ډیزاین له بارولو وروسته، د سمولیشن وخت صفر ته ټاکل شوی، او تاسو کولی شئ د سمولیشن پیل کولو لپاره د رن کمانډ دننه کړئ.
    د سیمولیټر ټرانسکرپټ کړکۍ کې، run.do اجرا کړئ file لکه څنګه چې چلول. سمولیشن چلول. Sample run.do file په لاندې ډول.

په خاموشۍ سره ACTELLIBNAME PolarFire تنظیم کړئ په خاموشۍ سره PROJECT_DIR "W:/Test/basic_test" تنظیم کړئ که چیرې
{[file موجود دی presynth/_info]} { echo “معلومات: د نقلیه کتابتون presynth شتون لري” } بل
{ file ړنګول -فورس پریسینت vlib پریسینت } وی میپ پریسینت پریسینت وی میپ پولار فایر
"ایکس:/لیبرو/ډیزاینر/لیب/موډلسیمپرو/پری کمپائل شوی/ویلوګ/پولار فایر" ویلوګ -ایس وی -ورک پریسینت
"${PROJECT_DIR}/hdl/top.v" ویلاګ "+incdir+${PROJECT_DIR}/stimulus" -sv - د کار پریسینټ "$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb څپې اضافه کړئ /tb/*
د 1000ns log /tb/* وتلو چلول

ضمیمه ج — د ترلاسه کولو محدودیتونه (یوه پوښتنه وکړئ)

دا ضمیمه د Derive Constraints Tcl قوماندې تشریح کوي.
۹.۱ د محدودیتونو ترلاسه کول د Tcl امرونه (یوه پوښتنه وکړئ)
د derive_constraints یوټیلټي تاسو سره مرسته کوي چې د RTL یا د Libero SoC ډیزاین چاپیریال څخه بهر د ترتیب کونکي څخه محدودیتونه ترلاسه کړئ. د خپل ډیزاین لپاره محدودیتونه رامینځته کولو لپاره، تاسو د کارونکي HDL، اجزا HDL، او اجزا محدودیتونو ته اړتیا لرئ. fileد SDC برخې محدودیتونه fileد لاندې شتون لري / جز / کار / / / د اجزاو ترتیب او تولید وروسته لارښود.
د هرې برخې محدودیت file د set_component tcl قومانده (د برخې نوم مشخص کوي) او د ترتیب وروسته رامینځته شوي محدودیتونو لیست څخه جوړ دی. محدودیتونه د ترتیب پراساس رامینځته شوي او د هرې برخې لپاره ځانګړي دي.
Exampد برخې محدودیت File د PF_CCC کور لپاره
دلته یو پخوانی دیampد اجزاو محدودیت file د PF_CCC کور لپاره:
د برخې_سیټ PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# مایکروچپ کارپوریشن
# نیټه: ۲۰۲۱-اکتوبر-۲۶ ۰۴:۳۶:۰۰
# د PLL #0 لپاره اساس ساعت
ساعت جوړ کړئ - دوره ۱۰ [ پنونه ترلاسه کړئ { pll_inst_10/REF_CLK_0 } ] ساعت جوړ کړئ - تقسیم کړئ_ د ۱ لخوا - سرچینه [ پنونه ترلاسه کړئ { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] دلته، create_clock او create_generated_clock په ترتیب سره د حوالې او محصول ساعت محدودیتونه دي، کوم چې د ترتیب پراساس رامینځته شوي.
۹.۱.۱ د derive_constraints یوټیلټي سره کار کول (یوه پوښتنه وکړئ)
محدودیتونه د ډیزاین له لارې تیریږي او د مخکې چمتو شوي برخې SDC پراساس د هرې برخې لپاره نوي محدودیتونه ځانګړي کوي. files. د CCC حوالې ساعتونو لپاره، دا د ډیزاین له لارې بیرته خپریږي ترڅو د حوالې ساعت سرچینه ومومي. که سرچینه I/O وي، د حوالې ساعت محدودیت به په I/O کې تنظیم شي. که دا د CCC محصول یا بل ساعت سرچینه وي (د مثال په توګهample، ټرانسسیور، اوسیلیټر)، دا د بلې برخې ساعت کاروي او که وقفې سره سمون ونلري نو خبرداری راپور ورکوي. د مشتق محدودیتونه به د ځینو میکرو لپاره هم محدودیتونه ځانګړي کړي لکه آن-چپ اوسیلیټرونه که تاسو یې په خپل RTL کې لرئ.
د derive_constraints افادیت اجرا کولو لپاره، تاسو باید یو .tcl ورکړئ file د قوماندې کرښې دلیل د لاندې معلوماتو سره په ټاکل شوي ترتیب کې.

  1. د set_device برخې کې د معلوماتو په کارولو سره د وسیلې معلومات مشخص کړئ.
  2. د RTL لپاره لاره مشخص کړئ fileد read_verilog یا read_vhdl برخې کې د معلوماتو په کارولو سره.
  3. د set_top_level برخې کې د معلوماتو په کارولو سره د لوړې کچې ماډل تنظیم کړئ.
  4. د SDC برخې ته لاره مشخص کړئ fileد read_sdc یا read_ndc برخې کې د معلوماتو په کارولو سره.
  5. اجرا کول fileد derive_constraints برخې کې د معلوماتو په کارولو سره.
  6.  د SDC څخه ترلاسه شوي محدودیتونو ته لاره مشخص کړئ file د write_sdc یا write_pdc یا write_ndc برخې کې د معلوماتو په کارولو سره.

Example 9-2. د derive.tcl اجرا او محتوا File
لاندې یو پخوانی دیampد derive_constraints افادیت اجرا کولو لپاره د کمانډ لاین دلیل.
$ /bin{64}/derive_constraints derive.tcl
د derive.tcl محتويات file:
# د وسیلې معلومات
set_device - کورنۍ PolarFire - die MPF100T - سرعت -1
# آر ټي ایل files
د لوستلو_ورلوګ - حالت سیسټم_ورلوګ پروژه/برخه/کار/txpll0/
د txpll0_txpll0_0_PF_TX_PLL.v په اړه
د لوستلو_ورلوګ - حالت سیسټم_ورلوګ {پروژه/برخه/کار/txpll0/txpll0.v}
د لوستلو_ورلوګ - حالت سیسټم_ورلوګ {پروژه/برخه/کار/xcvr0/I_XCVR/
د xcvr0_I_XCVR_PF_XCVR.v}
د لوستلو_ورلوګ - حالت سیسټم_ورلوګ {پروژه/برخه/کار/xcvr0/xcvr0.v}
د vhdl_mode_read_2008 {پروژه/hdl/xcvr1.vhd}
#جزوي SDC files
د سیټ_پورته_سطح {xcvr1}
read_sdc - جز {پروژه/برخه/کار/txpll0/txpll0_0/
د txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc - جز {پروژه/برخه/کار/xcvr0/I_XCVR/
د xcvr0_I_XCVR_PF_XCVR.sdc}
#د derive_constraint قومانده وکاروئ
د_محدودیتونو_ترلاسه کول
#SDC/PDC/NDC پایله files
د ایس ډي سي لیکل {پروژه/محدودیت/xcvr1_derived_constraints.sdc}
د pdc_لیکل {پروژه/محدودیت/fp/xcvr1_derived_constraints.pdc}
۹.۱.۲ سیټ_وسیله (یوه پوښتنه وکړئ)
تفصیل
د کورنۍ نوم، د مرګ نوم، او د سرعت درجه مشخص کړئ.
سیټ_ډیوائس - کورنۍ - مړ شه - سرعت
دلیلونه

پیرامیټر ډول تفصیل
- کورنۍ تار د کورنۍ نوم مشخص کړئ. ممکنه ارزښتونه PolarFire®، PolarFire SoC دي.
- مړ شه تار د مرۍ نوم مشخص کړئ.
- سرعت تار د وسیلې د سرعت درجه مشخص کړئ. ممکنه ارزښتونه STD یا -1 دي.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 اړین پیرامیټر — ډای ورک دی د مړینې اختیار لازمي دی او باید مشخص شي.
ERR0005 نامعلومه ورځ 'MPF30' د -die انتخاب ارزښت سم نه دی. د انتخاب په توضیحاتو کې د ارزښتونو ممکنه لیست وګورئ.
ERR0023 پیرامیټر—ډای ارزښت نلري د ډای اختیار پرته له ارزښت څخه مشخص شوی دی.
ERR0023 اړین پیرامیټر — کورنۍ ورکه ده د کورنۍ انتخاب لازمي دی او باید مشخص شي.
ERR0004 نامعلومه کورنۍ 'پولار فایر®' د کورنۍ انتخاب سم نه دی. د انتخاب په توضیحاتو کې د ارزښتونو ممکنه لیست وګورئ.
………… دوام لري
د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 پیرامیټر — کورنۍ ارزښت نلري د کورنۍ انتخاب پرته له ارزښت څخه مشخص شوی دی.
ERR0023 اړین پیرامیټر — سرعت ورک دی د سرعت انتخاب لازمي دی او باید مشخص شي.
ERR0007 نامعلوم سرعت ' ' د سرعت انتخاب سم نه دی. د انتخاب په توضیحاتو کې د ارزښتونو ممکنه لیست وګورئ.
ERR0023 پیرامیټر—سرعت ارزښت نلري د سرعت انتخاب پرته له ارزښت څخه مشخص شوی دی.

Example
سیټ_ډیوائس - کورنۍ {پولار فائر} - مړ {MPF300T_ES} - سرعت -1
set_device - کورنۍ سمارټ فیوژن 2 - ډای M2S090T - سرعت -1
۹.۱.۳ ریډ_ویریلوګ (یوه پوښتنه وکړئ)
تفصیل
یو ویریلاګ ولولئ file د Verific په کارولو سره.
لوستل_ورلوګ [-لیب ] [-موډ ]fileنوم>
دلیلونه

پیرامیټر ډول تفصیل
-لیب تار هغه کتابتون مشخص کړئ چې هغه ماډلونه لري چې کتابتون ته به اضافه شي.
- حالت تار د ویریلوګ معیار مشخص کړئ. ممکنه ارزښتونه verilog_95، verilog_2k، system_verilog_2005، system_verilog_2009، system_verilog، verilog_ams، verilog_psl، system_verilog_mfcu دي. ارزښتونه د تورو بې حسه دي. ډیفالټ verilog_2k دی.
fileنوم تار ویریلوګ file نوم
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 پیرامیټر—lib ارزښت نلري د lib اختیار پرته له ارزښت څخه مشخص شوی دی.
ERR0023 پیرامیټر—موډ ارزښت نلري د حالت اختیار پرته له ارزښت څخه مشخص شوی دی.
ERR0015 نامعلوم حالت ' ' ټاکل شوی ویریلوګ حالت نامعلوم دی. د ممکنه ویریلوګ حالت لیست وګورئ په—موډ کې د اختیار توضیحات.
ERR0023 اړین پیرامیټر file نوم ورک دی ویریلاګ نشته file لاره ورکول کیږي.
ERR0016 د ویریفیک د تجزیه کونکي له امله ناکام شو په ویریلاګ کې د نحوي تېروتنه file. د ویریفیک پارسر د غلطۍ پیغام پورته کنسول کې لیدل کیدی شي.
ERR0012 set_device نه بلل کیږي د وسیلې معلومات مشخص شوي ندي. د وسیلې تشریح کولو لپاره د set_device قوماندې وکاروئ.

Example
د لوستلو_ورلوګ - حالت سیسټم_ورلوګ {برخه/کار/پورته/پورته.v}
د لوستلو_ورلوګ - حالت سیسټم_ورلوګ_mfcu ډیزاین.v
۹.۱.۴ لوستل_وی ایچ ډي ایل (یوه پوښتنه وکړئ)
تفصیل
VHDL اضافه کړئ file د VHDL لیست کې files.
read_vhdl [-lib ] [-موډ ]fileنوم>
دلیلونه

پیرامیټر ډول تفصیل
-لیب هغه کتابتون مشخص کړئ چې پکې باید مینځپانګه اضافه شي.
- حالت د VHDL معیار مشخص کوي. ډیفالټ VHDL_93 دی. ممکنه ارزښتونه vhdl_93، vhdl_87، vhdl_2k، vhdl_2008، vhdl_psl دي. ارزښتونه د تورو بې حسه دي.
fileنوم VHDL file نوم
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 پیرامیټر—lib ارزښت نلري د lib اختیار پرته له ارزښت څخه مشخص شوی دی.
ERR0023 پیرامیټر—موډ ارزښت نلري د حالت اختیار پرته له ارزښت څخه مشخص شوی دی.
ERR0018 نامعلوم حالت ' ' ټاکل شوی VHDL حالت نامعلوم دی. د ممکنه VHDL حالت دننه—حالت اختیار توضیحاتو لیست وګورئ.
ERR0023 اړین پیرامیټر file نوم ورک دی VHDL نشته file لاره ورکول کیږي.
ERR0019 د invalid_path.v ثبتولو توان نلري file ټاکل شوی VHDL file شتون نلري یا د لوستلو اجازه نلري.
ERR0012 set_device نه بلل کیږي د وسیلې معلومات مشخص شوي ندي. د وسیلې تشریح کولو لپاره د set_device قوماندې وکاروئ.

Example
د vhdl_mode_read_2008 osc2dfn.vhd
لوستل_vhdl {hdl/top.vhd}
۹.۱.۵ د سیټ_پورته_لیول (یوه پوښتنه وکړئ)
تفصیل
په RTL کې د لوړې کچې ماډل نوم مشخص کړئ.
د_سیټ_پورته_کچه [-لیب ]
دلیلونه

پیرامیټر ډول تفصیل
-لیب تار هغه کتابتون چې د لوړې کچې ماډل یا وجود لټون کوي ​​(اختیاري).
نوم تار د لوړې کچې ماډل یا ادارې نوم.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 اړین پیرامیټر لوړ سطح ورک دی د لوړې کچې انتخاب لازمي دی او باید مشخص شي.
ERR0023 پیرامیټر—lib ارزښت نلري د lib اختیار پرته له ارزښتونو مشخص شوی دی.
ERR0014 د لوړې کچې موندلو توان نلري په کتابتون کې ټاکل شوی لوړ پوړی ماډل په ورکړل شوي کتابتون کې نه دی تعریف شوی. د دې تېروتنې د حل لپاره، د پورته ماډل یا کتابتون نوم باید سم شي.
ERR0017 تفصیل ناکام شو د RTL د پراختیا په پروسه کې تېروتنه. د تېروتنې پیغام د کنسول څخه لیدل کیدی شي.

Example
د سیټ_ټاپ_لیول {پورته}
د set_top_level -lib hdl پورته
۹.۱.۶ read_sdc (پوښتنه وکړئ)
تفصیل
SDC ولولئ file د برخې ډیټابیس ته.
read_sdc - جزfileنوم>
دلیلونه

پیرامیټر ډول تفصیل
- جز دا د read_sdc قوماندې لپاره یو لازمي بیرغ دی کله چې موږ محدودیتونه ترلاسه کوو.
fileنوم تار SDC ته لاره file.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 اړین پیرامیټر file نوم ورک دی. لازمي انتخاب file نوم نه دی مشخص شوی.
ERR0000 SDC file <file_path> د لوستلو وړ نه دی. ټاکل شوی SDC file د لوستلو اجازه نلري.
ERR0001 د پرانیستلو توان نلريfile_ لاره> file. د SDC file شتون نلري. لاره باید سمه شي.
ERR0008 د set_component کمانډ ورک دیfile_ لاره> file د SDC مشخص شوی جز file جز نه مشخص کوي.
د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0009 <List of errors from sdc file> د SDC file د sdc ناسم حکمونه لري. د مثال په توګهampلي

کله چې په set_multicycle_path محدودیت کې تېروتنه وي: د read_sdc قوماندې اجرا کولو پرمهال تېروتنه: پهfile_ لاره> file: په set_multicycle_path قوماندې کې تېروتنه: نامعلوم پیرامیټر [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
۹.۱.۷ read_ndc (پوښتنه وکړئ)
تفصیل
د NDC ولولئ file د برخې ډیټابیس ته.
read_ndc - جزfileنوم>
دلیلونه

پیرامیټر ډول تفصیل
- جز دا د read_ndc قوماندې لپاره یو لازمي بیرغ دی کله چې موږ محدودیتونه ترلاسه کوو.
fileنوم تار د ملي شورا ته لاره file.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0001 د پرانیستلو توان نلريfile_ لاره> file د ملي پرمختیایي شورا (NDC) file شتون نلري. لاره باید سمه شي.
ERR0023 اړین پیرامیټر—AtclParamO_ ورک دی. لازمي انتخاب fileنوم نه دی مشخص شوی.
ERR0023 اړین پیرامیټر — جز ورک دی. د برخې انتخاب لازمي دی او باید مشخص شي.
ERR0000 NDC file 'file'_path>' د لوستلو وړ نه دی. ټاکل شوی NDC file د لوستلو اجازه نلري.

Example
د لوستلو_این ډي سي - جز {برخه/کار/ccc1/ccc1_0/ccc_comp.ndc}
۹.۱.۸ derive_constraints (پوښتنه وکړئ)
تفصیل
د SDC جز انسټنټیټ کړئ fileد ډیزاین کچې ډیټابیس ته.
د_محدودیتونو_ترلاسه کول
دلیلونه

د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0013 لوړه کچه نه ده تعریف شوې دا پدې مانا ده چې د لوړې کچې ماډل یا وجود مشخص شوی نه دی. د دې زنګ د حل کولو لپاره،
د derive_constraints قوماندې څخه مخکې د set_top_level قومانده.

Example
د_محدودیتونو_ترلاسه کول
۹.۱.۹ write_sdc (پوښتنه وکړئ)
تفصیل
یو محدودیت لیکي file په SDC بڼه کې.
د ایس ډي سي لیکلfileنوم>
دلیلونه

پیرامیټر ډول تفصیل
<fileنوم> تار SDC ته لاره file به تولید شي. دا یو لازمي انتخاب دی. که چیرې file شتون لري، دا به بیا ولیکل شي.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0003 د پرانیستلو توان نلريfile لاره> file. File لاره سمه نه ده. وګورئ چې ایا اصلي لارښودونه شتون لري.
ERR0002 SDC file 'file path>' د لیکلو وړ نه دی. ټاکل شوی SDC file د لیکلو اجازه نلري.
ERR0023 اړین پیرامیټر file نوم ورک دی. د SDC file لاره یو لازمي انتخاب دی او باید مشخص شي.

Example
د ایس ډي سي لیکل "ترلاسه شوی. ایس ډي سي"
۹.۱.۱۰ write_pdc (پوښتنه وکړئ)
تفصیل
فزیکي محدودیتونه لیکي (یوازې محدودیتونه ترلاسه کوي).
د پی ډي سي لیکلfileنوم>
دلیلونه

پیرامیټر ډول تفصیل
<fileنوم> تار د PDC لاره file به تولید شي. دا یو لازمي انتخاب دی. که چیرې file که لاره شتون ولري، نو دا به له سره ولیکل شي.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغامونه تفصیل
ERR0003 د پرانیستلو توان نلريfile لاره> file د file لاره سمه نه ده. وګورئ چې ایا اصلي لارښودونه شتون لري.
ERR0002 PDC file 'file path>' د لیکلو وړ نه دی. ټاکل شوی PDC file د لیکلو اجازه نلري.
ERR0023 اړین پیرامیټر file نوم ورک دی د پي ډي سي file لاره یو لازمي انتخاب دی او باید مشخص شي.

Example
د pdc_write "ترلاسه شوی.pdc"
۹.۱.۱۱ write_ndc (پوښتنه وکړئ)
تفصیل
د NDC محدودیتونه په الف کې لیکي file.
د لیکلو_این ډي سيfileنوم>
دلیلونه

پیرامیټر ډول تفصیل
fileنوم تار د ملي شورا ته لاره file به تولید شي. دا یو لازمي انتخاب دی. که چیرې file شتون لري، دا به بیا ولیکل شي.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغامونه تفصیل
ERR0003 د پرانیستلو توان نلريfile_ لاره> file. File لاره سمه نه ده. اصلي لارښودونه شتون نلري.
ERR0002 NDC file 'file'_path>' د لیکلو وړ نه دی. ټاکل شوی NDC file د لیکلو اجازه نلري.
ERR0023 اړین پیرامیټر _AtclParamO_ ورک دی. د ملي پرمختیایي شورا (NDC) file لاره یو لازمي انتخاب دی او باید مشخص شي.

Example
"تر لاسه شوی.ndc" ولیکئ
۹.۱.۱۲ add_include_path (پوښتنه وکړئ)
تفصیل
د لټون لپاره لاره مشخص کوي چې پکې شامل دي fileد RTL لوستلو پر مهال files.
شامل_کړئ_لاره
دلیلونه

پیرامیټر ډول تفصیل
لارښود تار د لټون لپاره لاره مشخص کوي چې پکې شامل دي fileد RTL لوستلو پر مهال files. دا انتخاب لازمي دی.
د راستنیدو ډول تفصیل
0 امر بریالی شو.
د راستنیدو ډول تفصیل
1 امر ناکام شو. یوه تېروتنه ده. تاسو کولی شئ په کنسول کې د تېروتنې پیغام وګورئ.

د تېروتنو لېست

د تېروتنې کوډ د تېروتنې پیغام تفصیل
ERR0023 اړین پیرامیټر شامل لاره ورکه ده. د لارښود انتخاب لازمي دی او باید چمتو شي.

یادونه: که د ډایرکټرۍ لاره سمه نه ده، نو add_include_path به پرته له کومې تېروتنې تېر شي.
په هرصورت، د read_verilog/read_vhd قوماندې به د ویریفیک د پارسر له امله ناکام شي.
Example
د شاملولو_لارې برخه/کار/COREABC0/COREABC0_0/rtl/vlog/core اضافه کړئ

د بیاکتنې تاریخ (یوه پوښتنه وکړئ)

د بیاکتنې تاریخ هغه بدلونونه بیانوي چې په سند کې پلي شوي. بدلونونه د بیاکتنې لخوا لیست شوي، د خورا اوسني خپرونې سره پیل کیږي.

بیاکتنه نیټه تفصیل
F ۹/۹۷ پدې بیاکتنه کې لاندې بدلونونه رامینځته شوي:
• تازه شوی برخه ضمیمه ب—د سمولیشن چاپیریال ته د سمولیشن کتابتونونو واردول.
E ۹/۹۷ پدې بیاکتنه کې لاندې بدلونونه رامینځته شوي:
• تازه شوی برخه پای ته ورسیدهview.
• تازه شوی برخه ترلاسه شوی SDC File.
• تازه شوی برخه ضمیمه ب—د سمولیشن چاپیریال ته د سمولیشن کتابتونونو واردول.
D ۹/۹۷ دا سند د لایبر 2024.1 SoC ډیزاین سویټ سره د v2023.2 څخه پرته له کوم بدلون څخه خپور شوی.
تازه شوی برخه د derive_constraints یوټیلټي سره کار کول
C ۹/۹۷ دا سند د لایبر 2023.2 SoC ډیزاین سویټ سره د v2023.1 څخه پرته له کوم بدلون څخه خپور شوی.
B ۹/۹۷ دا سند د لایبر 2023.1 SoC ډیزاین سویټ سره د v2022.3 څخه پرته له کوم بدلون څخه خپور شوی.
A ۹/۹۷ ابتدايي بیاکتنه.

د مایکروچپ FPGA ملاتړ
د مایکروچپ FPGA محصولاتو ګروپ خپل محصولات د مختلف ملاتړ خدماتو سره ملاتړ کوي ، پشمول د پیرودونکي خدمت ، د پیرودونکي تخنیکي ملاتړ مرکز ، a webسایټ، او په ټوله نړۍ کې د پلور دفترونه.
پیرودونکو ته وړاندیز کیږي چې د ملاتړ سره تماس نیولو دمخه د مایکروچپ آنلاین سرچینو څخه لیدنه وکړي ځکه چې دا خورا احتمال لري چې د دوی پوښتنې لا دمخه ځواب شوي وي.
له لارې د تخنیکي ملاتړ مرکز سره اړیکه ونیسئ webپه سایټ کې www.microchip.com/support. د FPGA وسیلې برخې شمیره په ګوته کړئ ، د مناسب قضیې کټګورۍ غوره کړئ ، او ډیزاین اپلوډ کړئ fileد تخنیکي مالتړ قضیه رامینځته کولو پرمهال.
د غیر تخنیکي محصول مالتړ لپاره د پیرودونکي خدماتو سره اړیکه ونیسئ، لکه د محصول قیمت، د محصول لوړول، تازه معلومات، د امر حالت، او واک ورکول.

  • د شمالي امریکا څخه، 800.262.1060 ته زنګ ووهئ
  • د نورې نړۍ څخه، 650.318.4460 ته زنګ ووهئ
  • فکس، د نړۍ له هر ځای څخه، 650.318.8044

د مایکروچپ معلومات
مایکروچپ Webسایټ
مایکروچپ زموږ له لارې آنلاین ملاتړ چمتو کوي webپه سایټ کې www.microchip.com/. دا webسایټ د جوړولو لپاره کارول کیږي files او معلومات په اسانۍ سره پیرودونکو ته شتون لري. ځینې ​​​​موټر شتون لري پدې کې شامل دي:

  • د محصول ملاتړ - د معلوماتو پاڼې او خطا، د غوښتنلیک یادښتونه او sampد پروګرامونو، ډیزاین سرچینې، د کاروونکي لارښود او د هارډویر مالتړ اسناد، وروستي سافټویر ریلیزونه او آرشیف شوي سافټویر
  • عمومي تخنیکي ملاتړ - ډیری پوښتل شوي پوښتنې (FAQs)، د تخنیکي مالتړ غوښتنې، د آنلاین بحث ګروپونه، د مایکروچپ ډیزاین شریک پروګرام غړو لیست
  • د مایکروچپ سوداګرۍ - د محصول انتخاب کونکي او ترتیب کولو لارښودونه ، د مایکروچپ وروستي مطبوعاتي اعلامیې ، د سیمینارونو او پیښو لیست کول ، د مایکروچپ پلور دفترونو لیست کول ، توزیع کونکي او د فابریکې نمایندګان

د محصول بدلون خبرتیا خدمت
د مایکروچپ د محصول بدلون خبرتیا خدمت د پیرودونکو سره د مایکروچپ محصولاتو اوسني ساتلو کې مرسته کوي. پیرودونکي به د بریښنالیک خبرتیا ترلاسه کړي کله چې د ځانګړي محصول کورنۍ یا د ګټو پراختیا وسیلې پورې اړوند بدلونونه ، تازه معلومات ، بیاکتنې یا خطا شتون ولري. د راجستر کولو لپاره، لاړ شئ www.microchip.com/pcn او د راجستریشن لارښوونې تعقیب کړئ.

د پیرودونکي ملاتړ
د مایکروچپ محصولاتو کارونکي کولی شي د څو چینلونو له لارې مرستې ترلاسه کړي:

  • توزیع کونکی یا استازی
  • د محلي پلور دفتر
  • سرایت شوي حل انجینر (ESE)
  • تخنیکي ملاتړ

پیرودونکي باید د ملاتړ لپاره د دوی توزیع کونکي ، نماینده یا ESE سره اړیکه ونیسي. د پلور محلي دفترونه هم د پیرودونکو سره د مرستې لپاره شتون لري. په دې سند کې د پلور دفترونو او ځایونو لیست شامل دی. تخنیکي ملاتړ له لارې شتون لري webپه سایټ کې: www.microchip.com/support
د مایکروچپ وسیلو کوډ محافظت ځانګړتیا
په مایکروچپ محصولاتو کې د کوډ محافظت ځانګړتیا لاندې توضیحات یاد کړئ:

  • د مایکروچپ محصولات د دوی ځانګړي مایکروچپ ډیټا شیټ کې موجود مشخصات پوره کوي.
  • مایکروچپ باور لري چې د محصولاتو کورنۍ خوندي ده کله چې په مطلوب ډول کارول کیږي، په عملیاتي ځانګړتیاو کې، او په نورمال شرایطو کې.
  • مایکروچپ ارزښتونه لري او په کلکه د خپل فکري ملکیت حقونه ساتي. د مایکروچپ محصول د کوډ محافظت ځانګړتیاو څخه د سرغړونې هڅې په کلکه منع دي او ممکن د ډیجیټل ملیونیم کاپي حق قانون څخه سرغړونه وکړي.
  • نه مایکروچپ او نه کوم بل سیمیکمډکټر جوړونکی کولی شي د دې کوډ امنیت تضمین کړي. د کوډ محافظت پدې معنی ندي چې موږ تضمین کوو چې محصول "نه ماتیدونکی" دی. د کوډ محافظت په دوامداره توګه وده کوي. مایکروچپ ژمن دی چې په دوامداره توګه زموږ د محصولاتو د کوډ محافظت ځانګړتیاو ته وده ورکړي.

قانوني خبرتیا
دا خپرونه او معلومات دلته یوازې د مایکروچپ محصولاتو سره کارول کیدی شي، پشمول ستاسو د غوښتنلیک سره د مایکروچپ محصولاتو ډیزاین، ازموینه او یوځای کول. د دې معلوماتو کارول په بل ډول د دې شرایطو څخه سرغړونه ده. د وسیلې غوښتنلیکونو په اړه معلومات یوازې ستاسو د اسانتیا لپاره چمتو شوي او ممکن د تازه معلوماتو لخوا ځای په ځای شي. دا ستاسو مسؤلیت دی چې ډاډ ترلاسه کړئ چې ستاسو غوښتنلیک ستاسو د ځانګړتیاو سره سمون لري. د اضافي ملاتړ لپاره د خپل ځایي مایکروچپ پلور دفتر سره اړیکه ونیسئ یا اضافي ملاتړ ترلاسه کړئ www.microchip.com/en-us/support/design-help/client-support-services.
دا معلومات د مایکروچپ لخوا چمتو شوي "لکه څنګه چې دي". مایکروچپ هیڅ نمایندګي یا تضمینونه ندي چې ایا څرګند یا ضمیمه، توضیحي یا طبیعي وي د دې حالت، کیفیت، یا فعالیت پورې اړه لري. په هیڅ صورت کې به مایکروچیپ د هر ډول غیر مستقیم، ځانګړي، مجازاتو، تصادفي، یا په پایله کې د زیان، زیان، لګښت، یا د هر ډول لګښت لپاره مسؤل نه وي چې د هر ډول خطرونو سره تړاو لري احتمال یا زیانونه د وړاندوینې وړ دي. د قانون لخوا په بشپړ ډول اجازه ورکړل شوي، د معلوماتو یا د هغې کارول به د ټولو ادعاګانو په اړه د مایکروچیپ بشپړ مسؤلیت په هره طریقه کې د فیسونو له مقدار څخه زیات نه وي، که تاسو په هر ډول غیرقانوني توګه د پیسو اخیستلو لپاره.
د ژوند ملاتړ او/یا خوندیتوب غوښتنلیکونو کې د مایکروچپ وسیلو کارول په بشپړ ډول د پیرودونکي په خطر کې دي، او پیرودونکی موافقه کوي چې د دې کارونې له امله رامینځته شوي هر ډول زیانونو، دعوو، دعوو، یا لګښتونو څخه مایکروچپ دفاع وکړي، تاوان ورکړي او بې ضرره وساتي. هیڅ جوازونه د مایکروچپ د فکري ملکیت حقونو لاندې، په ضمني یا بل ډول نه لیږدول کیږي پرته لدې چې بل ډول وویل شي.
سوداګریزې نښې
د مایکروچپ نوم او لوګو، د مایکروچپ لوگو، اډاپټیک، AVR، AVR لوگو، AVR فریکس، BesTime، BitCloud، CryptoMemory، CryptoRF، dsPIC، flexPWR، HELDO، IGLOO، JukeBlox، KeeLoq، Linkus، MachylXTX MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST logo, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logo, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SymFST, Logo , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron، او XMEGA په متحده ایالاتو او نورو هیوادونو کې د مایکروچپ ټیکنالوژۍ ثبت شوي سوداګریزې نښې دي.
AgileSwitch, ClockWorks, د ایمبیډډ کنټرول حلونو شرکت, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermit 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logo, QuietcWire, SmartCWire TimeCesium، TimeHub، TimePictra، TimeProvider، او ZL په متحده ایالاتو کې د مایکروچپ ټیکنالوژۍ ثبت شوي سوداګریزې نښې دي.
نږدې کیلي سپپریشن، AKS، د ډیجیټل عمر لپاره انلاګ، هر ډول کپیسیټر، AnyIn، AnyOut، Augmented Switching، BlueSky، BodyCom، Clockstudio، CodeGuard، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication، CryptoAutomotive، CryptoCPIEMPantoompanet. ، متحرک اوسط میچ کول , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, هوښیار موازي, IntelliMOS, Inter-chip نښلول, JitterBlocker, Knob-D, Maxplay-Cnob-, اعظميView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB تصدیق شوی لوگو, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, Powermart, PowerMOS 7, PowerMOS , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, EnPHY, Sy. د اعتبار وړ وخت، TSHARC، Turing، USBCheck، VariSense، VectorBlox، VeriPHY، ViewSpan، WiperLock، XpressConnect، او ZENA د مایکروچپ ټیکنالوژۍ سوداګریزې نښې دي چې په متحده ایالاتو او نورو هیوادونو کې شامل دي.
SQTP په متحده ایالاتو کې د مایکروچپ ټیکنالوژۍ د خدماتو نښه ده
د اډاپټیک لوگو، فریکونسی آن ډیمانډ، د سیلیکون ذخیره کولو ټیکنالوژي، او سیم کام په نورو هیوادونو کې د مایکروچپ ټیکنالوژۍ شرکت راجستر شوي سوداګریزې نښې دي.
GestIC د مایکروچپ ټیکنالوژۍ جرمني II GmbH & Co. KG راجستر شوی سوداګریز نښه ده، چې د مایکروچپ ټکنالوژۍ شرکت یوه فرعي شرکت، په نورو هیوادونو کې.
نورې ټولې سوداګریزې نښې چې دلته ذکر شوي د دوی د اړوندو شرکتونو ملکیت دی.
۲۰۲۱، مایکروچپ ټیکنالوژي شرکت او د هغې فرعي شرکتونه. ټول حقونه خوندي دي.
ISBN: 978-1-6683-0183-8
د کیفیت مدیریت سیسټم
د مایکروچپ د کیفیت مدیریت سیسټمونو په اړه د معلوماتو لپاره، مهرباني وکړئ لیدنه وکړئ www.microchip.com/quality.
په ټوله نړۍ کې پلور او خدمت

امریکا  آسیا/پاسیفیک  آسیا/پاسیفیک  اروپا
د کارپوریټ دفتر
2355 لویدیځ چاندلر بلویډ.
چاندلر، AZ 85224-6199
ټیلیفون: 480-792-7200
فکس: 480-792-7277
تخنیکي ملاتړ: www.microchip.com/support
Web پته: www.microchip.com
اتلانتا
Duluth, GA
ټیلیفون: 678-957-9614
فکس: 678-957-1455
آسټین، TX
ټیلیفون: 512-257-3370
بوسټن
Westborough, MA
ټیلیفون: 774-760-0087
فکس: 774-760-0088
شیکاګو
Itasca, IL
ټیلیفون: 630-285-0071
فکس: 630-285-0075
دالاس
اډیسن ، TX
ټیلیفون: 972-818-7423
فکس: 972-818-2924
ډیټرایټ
نووی، MI
ټیلیفون: 248-848-4000
هوسټن، TX
ټیلیفون: 281-894-5983
انډیاناپولیس
Noblesville, IN
ټیلیفون: 317-773-8323
فکس: 317-773-5453
ټیلیفون: 317-536-2380
لاس انجلس
مشن ویجو، CA
ټیلیفون: 949-462-9523
فکس: 949-462-9608
ټیلیفون: 951-273-7800
Raleigh, NC
ټیلیفون: 919-844-7510
نیویارک، نیویارک
ټیلیفون: 631-435-6000
سان جوز، CA
ټیلیفون: 408-735-9110
ټیلیفون: 408-436-4270
کاناډا - ټورنټو
ټیلیفون: 905-695-1980
فکس: 905-695-2078
استرالیا – سیډني
ټیلیفون: 61-2-9868-6733
چین – بیجینګ
ټیلیفون: 86-10-8569-7000
چین – چینګدو
ټیلیفون: 86-28-8665-5511
چین - چونګکینګ
ټیلیفون: 86-23-8980-9588
چین - دونګ ګوان
ټیلیفون: 86-769-8702-9880
چین - ګوانګزو
ټیلیفون: 86-20-8755-8029
چین – هانګزو
ټیلیفون: 86-571-8792-8115
چین – هانګ کانګ SAR
ټیلیفون: 852-2943-5100
چین – نانجینګ
ټیلیفون: 86-25-8473-2460
چین – Qingdao
ټیلیفون: 86-532-8502-7355
چین – شانګهای
ټیلیفون: 86-21-3326-8000
چین - شینیانګ
ټیلیفون: 86-24-2334-2829
چین - شینزین
ټیلیفون: 86-755-8864-2200
چین - سوزو
ټیلیفون: 86-186-6233-1526
چین – ووهان
ټیلیفون: 86-27-5980-5300
چین – ژیان
ټیلیفون: 86-29-8833-7252
چین - Xiamen
ټیلیفون: 86-592-2388138
چین – ژوهای
ټیلیفون: 86-756-3210040
هند – بنګلور
ټیلیفون: 91-80-3090-4444
هند – نوی ډیلی
ټیلیفون: 91-11-4160-8631
هندوستان - پونا
ټیلیفون: 91-20-4121-0141
جاپان – اوساکا
ټیلیفون: 81-6-6152-7160
جاپان – توکیو
ټیلیفون: 81-3-6880- 3770
کوریا – دایګو
ټیلیفون: 82-53-744-4301
کوریا – سیول
ټیلیفون: 82-2-554-7200
مالیزیا – کوالالمپور
ټیلیفون: 60-3-7651-7906
مالیزیا – پینانګ
ټیلیفون: 60-4-227-8870
فیلیپین - مانیلا
ټیلیفون: 63-2-634-9065
سینګاپور
ټیلیفون: 65-6334-8870
تائیوان – سین چو
ټیلیفون: 886-3-577-8366
تائیوان – کاهسینګ
ټیلیفون: 886-7-213-7830
تایوان - تایپي
ټیلیفون: 886-2-2508-8600
تایلینډ - بنکاک
ټیلیفون: 66-2-694-1351
ویتنام – هو چی مین
ټیلیفون: 84-28-5448-2100
اتریش – ویلز
ټیلیفون: 43-7242-2244-39
فکس: 43-7242-2244-393
ډنمارک – کوپنهاګن
ټیلیفون: 45-4485-5910
فکس: 45-4485-2829
فنلینډ - ایسپو
ټیلیفون: 358-9-4520-820
فرانسه - پاریس
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
جرمني – ګارچینګ
ټیلیفون: 49-8931-9700
جرمني – هان
ټیلیفون: 49-2129-3766400
جرمني – هیلبرون
ټیلیفون: 49-7131-72400
جرمني – کارلسروه
ټیلیفون: 49-721-625370
جرمني – میونخ
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
جرمني - روزن هایم
ټیلیفون: 49-8031-354-560
اسراییل - هود هاشارون
ټیلیفون: 972-9-775-5100
ایټالیا - میلان
ټیلیفون: 39-0331-742611
فکس: 39-0331-466781
ایټالیا - پادووا
ټیلیفون: 39-049-7625286
هالنډ – Drunen
ټیلیفون: 31-416-690399
فکس: 31-416-690340
ناروې - ټرونډیم
ټیلیفون: 47-72884388
پولنډ – وارسا
ټیلیفون: 48-22-3325737
رومانیا - بخارست
Tel: 40-21-407-87-50
هسپانیه – مادرید
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
سویډن – ګوتنبرګ
Tel: 46-31-704-60-40
سویډن – ستاکهولم
ټیلیفون: 46-8-5090-4654
انګلستان – ووکینګم
ټیلیفون: 44-118-921-5800
فکس: 44-118-921-5820

مایکروچپ - logo

اسناد / سرچینې

مایکروچپ DS00004807F پولار فایر کورنۍ FPGA ګمرکي جریان [pdf] د کارونکي لارښود
DS00004807F د پولار فایر کورنۍ FPGA ګمرکي جریان، DS00004807F، د پولار فایر کورنۍ FPGA ګمرکي جریان، د کورنۍ FPGA ګمرکي جریان، ګمرکي جریان، جریان

حوالې

یو نظر پریږدئ

ستاسو بریښنالیک پته به خپره نشي. اړین ساحې په نښه شوي *