MICROCHIP - loqo PolarFire Ailəsi FPGA Xüsusi Axın İstifadəçi Təlimatı
Libero SoC v2024.2

Giriş (Sual verin)

Libero System-on-Chip (SoC) proqramı tam inteqrasiya olunmuş Sahədə Proqramlaşdırıla bilən Qapı Array (FPGA) dizayn mühitini təmin edir. Bununla belə, bir neçə istifadəçi Libero SoC mühitindən kənar üçüncü tərəf sintezi və simulyasiya alətlərindən istifadə etmək istəyə bilər. Libero indi FPGA dizayn mühitinə inteqrasiya oluna bilər. Bütün FPGA dizayn axınını idarə etmək üçün Libero SoC-dən istifadə etmək tövsiyə olunur.
Bu istifadəçi təlimatı PolarFire və PolarFire SoC Ailəsi cihazları üçün Fərdi Axını, Liberonu daha böyük FPGA dizayn axınının bir hissəsi kimi inteqrasiya etmək prosesini təsvir edir. Dəstəklənən Cihaz Ailələri® Aşağıdakı cədvəl Libero SoC-nin dəstəklədiyi cihaz ailələrinin siyahısını verir. Bununla belə, bu təlimatdakı bəzi məlumatlar yalnız müəyyən bir cihaz ailəsinə aid ola bilər. Bu halda belə məlumatlar aydın şəkildə müəyyən edilir.
Cədvəl 1. Libero SoC tərəfindən dəstəklənən cihaz ailələri

Cihaz Ailəsi Təsvir
PolarFire® PolarFire FPGA-ları müstəsna təhlükəsizlik və etibarlılıqla orta səviyyəli sıxlıqlarda sənayenin ən aşağı gücünü təmin edir.
PolarFire SoC PolarFire SoC deterministik, ardıcıl RISC-V CPU klasterinə və Linux® və real vaxt proqramlarına imkan verən deterministik L2 yaddaş alt sisteminə malik ilk SoC FPGA-dır.

Bitdiview (Sual verin)

Libero SoC, SoC və FPGA dizaynlarını inkişaf etdirmək üçün tam inteqrasiya olunmuş dizayn mühitini təmin etsə də, Libero SoC mühitindən kənarda üçüncü tərəf alətləri ilə sintez və simulyasiya etmək üçün çeviklik təmin edir. Bununla belə, bəzi dizayn addımları Libero SoC mühitində qalmalıdır.
Aşağıdakı cədvəl FPGA dizayn axınındakı əsas addımları sadalayır və Libero SoC-nin istifadə edilməli olduğu addımları göstərir.
Cədvəl 1-1. FPGA Dizayn axını

Dizayn axını addımı Libero istifadə etməlidir Təsvir
Dizayn Girişi: HDL yox İstəyirsinizsə, Libero® SoC xaricində üçüncü tərəf HDL redaktoru/yoxlayıcı alətindən istifadə edin.
Dizayn Girişi: Konfiquratorlar Bəli IP kataloqunun əsas komponentinin yaradılması üçün ilk Libero layihəsini yaradın.
Avtomatik PDC/SDC məhdudiyyətinin yaradılması yox Alınan məhdudiyyətlər bütün HDL-yə ehtiyac duyur files və Libero SoC-dən kənarda yerinə yetirildikdə derive_constraints utiliti, Əlavə C-Tərmə Məhdudiyyətlərində təsvir olunduğu kimi.
Simulyasiya yox İstəyirsinizsə, Libero SoC xaricində üçüncü tərəf alətindən istifadə edin. Hədəf cihaz, hədəf simulyatoru və arxa uçun həyata keçirilməsi üçün istifadə edilən hədəf Libero versiyası üçün əvvəlcədən tərtib edilmiş simulyasiya kitabxanalarının endirilməsini tələb edir.
Sintez yox İstəyirsinizsə, Libero SoC xaricində üçüncü tərəf alətindən istifadə edin.
Dizaynın həyata keçirilməsi: Məhdudiyyətləri idarə edin, Netlist tərtib edin, Yer və Marşrut (bax.view) Bəli Backend tətbiqi üçün ikinci Libero layihəsi yaradın.
Zamanlama və Güc Doğrulaması Bəli İkinci Libero layihəsində qalın.
Dizayn Initialization Data və Yaddaşları konfiqurasiya edin Bəli Cihazda müxtəlif yaddaş növlərini və dizaynın başlanğıcını idarə etmək üçün bu alətdən istifadə edin. İkinci layihədə qalın.
Proqramlaşdırma File Nəsil Bəli İkinci layihədə qalın.

MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon Əhəmiyyətli: Siz ünvanında mövcud olan əvvəlcədən tərtib edilmiş kitabxanaları yükləməlisiniz Əvvəlcədən tərtib edilmiş simulyasiya kitabxanaları üçüncü tərəf simulyatorundan istifadə etmək üçün səhifə.
Saf Fabric FPGA axınında HDL və ya sxematik girişdən istifadə edərək dizaynınızı daxil edin və bunu birbaşa keçin.
sintez alətlərinə. Axın hələ də dəstəklənir. PolarFire və PolarFire SoC FPGA-ları əhəmiyyətlidir
Libero SoC IP-dən konfiqurasiya nüvələrinin (SgCores) istifadəsini tələb edən xüsusi sərt IP blokları
kataloq. SoC funksionallığını ehtiva edən hər hansı blok üçün xüsusi rəftar tələb olunur:

  • PolarFire
    – PF_UPROM
    – PF_SYSTEM_SERVICES
    – PF_CCC
    – PF CLK DIV
    – PF_CRYPTO
    – PF_DRI
    – PF_INIT_MONITOR
    – PF_NGMUX
    – PF_OSC
    - RAM-lar (TPSRAM, DPSRAM, URAM)
    – PF_SRAM_AHBL_AXI
    – PF_XCVR_ERM
    – PF_XCVR_REF_CLK
    – PF_TX_PLL
    – PF_PCIE
    – PF_IO
    – PF_IOD_CDR
    – PF_IOD_CDR_CCC
    – PF_IOD_GENERIC_RX
    – PF_IOD_GENERIC_TX
    – PF_IOD_GENERIC_TX_CCC
    – PF_RGMII_TO_GMII
    – PF_IOD_OCTAL_DDR
    – PF_DDR3
    – PF_DDR4
    – PF_LPDDR3
    – PF_QDR
    – PF_CORESMARTBERT
    – PF_TAMPER
    – PF_TVS və s.

Yuxarıda sadalanan SgCores-a əlavə olaraq, FPGA material resurslarından istifadə edən Libero SoC Kataloqunda PolarFire və PolarFire SoC cihaz ailələri üçün çoxlu DirectCore yumşaq IP-ləri mövcuddur.
Dizayn girişi üçün, əgər siz əvvəlki komponentlərdən hər hansı birini istifadə edirsinizsə, dizayn girişinin bir hissəsi (Kompanent Konfiqurasiyası) üçün Libero SoC-dən istifadə etməlisiniz, lakin siz Dizayn Girişinizin qalan hissəsini (HDL girişi və s.) Libero xaricində davam etdirə bilərsiniz. Libero xaricində FPGA dizayn axını idarə etmək üçün bu təlimatın qalan hissəsində göstərilən addımları izləyin.
1.1 Komponentin Həyat Dövrü (Sual verin)
Aşağıdakı addımlar SoC komponentinin həyat dövrünü təsvir edir və məlumatların idarə olunmasına dair təlimatları təqdim edir.

  1. Libero SoC-də konfiquratordan istifadə edərək komponenti yaradın. Bu, aşağıdakı məlumat növlərini yaradır:
    - HDL files
    - Yaddaş files
    – Stimul və Simulyasiya files
    – Komponent SDC file
  2. HDL üçün files, xarici dizayn giriş aləti/prosesindən istifadə edərək onları HDL dizaynının qalan hissəsinə hazırlayın və inteqrasiya edin.
  3. Yaddaş tədarükü files və stimul files simulyasiya alətinizə.
  4. Təchizat Komponenti SDC file Məhdudiyyət Yaradılması üçün Məhdudiyyət alətini əldə etmək. Ətraflı təfərrüatlar üçün Əlavə C-məhdudiyyətləri əldə edin.
  5. Siz ikinci Libero layihəsi yaratmalısınız, burada Sintezdən sonrakı netlist və komponent metadatanızı idxal edirsiniz, beləliklə, yaratdığınız və proqramlaşdırdığınız arasında əlaqəni tamamlayır.

1.2 Libero SoC Layihəsinin yaradılması (Sual verin)
Bəzi dizayn addımları Libero SoC mühitində yerinə yetirilməlidir (Cədvəl 1-1). Bu addımların icrası üçün iki Libero SoC layihəsi yaratmalısınız. Birinci layihə dizayn komponentlərinin konfiqurasiyası və generasiyası üçün, ikinci layihə isə yüksək səviyyəli dizaynın fiziki həyata keçirilməsi üçün istifadə olunur.
1.3 Fərdi Axın (Sual verin)
Aşağıdakı rəqəm göstərir:

  • Libero SoC, Libero SoC mühitindən kənar üçüncü tərəf sintezi və simulyasiya vasitələri ilə daha böyük FPGA dizayn axınının bir hissəsi kimi inteqrasiya oluna bilər.
  • Dizayn yaradılması və tikişdən tutmuş cihazın proqramlaşdırılmasına qədər müxtəlif mərhələlər cərəyan edir.
  • Hər bir dizayn axını addımında baş verməli olan məlumat mübadiləsi (girişlər və çıxışlar).

MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - Fərdi AxınviewMICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon 1 İpucu:

  1. SNVM.cfg, UPROM.cfg
  2. *.mem file Simulyasiya üçün nəsil: pa4rtupromgen.exe giriş kimi UPROM.cfg alır və UPROM.mem yaradır.

Fərdi axınındakı addımlar aşağıdakılardır:

  1. Komponent konfiqurasiyası və generasiyası:
    a. İlk Libero layihəsi yaradın (İstinad Layihəsi kimi xidmət etmək üçün).
    b. Kataloqdan Əsas seçin. Komponent adı vermək və komponenti konfiqurasiya etmək üçün nüvəyə iki dəfə klikləyin.
    Bu avtomatik olaraq komponent məlumatlarını ixrac edir və files. Komponent Manifestləri də yaradılır. Təfərrüatlar üçün Komponent Manifestlərinə baxın. Ətraflı məlumat üçün Komponent Konfiqurasiyasına baxın.
  2. RTL dizaynınızı Libero xaricində tamamlayın:
    a. HDL komponentini işə salın files.
    b. HDL-nin yeri files Komponent Manifestlərində verilmişdir files.
  3. Komponentlər üçün SDC məhdudiyyətləri yaradın. Vaxt məhdudiyyətini yaratmaq üçün Derive Constraints yardım proqramından istifadə edin file(SDC) əsasında:
    a. Komponent HDL files
    b. Komponent SDC files
    c. İstifadəçi HDL files
    Ətraflı təfərrüatlar üçün Əlavə C-yə baxın - Məhdudiyyətlər əldə edin.
  4. Sintez aləti/simulyasiya aləti:
    a. HDL əldə edin files, stimul files və Komponent Manifestlərində qeyd edildiyi kimi xüsusi yerlərdən komponent məlumatları.
    b. Libero SoC xaricində üçüncü tərəf alətləri ilə dizaynı sintez edin və simulyasiya edin.
  5. İkinci (İcra) Libero Layihənizi yaradın.
  6. Dizayn axını alətləri zəncirindən sintezi çıxarın (Layihə > Layihə Parametrləri > Dizayn axını > Sintezi aktivləşdirin onay qutusunu silin).
  7. Dizayn mənbəyini idxal edin files (sintez alətindən post-sintez *.vm netlist):
    – Sintez sonrası *.vm şəbəkə siyahısını idxal edin (File>İdxal> Sintezləşdirilmiş Verilog Netlist (VM)).
    – Komponent metadata *.cfg fileuPROM və/və ya sNVM üçün.
  8. İstənilən Libero SoC blok komponentini idxal edin files. Blok files *.cxz-də olmalıdır file format.
    Blok yaratmaq haqqında ətraflı məlumat üçün baxın PolarFire Block Flow İstifadəçi Təlimatı.
  9. Dizayn məhdudiyyətlərini idxal edin:
    – Import I/O məhdudiyyəti files (Məhdudlar Meneceri > I/OAtributlar > İdxal).
    – Döşəmə planının idxalı *.pdc files (Məhdudlar Meneceri > Mərtəbə Planlayıcısı > İdxal).
    – Import *.sdc vaxt məhdudiyyəti files (Məhdudlar Meneceri > Vaxt > İdxal). SDC-ni idxal edin file Alma Məhdudiyyət aləti vasitəsilə yaradılır.
    – Import *.ndc məhdudiyyəti files (Məhdudlar Meneceri > Netlist Atributları > İdxal), əgər varsa.
  10. Məhdudiyyət file və alət birliyi
    – Məhdudiyyət Menecerində *.pdc ilə əlaqələndirin fileyerləşdirmək və marşrutlaşdırmaq üçün *.sdc files yerləşdirmək və marşrut və vaxt yoxlamalarını və *.ndc files Netlist tərtib etmək.
  11. Dizaynın tam icrası
    - Yerləşdirin və marşrutlaşdırın, vaxtı və gücü yoxlayın, dizaynın başlanğıc məlumatlarını və yaddaşlarını konfiqurasiya edin və proqramlaşdırma file nəsil.
  12. Dizaynı təsdiqləyin
    – FPGA-da dizaynı yoxlayın və Libero SoC dizayn dəsti ilə təmin edilən dizayn alətlərindən istifadə edərək lazım olduqda debug edin.

Komponent Konfiqurasiyası (Sual verin)

Fərdi axının ilk addımı Libero istinad layihəsindən istifadə edərək komponentlərinizi konfiqurasiya etməkdir (Cədvəl 1-1-də ilk Libero layihəsi də adlanır). Sonrakı addımlarda siz bu istinad layihəsindən verilənlərdən istifadə edirsiniz.
Əgər əvvəllər sadalanan hər hansı komponentdən istifadə edirsinizsə, Over altındaview dizaynınızda bu bölmədə təsvir olunan addımları yerinə yetirin.
Yuxarıdakı komponentlərdən heç birini istifadə etmirsinizsə, RTL-nizi Libero-dan kənarda yaza və birbaşa Sintez və Simulyasiya alətlərinizə idxal edə bilərsiniz. Daha sonra siz sintezdən sonrakı bölməyə keçə və yalnız son Libero həyata keçirmə layihənizə (Cədvəl 1-1-də ikinci Libero layihəsi adlanır) yalnız sintezdən sonrakı *.vm netlistinizi idxal edə bilərsiniz.
2.1 Libero istifadə edərək komponentlərin konfiqurasiyası (Sual verin)
Əvvəlki siyahıdan istifadə edilməli olan komponentləri seçdikdən sonra aşağıdakı addımları yerinə yetirin:

  1. Yeni Libero layihəsi yaradın (Əsas Konfiqurasiya və Nəsil): Son dizaynınızı hədəflədiyiniz Cihazı və Ailəni seçin.
  2. Xüsusi Akışda qeyd olunan nüvələrdən birini və ya bir neçəsini istifadə edin.
    a. SmartDesign yaradın və istədiyiniz nüvəni konfiqurasiya edin və onu SmartDesign komponentində tətbiq edin.
    b. Bütün sancaqları yuxarı səviyyəyə qaldırın.
    c. SmartDesign yaradın.
    d. Simulyatoru işə salmaq üçün Simulyasiya alətinə (Pre-Sintez və ya Post-Sintez və ya Post-Layout seçimlərindən hər hansı biri) iki dəfə klikləyin. Simulyator işə salındıqdan sonra onu tərk edə bilərsiniz. Bu addım simulyasiya yaradır filelayihəniz üçün lazımdır.

MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon 1 İpucu: Siz Dizaynınızı Libero xaricində simulyasiya etmək istəyirsinizsə, bu addımı yerinə yetirməlisiniz.
Ətraflı məlumat üçün Dizaynınızı Simulyasiya etməyə baxın.
e. Layihənizi yadda saxlayın - bu sizin istinad layihənizdir.
2.2 Komponent Manifestləri (Sual verin)
Komponentlərinizi yaratdığınız zaman, bir dəst files hər bir komponent üçün yaradılır. Komponent Manifest hesabatı dəstini təfərrüatlandırır files yaradılır və hər bir sonrakı addımda istifadə olunur (Sintez, Simulyasiya, Firmware yaradılması və s.). Bu hesabat sizə bütün yaradılanların yerlərini verir files Xüsusi Axınla davam etmək lazımdır. Siz Hesabatlar sahəsində komponent manifestinə daxil ola bilərsiniz: Hesabatlar sekmesini açmaq üçün Dizayn > Hesabatlar üzərinə klikləyin. Hesabatlar tabında siz manifest.txt dəstini görürsünüz files (Bittiview), yaratdığınız hər bir komponent üçün bir.
İpucu: Komponent manifestini görmək üçün komponent və ya modulu '"root"' olaraq təyin etməlisiniz file Hesabatlar tabında məzmun.
Alternativ olaraq, fərdi manifest hesabatına daxil ola bilərsiniz files yaradılan hər bir əsas komponent və ya SmartDesign komponenti üçün /komponent/iş/ / / _manifest.txt və ya /komponent/iş/ / _manifest.txt. Siz həmçinin manifestə daxil ola bilərsiniz file Libero-da yeni Komponentlər sekmesinden yaradılan hər bir komponentin məzmunu file yerlər layihə kataloqu ilə əlaqədar qeyd olunur.MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - Libero Hesabatlar PaneliAşağıdakı Komponent Manifest hesabatlarına diqqət yetirin:

  • SmartDesign-da nüvələri yaratmısınızsa, oxuyun file _manifest.txt.
  • Əgər nüvələr üçün komponentlər yaratmısınızsa, oxuyun _manifest.txt.

Dizaynınıza tətbiq olunan bütün Komponent Manifestləri hesabatlarından istifadə etməlisiniz. məsələnampƏgər layihənizdə bir və ya bir neçə əsas komponenti olan SmartDesign varsa və siz onların hamısını son dizaynınızda istifadə etmək niyyətindəsinizsə, onda siz seçməlisiniz files dizayn axınınızda istifadə etmək üçün bütün komponentlərin Komponent Manifestləri hesabatlarında sadalanıb.
2.3 Manifestin tərcüməsi Files (Sual verin)
Komponent manifestini açdığınız zaman file, yollarını görürsən files Libero layihənizdə və dizayn axınının harada istifadə olunacağına dair göstəricilər. Aşağıdakı növləri görə bilərsiniz filebir manifestdə file:

  • HDL mənbəyi files bütün Sintez və Simulyasiya alətləri üçün
  • Stimul files bütün Simulyasiya alətləri üçün
  • Məhdudiyyət files

Aşağıda PolarFire əsas komponentinin Komponent Manifestidir.MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - Komponent ManifestHər bir növü file dizayn axınınızda aşağı axın lazımdır. Aşağıdakı bölmələr inteqrasiyanı təsvir edir files manifestdən dizayn axınınıza.

Məhdudiyyətin yaradılması (Sual verin)

Konfiqurasiya və generasiyanı həyata keçirərkən SDC/PDC/NDC məhdudiyyətinin yazılmasını/yaratılmasını təmin edin files dizayn üçün onları Sintez, Yerləşdirmə və Marşrut və Vaxtı Doğrulama alətlərinə ötürmək üçün.
Məhdudiyyətləri əl ilə yazmaq əvəzinə, onları yaratmaq üçün Libero mühitindən kənarda Alınan Məhdudiyyətlər yardım proqramından istifadə edin. Libero mühitindən kənarda Alma Məhdudiyyəti yardım proqramından istifadə etmək üçün aşağıdakıları etməlisiniz:

  • İstifadəçi HDL, komponent HDL və komponent SDC məhdudiyyətini təmin edin files
  • Üst səviyyə modulunu təyin edin
  • Alınan məhdudiyyətin yaradılacağı yeri göstərin files

SDC komponent məhdudiyyətləri aşağıda mövcuddur /komponent/iş/ / / komponent konfiqurasiyası və generasiyasından sonra kataloq.
Dizaynınız üçün məhdudiyyətlərin necə yaradılacağına dair daha ətraflı məlumat üçün Əlavə C-Məhdudluqları əldə edin.

Dizaynınızın sintezi (Sual verin)

Xüsusi axının əsas xüsusiyyətlərindən biri üçüncü tərəf sintezindən istifadə etməyə imkan verməkdir
Libero xaricində alət. Xüsusi axın Synopsys SynplifyPro istifadəsini dəstəkləyir. Özünüzü sintez etmək üçün
layihə üçün aşağıdakı prosedurdan istifadə edin:

  1. Sintez alətinizdə yaratdığınız Libero layihəsi ilə eyni cihaz ailəsini, ölünü və paketini hədəf alan yeni layihə yaradın.
    a. Öz RTL-nizi idxal edin files normal etdiyiniz kimi.
    b. Sintez çıxışını Struktur Verilog (.vm) olaraq təyin edin.
    İpucu: Struktur Verilog (.vm) PolarFire-da dəstəklənən yeganə sintez çıxış formatıdır.
  2. Komponent HDL idxalı files Sintez layihənizdə:
    a. Hər bir Komponent Manifest Hesabatı üçün: Hər biri üçün file HDL mənbəyi altında files bütün Sintez və Simulyasiya alətləri üçün idxal edin file Sintez Layihənizdə.
  3. idxal edin file polarfire_syn_comps.v (Synopsys Synplify istifadə edirsinizsə).
    Quraşdırma yeri>/data/aPA5M Sintez layihənizə.
  4. Əvvəllər yaradılmış SDC-ni idxal edin file Derived Constraint aləti vasitəsilə (bax. Əlavə
    A-Sample SDC Constraints) Sintez alətinə daxil edin. Bu məhdudiyyət file daha az səy və daha az dizayn iterasiyası ilə vaxtın bağlanmasına nail olmaq üçün sintez alətini məhdudlaşdırır.

MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon Əhəmiyyətli: 

  • Eyni *.sdc-dən istifadə etməyi planlaşdırırsınızsa file Layihənin həyata keçirilməsi mərhələsində Yer və Marşrutu məhdudlaşdırmaq üçün bu *.sdc-ni sintez layihəsinə idxal etməlisiniz. Bu, dizayn prosesinin həyata keçirilməsi mərhələsində sintez edilmiş şəbəkə siyahısında və Yer və Marşrut məhdudiyyətlərində dizayn obyektinin adlarına uyğunsuzluğun olmamasına əmin olmaq üçündür. Bunu daxil etməsəniz *.sdc file Sintez addımında, Sintezdən yaradılmış netlist, dizayn obyektinin adı uyğunsuzluğu səbəbindən Yer və Marşrut addımında uğursuz ola bilər.
    a. Netlist Atributlarını *.ndc, əgər varsa, Sintez alətinə idxal edin.
    b. Sintezi işə salın.
  • Sintez alətinizin çıxışının yeri *.vm şəbəkə siyahısına malikdir file yaradılan post sintezi. Dizayn prosesinə davam etmək üçün şəbəkə siyahısını Libero İcra Layihəsinə idxal etməlisiniz.

Dizaynınızı Simulyasiya etmək (Sual verin)

Dizaynınızı Libero xaricində simulyasiya etmək üçün (yəni öz simulyasiya mühitinizdən və simulyatorunuzdan istifadə etməklə) aşağıdakı addımları yerinə yetirin:

  1. Dizayn Files:
    a. Pre-Sintez simulyasiyası:
    • RTL-ni simulyasiya layihənizə idxal edin.
    • Hər Komponent Manifest Hesabatı üçün.
    - Hər birini idxal edin file HDL mənbəyi altında files simulyasiya layihənizdə bütün Sintez və Simulyasiya alətləri üçün.
    • Bunları tərtib edin filesimulyatorunuzun göstərişlərinə uyğun olaraq.
    b. Sintez sonrası simulyasiya:
    • Sintezdən sonrakı *.vm netlistinizi (Dizaynınızı sintez edərkən yaradılan) simulyasiya layihənizə idxal edin və onu tərtib edin.
    c. Post-layout simulyasiyası:
    • Birincisi, dizaynınızı həyata keçirin (bax: Dizaynınızı həyata keçirin). Son Libero layihənizin layout sonrası vəziyyətdə olduğundan əmin olun.
    • BackAnnotated Yarat üzərinə iki dəfə klikləyin Files Libero Design Flow pəncərəsində. İki yaradır files:
    /dizayner/ / _ba.v/vhd /dizayner/
    / _ba.sdf
    • Bunların hər ikisini idxal edin filesimulyasiya alətinizə daxil edin.
  2. Stimul və Konfiqurasiya files:
    a. Hər Komponent Manifest Hesabatı üçün:
    • Hamısını kopyalayın files Stimul altında Files bütün Simulyasiya alətləri bölmələri üçün Simulyasiya layihənizin kök kataloquna daxil edin.
    b. Əmin olun ki, hər hansı Tcl files əvvəlki siyahılarda (2.a addımında) simulyasiya başlamazdan əvvəl yerinə yetirilir.
    c. UPROM.mem: Əgər siz dizaynınızda UPROM nüvəsini simulyasiya etmək istədiyiniz bir və ya daha çox məlumat saxlama müştərisi üçün aktivləşdirilmiş Simulyasiya üçün məzmundan istifadə et seçimi ilə istifadə edirsinizsə, UPROM.mem yaratmaq üçün icra edilə bilən pa4rtupromgen (Windows-da pa4rtupromgen.exe) istifadə etməlisiniz. file. Bu pa4rtupromgen icra olunan UPROM.cfg alır file Tcl skripti vasitəsilə giriş kimi file və UPROM.mem-i çıxarır file simulyasiyalar üçün tələb olunur. Bu UPROM.mem file simulyasiyadan əvvəl simulyasiya qovluğuna kopyalanmalıdır. Keçmişamppa4rtupromgen icra edilə bilən istifadəni göstərən le aşağıdakı addımlarda təmin edilir. UPROM.cfg file kataloqda mövcuddur /komponent/iş/ / UPROM komponentini yaratmaq üçün istifadə etdiyiniz Libero layihəsində.
    d. snvm.mem: Dizaynınızda Sistem Xidmətləri nüvəsindən istifadə etsəniz və simulyasiya etmək istədiyiniz bir və ya bir neçə müştəri üçün simulyasiya üçün məzmundan istifadə et seçimi ilə əsasda sNVM nişanını konfiqurasiya etmisinizsə, snvm.mem file üçün avtomatik olaraq yaradılır
    kataloq /komponent/iş/ / Sistem Xidmətləri komponentini yaratmaq üçün istifadə etdiyiniz Libero layihəsində. Bu snvm.mem file simulyasiyadan əvvəl simulyasiya qovluğuna kopyalanmalıdır.
  3. İş qovluğu və işçi qovluğu altında simulyasiya adlı alt qovluq yaradın.
    Bu pa4rtupromgen icra edilə bilən iş qovluğunda simulyasiya alt qovluğunun olmasını gözləyir və *.tcl skripti simulyasiya alt qovluğunda yerləşdirilir.
  4. UPROM.cfg-ni kopyalayın file komponent yaratmaq üçün yaradılmış ilk Libero layihəsindən işçi qovluğuna.
  5. Aşağıdakı əmrləri *.tcl skriptinə yapışdırın və onu 3-cü addımda yaradılmış simulyasiya qovluğuna qoyun.
    SampURPOM.mem yaratmaq üçün PolarFire və PolarFire Soc Ailəsi cihazları üçün le *.tcl file
    UPROM.cfg saytından
    set_device -fam -ölmək -pkg
    set_input_cfg -yol
    set_sim_mem -yolFile/UPROM.mem>
    gen_sim -use_init false
    Kalıp və qablaşdırma üçün istifadə ediləcək düzgün daxili ad üçün *.prjx-ə baxın file ilk Libero layihəsinin (komponent istehsalı üçün istifadə olunur).
    use_init arqumenti false olaraq təyin edilməlidir.
    Çıxışın yolunu təyin etmək üçün set_sim_mem əmrindən istifadə edin file UPROM.mem yəni
    skriptin icrası zamanı yaradılır file pa4rtupromgen icra edilə bilən ilə.
  6. Əmr satırında və ya cygwin terminalında 3-cü addımda yaradılmış işçi qovluğuna keçin.
    Pa4rtupromgen əmrini skript seçimi ilə icra edin və əvvəlki addımda yaradılmış *.tcl skriptini ona ötürün.
    Windows üçün
    /designer/bin/pa4rtupromgen.exe \
    –skript./simulyasiya/ .tcl
    Linux üçün:
    /bin/pa4rtupromgen
    –skript./simulyasiya/ .tcl
  7. Bu pa4rtupromgen icra edilə bilən müvəffəqiyyətlə icra edildikdən sonra UPROM.mem-in olub olmadığını yoxlayın file *.tcl skriptində set_sim_mem əmrində göstərilən yerdə yaradılır.
  8. sNVM-ni simulyasiya etmək üçün snvm.mem-i kopyalayın file ilk Libero layihənizdən (komponent konfiqurasiyası üçün istifadə olunur) simulyasiya layihənizin yuxarı səviyyəli simulyasiya qovluğuna (Libero SoC-dən kənarda) simulyasiyanı işə salın. UPROM məzmununu simulyasiya etmək üçün yaradılan UPROM.mem-i kopyalayın file simulyasiyanı işə salmaq üçün simulyasiya layihənizin üst səviyyə simulyasiya qovluğuna daxil edin (Libero SoC-dən kənar).

MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon Əhəmiyyətli: üçün SoC Komponentlərinin funksionallığını simulyasiya edin, əvvəlcədən tərtib edilmiş PolarFire simulyasiya kitabxanalarını endirin və burada təsvir olunduğu kimi onları simulyasiya mühitinizə idxal edin. Daha ətraflı məlumat üçün Əlavə B-yə baxın - Simulyasiya Kitabxanalarının Simulyasiya Mühitinə İdxal edilməsi.

Dizaynınızın həyata keçirilməsi (Sual verin)

Ətrafınızda Sintez və Sintez Sonrası simulyasiyanı tamamladıqdan sonra dizaynınızı fiziki olaraq həyata keçirmək, vaxt və güc analizini həyata keçirmək və proqramlaşdırmanı yaratmaq üçün yenidən Libero-dan istifadə etməlisiniz. file.

  1. Dizaynın fiziki icrası və tərtibatı üçün yeni Libero layihəsi yaradın. Komponent Konfiqurasiyasında yaratdığınız istinad layihəsində olduğu kimi eyni cihazı hədəf aldığınızdan əmin olun.
  2. Layihə yaradıldıqdan sonra, Dizayn axını pəncərəsində Sintezi alətlər zəncirindən çıxarın (Layihə > Layihə Parametrləri > Dizayn axını > Sintezi aktivləşdirin işarəsini çıxarın).
  3.  Post-sintezinizi *.vm idxal edin file bu layihədə, (File > İdxal > Sintezləşdirilmiş Verilog Netlist (VM)).
    MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon 1 İpucu: Bunun üçün bir keçid yaratmağınız tövsiyə olunur file, belə ki, dizaynınızı yenidən sintez etsəniz, Libero həmişə ən son sintezdən sonrakı netlistdən istifadə edir.
    a. Dizayn iyerarxiyası pəncərəsində kök modulun adını qeyd edin.MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - Dizayn İerarxiyası
  4. Məhdudiyyətləri Libero layihəsinə idxal edin. *.pdc/*.sdc/*.ndc məhdudiyyətlərini idxal etmək üçün Məhdudiyyət Menecerindən istifadə edin.
    a. Import I/O *.pdc məhdudiyyəti files (Məhdudlar Meneceri > I/O Atributları >İdxal).
    b. Floorplanning idxalı *.pdc məhdudiyyəti files (Məhdudlar Meneceri > Mərtəbə Planlayıcısı >İdxal).
    c. Import *.sdc vaxt məhdudiyyəti files (Məhdudlar Meneceri > Vaxt > İdxal). Dizaynınızda Over-də sadalanan nüvələrdən hər hansı biri varsaview, SDC-nin idxalını təmin edin file törəmə məhdudiyyət aləti vasitəsilə yaradılır.
    d. İdxal *.ndc məhdudiyyəti files (Məhdudlar Meneceri > Netlist Atributları > İdxal).
  5. Əlaqədar Məhdudiyyətlər Filealətləri dizayn etmək üçün.
    a. Məhdudiyyətlər menecerini açın (Məhdudları idarə et > Məhdudiyyətləri idarə et View).
    Məhdudiyyətin yanında Yer və Marşrut və Vaxt Təsdiqi qutusunu yoxlayın file məhdudiyyət yaratmaq file və alət birliyi. *.pdc məhdudiyyətini Yer-və Marşrutla və *.sdc-ni həm Yer və Marşrut, həm də Vaxt Doğrulama ilə əlaqələndirin. *.ndc ilə əlaqələndirin file Netlist tərtib etmək.
    MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon 1 İpucu: Əgər Yer və Marşrut bu *.sdc məhdudiyyəti ilə uğursuz olur file, sonra eyni *.sdc idxal edin file sintez etmək və yenidən sintez etmək.
  6. Düzəliş addımını tamamlamaq üçün Netlist tərtib et və sonra Yerləşdir və Marşrut üzərinə klikləyin.
  7. Dizayn Başlatma Məlumatlarını və Yaddaşlarını Konfiqurasiya aləti uçucu olmayan µPROM, sNVM və ya xarici SPI Flash yaddaş yaddaşında saxlanılan məlumatlardan istifadə edərək LSRAM, µSRAM, XCVR (qəbuledicilər) və PCIe kimi dizayn bloklarını işə salmağa imkan verir. Alətdə dizaynın başlatma ardıcıllığının spesifikasiyasını, başlatma müştərilərinin spesifikasiyasını, istifadəçi məlumat müştərilərini təyin etmək üçün aşağıdakı nişanlar var.
    – Dizaynın Başlanması nişanı
    – µPROM nişanı
    – sNVM nişanı
    - SPI Flash nişanı
    – Parça RAM nişanı
    Dizaynın başlanğıc məlumatlarını və xatirələrini konfiqurasiya etmək üçün alətdəki nişanlardan istifadə edin.MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - Məlumat və YaddaşlarKonfiqurasiyanı tamamladıqdan sonra başlanğıc məlumatlarını proqramlaşdırmaq üçün aşağıdakı addımları yerinə yetirin:
    • İnsializasiya müştəriləri yaradın
    • Bit axını yaradın və ya ixrac edin
    • Cihazı proqramlaşdırın
    Bu alətdən necə istifadə etmək barədə ətraflı məlumat üçün Libero SoC Design Flow İstifadəçi Təlimatına baxın. Alətdə müxtəlif tabları konfiqurasiya etmək və yaddaş konfiqurasiyasını təyin etmək üçün istifadə edilən Tcl əmrləri haqqında ətraflı məlumat üçün files (*.cfg), bax Tcl Əmrləri İstinad Bələdçisi.
  8. Proqramlaşdırma yaradın File bu layihədən çıxarın və ondan FPGA-nı proqramlaşdırmaq üçün istifadə edin.

Əlavə A—SampSDC Məhdudiyyətləri (Sual verin

Libero SoC CCC, OSC, Transceiver və s. kimi müəyyən IP nüvələri üçün SDC vaxt məhdudiyyətləri yaradır. SDC məhdudiyyətlərinin dizayn alətlərinə keçməsi daha az səy və daha az dizayn iterasiyası ilə vaxtlamanın bağlanması şansını artırır. Məhdudiyyətlərdə istinad edilən bütün dizayn obyektləri üçün yuxarı səviyyəli nümunədən tam iyerarxik yol verilir.
7.1 SDC Zamanlama Məhdudiyyətləri (Sual verin)
Libero IP əsas istinad layihəsində bu yüksək səviyyəli SDC məhdudiyyəti file Məhdudiyyət Menecerindən əldə edilə bilər (Dizayn axını > Məhdudiyyəti idarə et View >Timing > Məhdudiyyətlər əldə edin).
MICROCHIP DS00004807F PolarFire Ailəsi FPGA Fərdi Axın - ikon Əhəmiyyətli: Bax bu file dizaynınızda CCC, OSC, Transceiver və digər komponentlər varsa, SDC məhdudiyyətlərini təyin etmək üçün. Lazım gələrsə, dizayn iyerarxiyanıza uyğunlaşdırmaq üçün tam iyerarxik yolu dəyişdirin və ya Derive_Constraints yardım proqramından və Əlavə C-dəki addımlardan istifadə edin - SDC komponent səviyyəsindəki Məhdudiyyətləri əldə edin file.
Saxla file başqa ada və SDC-ni idxal edin file sintez alətinə, Yerləşdirmə və Marşrut Alətinə və Vaxt Doğrulamalarına, hər hansı digər SDC məhdudiyyəti kimi files.
7.1.1 Əldə edilmiş SDC File (Sual verin)
# Bu file aşağıdakı SDC mənbəyi əsasında yaradılmışdır files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** Buna hər hansı dəyişiklik file əldə edilmiş məhdudiyyətlər yenidən işlədildikdə itiriləcək. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -dövr 6.25
[ sancaqlar əldə edin { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] yaratmaq_saat -ad {REF_CLK_PAD_P} -dövr 10 [ get_portlar { REF_CLK_PAD_P } ] saat yaratmaq -ad {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/TRANSMIT_Pll_p
DIV_CLK} -dövr 8
[ sancaqlar əldə edin { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] yaradılan_saat yaradın -ad {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CCP0/CC_FIC_FIC_CCC0/
OUT0} -25-ə vur -32-yə böl -mənbə
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -faza 0
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] yaradılan_saat -ad {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_C0/ll/
OUT1} -25-ə vur -32-yə böl -mənbə
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -faza 0
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] yaradılan_saat -ad {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_C0/ll/
OUT2} -25-ə vur -32-yə böl -mənbə
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -faza 0
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] yaradılan_saat -ad {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_C0/ll/
OUT3} -25-ə vur -64-yə böl -mənbə
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -faza 0
[ sancaqlar { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] yaradılan_saat -ad {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_CL_IVDKHz/CL_DCK80/
Y_DIV} -2-yə bölmək -mənbə
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] get_set_s {false DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE_PF_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_INITIA_C0_XNUMX} PCAETNXNUMX] Əlavə B — Simulyasiya Kitabxanalarının Simulyasiya Mühitinə İdxal edilməsi (Sual verin)
Libero SoC ilə RTL simulyasiyası üçün standart simulyator ModelSim ME Pro-dur.
Defolt simulyator üçün əvvəlcədən tərtib edilmiş kitabxanalar kataloqda Libero quraşdırması ilə mövcuddur /Designer/lib/modelsimpro/precompiled/vlog for® dəstəklənən ailələr. Libero SoC həmçinin ModelSim, Questasim, VCS, Xcelium-un digər üçüncü tərəf simulyatorlarının nəşrlərini dəstəkləyir.
, Active HDL və Riviera Pro. Əvvəlcədən tərtib edilmiş müvafiq kitabxanaları buradan endirin Libero SoC v12.0 və sonrakı simulyator və onun versiyası əsasında.
Libero mühitinə bənzər, run.do file Libero xaricində simulyasiyanı həyata keçirmək üçün yaradılmalıdır.
Sadə run.do yaradın file kompilyasiya nəticələri, kitabxana xəritəsi, tərtib və simulyasiya üçün kitabxana yaratmaq əmrləri var. Əsas run.do yaratmaq üçün addımları izləyin file.

  1. vlib əmrindən istifadə edərək tərtib nəticələrini saxlamaq üçün məntiqi kitabxana yaradın vlib presynth.
  2. vmap əmrindən istifadə edərək məntiqi kitabxana adını əvvəlcədən tərtib edilmiş kitabxana qovluğuna uyğunlaşdırın .
  3. Mənbəyi tərtib edin files—dizayn tərtib etmək üçün dilə aid kompilyator əmrlərindən istifadə edin files iş kataloquna daxil edin.
    – .v/.sv üçün vlog
    – .vhd üçün vcom
  4. İstənilən yüksək səviyyəli modulun adını göstərərək, vsim əmrindən istifadə edərək simulyasiya üçün dizaynı yükləyin.
  5. Run əmrindən istifadə edərək dizaynı simulyasiya edin.
    Dizaynı yüklədikdən sonra simulyasiya vaxtı sıfıra təyin edilir və simulyasiyaya başlamaq üçün run əmrini daxil edə bilərsiniz.
    Simulyatorun transkript pəncərəsində run.do-nu yerinə yetirin file run kimi simulyasiyanı işə salın. Sample run.do file aşağıdakı kimi.

ACTELLIBNAME PolarFire səssizcə PROJECT_DIR "W:/Test/basic_test" təyin etsə
{[file mövcud presinth/_info]} { əks-səda “MƏLUMAT: Simulyasiya kitabxanası presinti mövcuddur” } başqa
{ file silmək -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -iş presinth
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -iş presinth “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb əlavə dalğa /tb/*
1000ns log /tb/* çıxışını işə salın

Əlavə C – Məhdudiyyətlər əldə edin (Sual verin)

Bu əlavədə Tcl Məhdudiyyətləri əldə etmək əmrləri təsvir olunur.
9.1 Məhdudiyyətlər Tcl Əmrlərini Alın (Sual verin)
derive_constraints yardım proqramı Libero SoC dizayn mühitindən kənar RTL və ya konfiquratordan məhdudiyyətlər əldə etməyə kömək edir. Dizaynınız üçün məhdudiyyətlər yaratmaq üçün sizə İstifadəçi HDL, Komponent HDL və Komponent Məhdudiyyətləri lazımdır. files. SDC komponenti məhdudiyyətləri files altında mövcuddur /komponent/iş/ / / komponent konfiqurasiyası və generasiyasından sonra kataloq.
Hər bir komponent məhdudiyyəti file set_component tcl əmrindən (komponentin adını müəyyən edir) və konfiqurasiyadan sonra yaranan məhdudiyyətlər siyahısından ibarətdir. Məhdudiyyətlər konfiqurasiya əsasında yaradılır və hər bir komponentə xasdır.
Example 9-1. Komponent Məhdudiyyəti File PF_CCC Core üçün
Budur keçmişampkomponent məhdudiyyətinin le file PF_CCC nüvəsi üçün:
komponent_set PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# Microchip Corp.
# Tarix: 2021 oktyabr 26 04:36:00
# PLL #0 üçün əsas saat
yaratmaq_saat -dövr 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] yaratmaq_generasiya edilmiş_saat -1-ə bölün -mənbə [ get_pins { pll_inst_0/
REF_CLK_0 } ] -faza 0 [ get_pins { pll_inst_0/OUT0 } ] Burada create_clock və create_generated_clock müvafiq olaraq konfiqurasiya əsasında yaradılan istinad və çıxış saat məhdudiyyətləridir.
9.1.1 derive_constraints Utiliti ilə işləmək (Sual verin)
Dizayndan keçən məhdudiyyətləri əldə edin və əvvəllər təqdim edilmiş SDC komponenti əsasında komponentin hər bir nümunəsi üçün yeni məhdudiyyətlər ayırın files. CCC istinad saatları üçün o, istinad saatının mənbəyini tapmaq üçün dizayn vasitəsilə geri yayılır. Əgər mənbə I/O-dursa, istinad saat məhdudiyyəti I/O-da təyin olunacaq. Bu CCC çıxışı və ya başqa bir saat mənbəyidirsə (məsample, Transceiver, osilator), digər komponentdən olan saatdan istifadə edir və intervallar uyğun gəlmirsə, xəbərdarlıq edir. Törəmə məhdudiyyətləri, RTL-də varsa, çipli osilatorlar kimi bəzi makrolar üçün də məhdudiyyətlər ayıracaq.
derive_constraints yardım proqramını yerinə yetirmək üçün siz .tcl təmin etməlisiniz file göstərilən ardıcıllıqla aşağıdakı məlumatlarla komanda xətti arqumenti.

  1. set_cihaz bölməsindəki məlumatdan istifadə edərək cihaz məlumatını daxil edin.
  2. RTL-ə gedən yolu göstərin fileread_verilog və ya read_vhdl bölməsindəki məlumatlardan istifadə etməklə.
  3. set_top_level bölməsindəki məlumatlardan istifadə edərək üst səviyyə modulunu təyin edin.
  4. SDC komponentinə gedən yolu göstərin fileread_sdc və ya read_ndc bölməsindəki məlumatlardan istifadə etməklə.
  5. icra edin filederive_constraints bölməsindəki məlumatlardan istifadə etməklə.
  6.  SDC-dən əldə edilmiş məhdudiyyətlərə gedən yolu göstərin file write_sdc və ya write_pdc və ya write_ndc bölməsindəki məlumatlardan istifadə etməklə.

Examp9-2. derive.tcl-nin icrası və məzmunu File
Aşağıdakı keçmişdirampderive_constraints yardım proqramını yerinə yetirmək üçün komanda xətti arqumenti.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl-nin məzmunu file:
# Cihaz məlumatı
set_device -ailə PolarFire -die MPF100T -sürət -1
# RTL files
read_verilog -mode system_verilog project/component/work/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -rejim system_verilog {layihə/komponent/iş/txpll0/txpll0.v}
read_verilog -mode system_verilog {layihə/komponent/iş/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {layihə/komponent/iş/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {layihə/hdl/xcvr1.vhd}
# Komponent SDC files
set_top_level {xcvr1}
read_sdc -komponent {layihə/komponent/iş/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -komponent {layihə/komponent/iş/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint əmrindən istifadə edin
əldə_məhdudiyyətlər
#SDC/PDC/NDC nəticəsi files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 cihaz_set (Sual verin)
Təsvir
Ailənin adını, ölüm adını və sürət dərəcəsini göstərin.
set_device -ailə -ölmək -sürət
Arqumentlər

Parametr Növ Təsvir
-ailə Simli Ailənin adını göstərin. Mümkün dəyərlər PolarFire®, PolarFire SoC-dir.
-ölmək Simli Ölçünün adını göstərin.
-sürət Simli Cihazın sürət dərəcəsini təyin edin. Mümkün dəyərlər STD və ya -1-dir.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0023 Tələb olunan parametr - kalıp yoxdur Kalıp seçimi məcburidir və göstərilməlidir.
ERR0005 Naməlum ölü 'MPF30' -die variantının dəyəri düzgün deyil. Seçim təsvirində mümkün dəyərlər siyahısına baxın.
ERR0023 Parametr-die dəyəri yoxdur Kalıp seçimi dəyərsiz göstərilmişdir.
ERR0023 Tələb olunan parametr—ailə yoxdur Ailə seçimi məcburidir və göstərilməlidir.
ERR0004 Naməlum ailə "PolarFire®" Ailə seçimi düzgün deyil. Seçim təsvirində mümkün dəyərlər siyahısına baxın.
……… davam etdi
Səhv kodu Xəta Mesajı Təsvir
ERR0023 Parametr-ailə dəyəri çatışmır Ailə seçimi dəyərsiz göstərilib.
ERR0023 Tələb olunan parametr—sürət yoxdur Sürət seçimi məcburidir və göstərilməlidir.
ERR0007 Naməlum sürət ' ' Sürət seçimi düzgün deyil. Seçim təsvirində mümkün dəyərlər siyahısına baxın.
ERR0023 Parametr-sürət dəyəri yoxdur Sürət seçimi dəyərsiz göstərilmişdir.

Example
set_device -ailə {PolarFire} -die {MPF300T_ES} -sürət -1
set_device -ailə SmartFusion 2 -die M2S090T -sürət -1
9.1.3 read_verilog (Sual verin)
Təsvir
Verilog oxuyun file Verific istifadə edərək.
read_verilog [-lib ] [-rejimi ]fileadı>
Arqumentlər

Parametr Növ Təsvir
-lib Simli Kitabxanaya əlavə olunacaq modulları ehtiva edən kitabxananı göstərin.
-rejim Simli Verilog standartını təyin edin. Mümkün dəyərlər verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. Dəyərlər hərflərə həssasdır. Defolt verilog_2k-dir.
filead Simli Verilog file ad.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0023 Parametr-lib dəyəri çatışmır lib seçimi dəyərsiz göstərilmişdir.
ERR0023 Parametr - rejimdə dəyər yoxdur Rejim seçimi dəyərsiz göstərilib.
ERR0015 Naməlum rejim ' ' Göstərilən veloq rejimi məlum deyil. Mümkün veriloq rejiminin siyahısına baxın—rejim seçiminin təsviri.
ERR0023 Tələb olunan parametr file adı yoxdur Veriloq yoxdur file yol verilir.
ERR0016 Verifik təhlilçisinə görə uğursuz oldu Veriloqda sintaksis xətası file. Verific-in təhlilçisi səhv mesajının üstündəki konsolda müşahidə edilə bilər.
ERR0012 set_device çağırılmır Cihaz haqqında məlumat göstərilməyib. Cihazı təsvir etmək üçün set_device əmrindən istifadə edin.

Example
read_verilog -mode system_verilog {komponent/iş/top/top.v}
read_verilog -rejim system_verilog_mfcu design.v
9.1.4 read_vhdl (Sual verin)
Təsvir
VHDL əlavə edin file VHDL siyahısına daxil edin files.
read_vhdl [-lib ] [-rejimi ]fileadı>
Arqumentlər

Parametr Növ Təsvir
-lib Məzmunun əlavə edilməli olduğu kitabxananı göstərin.
-rejim VHDL standartını təyin edir. Defolt VHDL_93-dir. Mümkün dəyərlər vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. Dəyərlər hərflərə həssasdır.
filead VHDL file ad.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0023 Parametr-lib dəyəri çatışmır lib seçimi dəyərsiz göstərilmişdir.
ERR0023 Parametr - rejimdə dəyər yoxdur Rejim seçimi dəyərsiz göstərilib.
ERR0018 Naməlum rejim ' ' Göstərilən VHDL rejimi məlum deyil. Mümkün VHDL rejiminin siyahısına baxın - rejim seçiminin təsviri.
ERR0023 Tələb olunan parametr file adı yoxdur VHDL yoxdur file yol verilir.
ERR0019 invalid_path.v qeydiyyatdan keçmək mümkün deyil file Göstərilən VHDL file mövcud deyil və ya oxumaq icazələri yoxdur.
ERR0012 set_device çağırılmır Cihaz haqqında məlumat göstərilməyib. Cihazı təsvir etmək üçün set_device əmrindən istifadə edin.

Example
read_vhdl -rejim vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 set_top_level (Sual verin)
Təsvir
RTL-də yuxarı səviyyəli modulun adını göstərin.
set_top_level [-lib ]
Arqumentlər

Parametr Növ Təsvir
-lib Simli Üst səviyyə modulu və ya obyekti axtarmaq üçün kitabxana (İstəyə görə).
ad Simli Üst səviyyə modul və ya müəssisə adı.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0023 Tələb olunan parametr üst səviyyə yoxdur Üst səviyyəli seçim məcburidir və göstərilməlidir.
ERR0023 Parametr-lib dəyəri çatışmır lib seçimi dəyərlər olmadan müəyyən edilir.
ERR0014 Üst səviyyəni tapmaq mümkün deyil kitabxanada Göstərilən yuxarı səviyyəli modul təqdim edilmiş kitabxanada müəyyən edilməyib. Bu xətanı düzəltmək üçün yuxarı modul və ya kitabxana adı düzəldilməlidir.
ERR0017 İşlətmə uğursuz oldu RTL işlənməsi prosesində xəta. Səhv mesajı konsoldan müşahidə edilə bilər.

Example
set_top_level {yuxarı}
set_top_level -lib hdl top
9.1.6 read_sdc (Sual verin)
Təsvir
SDC oxuyun file komponentlər bazasına daxil edin.
read_sdc -komponentfileadı>
Arqumentlər

Parametr Növ Təsvir
-komponent Məhdudiyyətlər əldə etdiyimiz zaman bu, read_sdc əmri üçün məcburi bayraqdır.
filead Simli SDC-yə gedən yol file.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0023 Tələb olunan parametr file adı yoxdur. Məcburi seçim file adı qeyd olunmayıb.
ERR0000 SDC file <file_path> oxunaqlı deyil. Müəyyən edilmiş SDC file oxumaq icazələri yoxdur.
ERR0001 Açmaq mümkün deyilfile_yol> file. SDC file mövcud deyil. Yol düzəldilməlidir.
ERR0008 Set_component əmri çatışmırfile_yol> file SDC-nin müəyyən edilmiş komponenti file komponenti müəyyən etmir.
Səhv kodu Xəta Mesajı Təsvir
ERR0009 <List of errors from sdc file> SDC file səhv sdc əmrlərini ehtiva edir. məsələnample,

set_multicycle_path məhdudiyyətində xəta olduqda: Read_sdc: əmrini yerinə yetirərkən xətafile_yol> file: set_multicycle_path əmrində xəta: Naməlum parametr [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (Sual verin)
Təsvir
NDC oxuyun file komponentlər bazasına daxil edin.
read_ndc -komponentfileadı>
Arqumentlər

Parametr Növ Təsvir
-komponent Məhdudiyyətlər əldə etdiyimiz zaman bu, read_ndc əmri üçün məcburi bayraqdır.
filead Simli MDM-ə gedən yol file.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0001 Açmaq mümkün deyilfile_yol> file MDM file mövcud deyil. Yol düzəldilməlidir.
ERR0023 Tələb olunan parametr—AtclParamO_ yoxdur. Məcburi seçim fileadı qeyd olunmayıb.
ERR0023 Tələb olunan parametr—komponent yoxdur. Komponent seçimi məcburidir və göstərilməlidir.
ERR0000 MDM file '<file_path>' oxumaq mümkün deyil. Göstərilən MDM file oxumaq icazələri yoxdur.

Example
read_ndc -komponent {komponent/iş/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 əldə_məhdudiyyətlər (Sual verin)
Təsvir
SDC komponentini hazırlayın files dizayn səviyyəli verilənlər bazasına daxil edin.
əldə_məhdudiyyətlər
Arqumentlər

Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0013 Üst səviyyə müəyyən edilməyib Bu o deməkdir ki, yuxarı səviyyəli modul və ya obyekt göstərilməyib. Bu zəngi düzəltmək üçün verin
derive_constraints əmrindən əvvəl set_top_level əmri.

Example
əldə_məhdudiyyətlər
9.1.9 write_sdc (Sual verin)
Təsvir
Məhdudiyyət yazır file SDC formatında.
write_sdcfileadı>
Arqumentlər

Parametr Növ Təsvir
<fileadı> Simli SDC-yə gedən yol file yaranacaq. Bu məcburi seçimdir. Əgər file varsa, onun üzərinə yazılacaq.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0003 Açmaq mümkün deyilfile yol> file. File yol düzgün deyil. Ana qovluqların olub olmadığını yoxlayın.
ERR0002 SDC file '<file path>' yazmaq mümkün deyil. Müəyyən edilmiş SDC file yazmaq icazəsi yoxdur.
ERR0023 Tələb olunan parametr file adı yoxdur. SDC file yol məcburi seçimdir və göstərilməlidir.

Example
write_sdc “derived.sdc”
9.1.10 write_pdc (Sual verin)
Təsvir
Fiziki məhdudiyyətləri yazır (yalnız Məhdudiyyətləri Alın).
write_pdcfileadı>
Arqumentlər

Parametr Növ Təsvir
<fileadı> Simli PDC-yə gedən yol file yaranacaq. Bu məcburi seçimdir. Əgər file yol varsa, onun üzərinə yazılacaq.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Səhv Mesajları Təsvir
ERR0003 Açmaq mümkün deyilfile yol> file The file yol düzgün deyil. Ana qovluqların olub olmadığını yoxlayın.
ERR0002 PDC file '<file path>' yazmaq mümkün deyil. Müəyyən edilmiş PDC file yazmaq icazəsi yoxdur.
ERR0023 Tələb olunan parametr file adı yoxdur PDC file yol məcburi seçimdir və göstərilməlidir.

Example
write_pdc "derived.pdc"
9.1.11 write_ndc (Sual verin)
Təsvir
NDC məhdudiyyətlərini a şəklində yazır file.
yaz_ndcfileadı>
Arqumentlər

Parametr Növ Təsvir
filead Simli MDM-ə gedən yol file yaranacaq. Bu məcburi seçimdir. Əgər file varsa, onun üzərinə yazılacaq.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Səhv Mesajları Təsvir
ERR0003 Açmaq mümkün deyilfile_yol> file. File yol düzgün deyil. Əsas kataloqlar mövcud deyil.
ERR0002 MDM file '<file_path>' yazmaq mümkün deyil. Göstərilən MDM file yazmaq icazəsi yoxdur.
ERR0023 Tələb olunan _AtclParamO_ parametri yoxdur. MDM file yol məcburi seçimdir və göstərilməlidir.

Example
write_ndc “derived.ndc”
9.1.12 add_include_path (Sual verin)
Təsvir
Daxil etmək üçün axtarış yolunu müəyyən edir files RTL oxuyarkən files.
yolu_daxil et
Arqumentlər

Parametr Növ Təsvir
kataloq Simli Daxil etmək üçün axtarış yolunu müəyyən edir files RTL oxuyarkən files. Bu seçim məcburidir.
Qayıdış növü Təsvir
0 Komanda uğur qazandı.
Qayıdış növü Təsvir
1 Komanda uğursuz oldu. Səhv var. Konsolda səhv mesajını müşahidə edə bilərsiniz.

Səhvlərin siyahısı

Səhv kodu Xəta Mesajı Təsvir
ERR0023 Tələb olunan parametr daxiletmə yolu yoxdur. Kataloq seçimi məcburidir və təmin edilməlidir.

Qeyd: Əgər kataloq yolu düzgün deyil, onda add_include_path xətasız ötürüləcək.
Bununla belə, read_verilog/read_vhd əmrləri Verific-in təhlilçisinə görə uğursuz olacaq.
Example
add_include_path komponenti/iş/COREABC0/COREABC0_0/rtl/vlog/core

Təftiş Tarixçəsi (Sual verin)

Təftiş tarixçəsi sənəddə həyata keçirilən dəyişiklikləri təsvir edir. Dəyişikliklər ən cari nəşrdən başlayaraq yenidən nəzərdən keçirilir.

Reviziya Tarix Təsvir
F 08/2024 Bu düzəlişdə aşağıdakı dəyişikliklər edilir:
• Yenilənmiş bölmə Əlavə B — Simulyasiya Kitabxanalarının Simulyasiya Mühitinə İdxal edilməsi.
E 08/2024 Bu düzəlişdə aşağıdakı dəyişikliklər edilir:
• Yenilənmiş bölmə Bitdiview.
• Yenilənmiş bölmə Derived SDC File.
• Yenilənmiş bölmə Əlavə B — Simulyasiya Kitabxanalarının Simulyasiya Mühitinə İdxal edilməsi.
D 02/2024 Bu sənəd v2024.1-dən dəyişdirilmədən Libero 2023.2 SoC Design Suite ilə buraxılır.
Yenilənmiş bölmə derive_constraints Utility ilə işləmək
C 08/2023 Bu sənəd v2023.2-dən dəyişdirilmədən Libero 2023.1 SoC Design Suite ilə buraxılır.
B 04/2023 Bu sənəd v2023.1-dən dəyişdirilmədən Libero 2022.3 SoC Design Suite ilə buraxılır.
A 12/2022 İlkin təftiş.

Mikroçip FPGA dəstəyi
Microchip FPGA məhsullar qrupu öz məhsullarını müxtəlif dəstək xidmətləri ilə dəstəkləyir, o cümlədən Müştəri Xidmətləri, Müştərilərə Texniki Dəstək Mərkəzi, websaytı və dünya üzrə satış ofisləri.
Müştərilərə dəstək xidməti ilə əlaqə saxlamazdan əvvəl Microchip onlayn resurslarına baş çəkmələri tövsiyə olunur, çünki onların sorğularına artıq cavab verilmişdir.
vasitəsilə Texniki Dəstək Mərkəzi ilə əlaqə saxlayın websaytında www.microchip.com/support. FPGA Cihazının Hissə nömrəsini qeyd edin, müvafiq iş kateqoriyasını seçin və dizaynı yükləyin files texniki dəstək işi yaratarkən.
Məhsulun qiymətləri, məhsul təkmilləşdirmələri, yeniləmə məlumatları, sifariş statusu və icazə kimi qeyri-texniki məhsul dəstəyi üçün Müştəri Xidməti ilə əlaqə saxlayın.

  • Şimali Amerikadan 800.262.1060 nömrəsinə zəng edin
  • Dünyanın qalan hissəsindən 650.318.4460 nömrəsinə zəng edin
  • Dünyanın istənilən yerindən faks, 650.318.8044

Mikroçip məlumatı
Mikroçip Websayt
Microchip bizim vasitəsilə onlayn dəstək verir websaytında www.microchip.com/. Bu webetmək üçün saytdan istifadə olunur files və məlumatları müştərilər üçün asanlıqla əldə etmək. Mövcud məzmunun bəzilərinə aşağıdakılar daxildir:

  • Məhsula Dəstək – Məlumat vərəqləri və səhvlər, proqram qeydləri və sampproqramlar, dizayn resursları, istifadəçi təlimatları və texniki dəstək sənədləri, ən son proqram buraxılışları və arxivləşdirilmiş proqram təminatı
  • Ümumi Texniki Dəstək – Tez-tez verilən suallar (FAQ), texniki dəstək sorğuları, onlayn müzakirə qrupları, Microchip dizayn tərəfdaşı proqramı üzvlərinin siyahısı
  • Microchip biznesi – Məhsul seçimi və sifariş təlimatları, ən son Microchip press-relizləri, seminarların və tədbirlərin siyahısı, Microchip satış ofislərinin, distribyutorların və zavod nümayəndələrinin siyahıları

Məhsul Dəyişikliyi Bildiriş Xidməti
Microchip-in məhsul dəyişikliyi bildiriş xidməti müştəriləri Microchip məhsulları ilə tanış etməyə kömək edir. Müəyyən bir məhsul ailəsi və ya maraq doğuran inkişaf aləti ilə bağlı dəyişikliklər, yeniləmələr, reviziyalar və ya səhvlər olduqda abunəçilər e-poçt bildirişi alacaqlar. Qeydiyyatdan keçmək üçün daxil olun www.microchip.com/pcn və qeydiyyat təlimatlarına əməl edin.

Müştəri dəstəyi
Microchip məhsullarının istifadəçiləri bir neçə kanal vasitəsilə yardım ala bilərlər:

  • Distribyutor və ya Nümayəndə
  • Yerli Satış Ofisi
  • Daxili Həllər Mühəndisi (ESE)
  • Texniki Dəstək

Müştərilər dəstək üçün distribyutor, nümayəndə və ya ESE ilə əlaqə saxlamalıdırlar. Müştərilərə kömək etmək üçün yerli satış ofisləri də mövcuddur. Satış ofislərinin və yerlərinin siyahısı bu sənədə daxil edilmişdir. vasitəsilə texniki dəstək mövcuddur websaytda: www.microchip.com/support
Mikroçip Cihazları Kod Qoruma Xüsusiyyəti
Microchip məhsullarında kod mühafizəsi funksiyasının aşağıdakı detallarına diqqət yetirin:

  • Microchip məhsulları xüsusi Microchip Data Sheet-də olan spesifikasiyalara cavab verir.
  • Microchip hesab edir ki, onun məhsulları ailəsi nəzərdə tutulmuş qaydada, istismar spesifikasiyası daxilində və normal şəraitdə istifadə edildikdə təhlükəsizdir.
  • Mikroçip öz əqli mülkiyyət hüquqlarını qiymətləndirir və aqressiv şəkildə qoruyur. Microchip məhsulunun kod qoruma xüsusiyyətlərini pozmaq cəhdləri qəti qadağandır və Rəqəmsal Minilliyin Müəllif Hüququ Aktını poza bilər.
  • Nə Microchip, nə də hər hansı digər yarımkeçirici istehsalçısı öz kodunun təhlükəsizliyinə zəmanət verə bilməz. Kodun qorunması o demək deyil ki, biz məhsulun “qırılmaz” olmasına zəmanət veririk. Kod mühafizəsi daim inkişaf edir. Microchip məhsullarımızın kod mühafizəsi xüsusiyyətlərini davamlı olaraq təkmilləşdirməyə sadiqdir.

Hüquqi Bildiriş
Bu nəşr və buradakı məlumatlar yalnız Microchip məhsulları ilə, o cümlədən Microchip məhsullarını layihələndirmək, sınaqdan keçirmək və tətbiqinizlə inteqrasiya etmək üçün istifadə edilə bilər. Bu məlumatın hər hansı başqa şəkildə istifadəsi bu şərtləri pozur. Cihaz tətbiqləri ilə bağlı məlumat yalnız sizin rahatlığınız üçün verilir və yeniləmələr onu əvəz edə bilər. Tətbiqinizin spesifikasiyalarınıza uyğun olmasını təmin etmək sizin məsuliyyətinizdir. Əlavə dəstək üçün yerli Microchip satış ofisinizlə əlaqə saxlayın və ya bu ünvanda əlavə dəstək əldə edin www.microchip.com/en-us/support/design-help/client-support-services.
BU MƏLUMAT "OLDUĞU KİMİ" MİKROÇİP TARAFINDAN TƏQDİM EDİLİR. MicroChip, ifadə edilməyən və ya şifahi, qanuni və ya başqa bir şəkildə, lakin müəyyən bir məqsəd və ya müəyyən bir məqsəd və zəmanət üçün uyğun olmayan və ya uyğun olmayan bir zəmanət və ya fitness üçün nəzərdə tutulmuş məlumatlarla əlaqəli olmayan və ya başqa bir şəkildə, yazılı və ya başqa bir şəkildə ifadə edilməməsi barədə heç bir nümayəndəlik və ya zəmanət vermir ONUN VƏZİYYƏTİ, KEYFİYYƏTİ VƏ YA PERFORMANSINA BAĞLI. MİKROÇİP HEÇ BİR HALDA MİKROÇİP HƏR HƏR DOĞRU, XÜSUSİ, CƏZA, TƏSADİVƏ VƏ YA NƏTİCƏLİ İTKİYƏ, ZƏRƏ, XƏRÇƏ VƏ XƏRÇƏRƏ GÖRƏ MƏSULİYYƏT OLMAYACAKTIR. MÜMKÜN VƏ YA ZƏRƏRLƏR GÖRÜNƏMƏKDİR. QANUNUN İCAZƏ VERDİĞİ TAM HƏRÇƏDƏ MİKROÇİPİN BÜTÜN İDDİALAR ÜZRƏ MƏLUMAT VƏ YA ONUN İSTİFADƏSİ İLƏ ƏLAQƏLİ ÜZRƏ ÜMUMİ MƏSULİYYƏTİ, HƏR HANSI OLARSA, HƏMİN MƏLUMATLARININ MƏBLƏQİNDƏN ÇOX OLMAYACAQ.
Mikroçip cihazlarının həyat dəstəyi və/və ya təhlükəsizlik proqramlarında istifadəsi riski tamamilə alıcının üzərinə düşür və alıcı belə istifadə nəticəsində yaranan hər hansı və bütün zərərlərdən, iddialardan, iddialardan və ya xərclərdən Microchip-i müdafiə etməyə, kompensasiya etməyə və zərərsiz saxlamağa razılaşır. Hər hansı bir Microchip əqli mülkiyyət hüququ altında başqa cür göstərilmədiyi təqdirdə heç bir lisenziya, dolayısı ilə və ya başqa şəkildə ötürülmür.
Ticarət nişanları
Microchip adı və loqosu, Microchip loqosu, Adaptec, AVR, AVR loqosu, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, maMDlu, maMDlu MediaLB, megaAVR, Microsemi, Microsemi loqosu, MOST, MOST loqosu, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 loqosu, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Loqo, Supericom, Sym , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron və XMEGA Microchip Technology Incorporated şirkətinin ABŞ və digər ölkələrdə qeydə alınmış ticarət nişanlarıdır.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus loqosu, Quiet-Wire, SmartFyn TimeCesium, TimeHub, TimePictra, TimeProvider və ZL ABŞ-da Microchip Technology Incorporated şirkətinin qeydiyyatdan keçmiş ticarət nişanlarıdır.
Qonşu Açarın Bastırılması, AKS, Rəqəmsal Yaş üçün Analoq, İstənilən Kondansatör, AnyIn, AnyOut, Genişləndirilmiş Kommutasiya, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoCompanion, CryptoAutomotive, CryptoCompanion, DICDIPds, Augmented Switching Yaş Uyğunluğu , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paraleling, IntelliMOS, Inter-Chip Connectivity, JitterBlocker, Knob-on-Link, MarginCin, maksView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Sertifikatlı loqo, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Hər şeyi bilən Kod Yaradılması, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, 7, Power MOS, PSSiure , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Synch EndurPHY , Trusted Time, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect və ZENA Microchip Technology Incorporated şirkətinin ABŞ və digər ölkələrdə ticarət nişanlarıdır.
SQTP ABŞ-da Microchip Technology Incorporated şirkətinin xidmət nişanıdır
Adaptec loqosu, Tələb Tezliyi, Silikon Saxlama Texnologiyası və Symmcom Microchip Technology Inc.-in digər ölkələrdə qeydə alınmış ticarət nişanlarıdır.
GestIC, Microchip Technology Inc.-in törəmə şirkəti olan Microchip Technology Germany II GmbH & Co. KG-nin digər ölkələrdə qeydə alınmış ticarət nişanıdır.
Burada qeyd olunan bütün digər ticarət nişanları onların müvafiq şirkətlərinin mülkiyyətidir.
2024, Microchip Technology Incorporated və onun törəmə şirkətləri. Bütün hüquqlar qorunur.
ISBN: 978-1-6683-0183-8
Keyfiyyət İdarəetmə Sistemi
Microchip Keyfiyyət İdarəetmə Sistemləri ilə bağlı məlumat üçün müraciət edin www.microchip.com/quality.
Ümumdünya Satış və Xidmət

AMERİKA  ASİYA/SAKİT OKENA  ASİYA/SAKİT OKENA  AVROPA
Korporativ Ofis
2355 West Chandler Blvd.
Chandler, AZ 85224-6199
Tel: 480-792-7200
Faks: 480-792-7277
Texniki Dəstək: www.microchip.com/support
Web Ünvan: www.microchip.com
Atlanta
Duluth, GA
Tel: 678-957-9614
Faks: 678-957-1455
Ostin, TX
Tel: 512-257-3370
Boston
Westborough, MA
Tel: 774-760-0087
Faks: 774-760-0088
Çikaqo
Itasca, IL
Tel: 630-285-0071
Faks: 630-285-0075
Dallas
Addison, TX
Tel: 972-818-7423
Faks: 972-818-2924
Detroit
Novi, MI
Tel: 248-848-4000
Hyuston, TX
Tel: 281-894-5983
İndianapolis
Noblesville, IN
Tel: 317-773-8323
Faks: 317-773-5453
Tel: 317-536-2380
Los Angeles
Mission Viejo, Kaliforniya
Tel: 949-462-9523
Faks: 949-462-9608
Tel: 951-273-7800
Raleigh, NC
Tel: 919-844-7510
Nyu York, NY
Tel: 631-435-6000
San Jose, CA
Tel: 408-735-9110
Tel: 408-436-4270
Kanada - Toronto
Tel: 905-695-1980
Faks: 905-695-2078
Avstraliya - Sidney
Tel: 61-2-9868-6733
Çin - Pekin
Tel: 86-10-8569-7000
Çin - Çenqdu
Tel: 86-28-8665-5511
Çin - Chongqing
Tel: 86-23-8980-9588
Çin - Dongguan
Tel: 86-769-8702-9880
Çin - Quançjou
Tel: 86-20-8755-8029
Çin - Hançjou
Tel: 86-571-8792-8115
Çin - Honq Konq SAR
Tel: 852-2943-5100
Çin - Nankin
Tel: 86-25-8473-2460
Çin - Qingdao
Tel: 86-532-8502-7355
Çin - Şanxay
Tel: 86-21-3326-8000
Çin - Şenyan
Tel: 86-24-2334-2829
Çin - Shenzhen
Tel: 86-755-8864-2200
Çin - Suzhou
Tel: 86-186-6233-1526
Çin - Wuhan
Tel: 86-27-5980-5300
Çin - Xian
Tel: 86-29-8833-7252
Çin - Xiamen
Tel: 86-592-2388138
Çin - Zhuhai
Tel: 86-756-3210040
Hindistan - Banqalor
Tel: 91-80-3090-4444
Hindistan - Yeni Dehli
Tel: 91-11-4160-8631
Hindistan - Pune
Tel: 91-20-4121-0141
Yaponiya - Osaka
Tel: 81-6-6152-7160
Yaponiya - Tokio
Tel: 81-3-6880- 3770
Koreya - Daegu
Tel: 82-53-744-4301
Koreya - Seul
Tel: 82-2-554-7200
Malayziya – Kuala Lumpur
Tel: 60-3-7651-7906
Malayziya - Penanq
Tel: 60-4-227-8870
Filippin - Manila
Tel: 63-2-634-9065
Sinqapur
Tel: 65-6334-8870
Tayvan - Hsin Chu
Tel: 886-3-577-8366
Tayvan - Kaohsiung
Tel: 886-7-213-7830
Tayvan - Taypey
Tel: 886-2-2508-8600
Tayland - Banqkok
Tel: 66-2-694-1351
Vyetnam - Ho Şi Min
Tel: 84-28-5448-2100
Avstriya – Wels
Tel: 43-7242-2244-39
Faks: 43-7242-2244-393
Danimarka - Kopenhagen
Tel: 45-4485-5910
Faks: 45-4485-2829
Finlandiya - Espoo
Tel: 358-9-4520-820
Fransa - Paris
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
Almaniya - Garching
Tel: 49-8931-9700
Almaniya - Haan
Tel: 49-2129-3766400
Almaniya - Heilbronn
Tel: 49-7131-72400
Almaniya - Karlsrue
Tel: 49-721-625370
Almaniya - Münhen
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
Almaniya - Rosenheim
Tel: 49-8031-354-560
İsrail - Hod Hasharon
Tel: 972-9-775-5100
İtaliya - Milan
Tel: 39-0331-742611
Faks: 39-0331-466781
İtaliya - Padova
Tel: 39-049-7625286
Hollandiya - Drunen
Tel: 31-416-690399
Faks: 31-416-690340
Norveç - Trondheim
Tel: 47-72884388
Polşa - Varşava
Tel: 48-22-3325737
Rumıniya - Buxarest
Tel: 40-21-407-87-50
İspaniya - Madrid
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
İsveç - Göteberq
Tel: 46-31-704-60-40
İsveç - Stokholm
Tel: 46-8-5090-4654
Böyük Britaniya - Wokingham
Tel: 44-118-921-5800
Faks: 44-118-921-5820

MICROCHIP - loqo

Sənədlər / Resurslar

MICROCHIP DS00004807F PolarFire Ailəsi FPGA Xüsusi Axın [pdf] İstifadəçi təlimatı
DS00004807F PolarFire Ailəsi FPGA Fərdi Axın, DS00004807F, PolarFire Ailəsi FPGA Fərdi Axın, Ailə FPGA Fərdi Axın, Fərdi Axın, Axın

İstinadlar

Şərh buraxın

E-poçt ünvanınız dərc olunmayacaq. Tələb olunan sahələr qeyd olunub *