માઇક્રોચિપ - લોગો પોલારફાયર ફેમિલી FPGA કસ્ટમ ફ્લો યુઝર ગાઇડ
લિબેરો SoC v2024.2

પરિચય (પ્રશ્ન પૂછો)

લિબેરો સિસ્ટમ-ઓન-ચિપ (SoC) સોફ્ટવેર સંપૂર્ણપણે સંકલિત ફીલ્ડ પ્રોગ્રામેબલ ગેટ એરે (FPGA) ડિઝાઇન વાતાવરણ પૂરું પાડે છે. જોકે, કેટલાક વપરાશકર્તાઓ લિબેરો SoC વાતાવરણની બહાર થર્ડ-પાર્ટી સિન્થેસિસ અને સિમ્યુલેશન ટૂલ્સનો ઉપયોગ કરવા માંગી શકે છે. લિબેરો હવે FPGA ડિઝાઇન વાતાવરણમાં સંકલિત થઈ શકે છે. સમગ્ર FPGA ડિઝાઇન પ્રવાહનું સંચાલન કરવા માટે લિબેરો SoC નો ઉપયોગ કરવાની ભલામણ કરવામાં આવે છે.
આ વપરાશકર્તા માર્ગદર્શિકા પોલારફાયર અને પોલારફાયર એસઓસી ફેમિલી ડિવાઇસ માટે કસ્ટમ ફ્લોનું વર્ણન કરે છે, જે મોટા FPGA ડિઝાઇન ફ્લોના ભાગ રૂપે લિબેરોને એકીકૃત કરવાની પ્રક્રિયા છે. સપોર્ટેડ ડિવાઇસ ફેમિલીઝ® નીચેનું કોષ્ટક તે ડિવાઇસ ફેમિલીની યાદી આપે છે જેને લિબેરો એસઓસી સપોર્ટ કરે છે. જો કે, આ માર્ગદર્શિકામાં કેટલીક માહિતી ફક્ત ઉપકરણોના ચોક્કસ પરિવાર પર જ લાગુ પડી શકે છે. આ કિસ્સામાં, આવી માહિતી સ્પષ્ટ રીતે ઓળખાય છે.
કોષ્ટક 1. Libero SoC દ્વારા સપોર્ટેડ ડિવાઇસ ફેમિલીઝ

ઉપકરણ કુટુંબ વર્ણન
PolarFire® પોલારફાયર FPGAs અસાધારણ સુરક્ષા અને વિશ્વસનીયતા સાથે મધ્યમ-શ્રેણીની ઘનતા પર ઉદ્યોગની સૌથી ઓછી શક્તિ પ્રદાન કરે છે.
પોલરફાયર એસઓસી PolarFire SoC એ પ્રથમ SoC FPGA છે જેમાં નિર્ણાયક, સુસંગત RISC-V CPU ક્લસ્ટર અને નિર્ણાયક L2 મેમરી સબસિસ્ટમ છે જે Linux® અને રીઅલ-ટાઇમ એપ્લિકેશનોને સક્ષમ કરે છે.

ઉપરview (પ્રશ્ન પૂછો)

જ્યારે Libero SoC SoC અને FPGA ડિઝાઇન વિકસાવવા માટે સંપૂર્ણપણે સંકલિત એન્ડ-ટુ-એન્ડ ડિઝાઇન વાતાવરણ પૂરું પાડે છે, ત્યારે તે Libero SoC પર્યાવરણની બહાર તૃતીય-પક્ષ સાધનો સાથે સંશ્લેષણ અને સિમ્યુલેશન ચલાવવા માટે સુગમતા પણ પૂરી પાડે છે. જો કે, કેટલાક ડિઝાઇન પગલાં Libero SoC પર્યાવરણમાં રહેવા જોઈએ.
નીચેનું કોષ્ટક FPGA ડિઝાઇન પ્રવાહના મુખ્ય પગલાંઓની યાદી આપે છે અને તે પગલાં સૂચવે છે કે જેના માટે Libero SoC નો ઉપયોગ કરવો જોઈએ.
કોષ્ટક 1-1. FPGA ડિઝાઇન ફ્લો

ડિઝાઇન ફ્લો સ્ટેપ લિબેરોનો ઉપયોગ કરવો જ જોઇએ વર્ણન
ડિઝાઇન એન્ટ્રી: HDL ના જો ઈચ્છો તો Libero® SoC ની બહાર થર્ડ-પાર્ટી HDL એડિટર/ચેકર ટૂલનો ઉપયોગ કરો.
ડિઝાઇન એન્ટ્રી: રૂપરેખાકારો હા IP કેટલોગ કોર કમ્પોનન્ટ જનરેશન માટે પ્રથમ લાઇબેરો પ્રોજેક્ટ બનાવો.
ઓટોમેટિક PDC/SDC કન્સ્ટ્રેન્ટ જનરેશન ના વ્યુત્પન્ન અવરોધોને બધા HDL ની જરૂર છે files અને derive_constraints યુટિલિટી જ્યારે Libero SoC ની બહાર કરવામાં આવે છે, જેમ કે પરિશિષ્ટ C—Derive Constraints માં વર્ણવેલ છે.
અનુકરણ ના જો ઇચ્છિત હોય તો, Libero SoC ની બહાર થર્ડ-પાર્ટી ટૂલનો ઉપયોગ કરો. ટાર્ગેટ ડિવાઇસ, ટાર્ગેટ સિમ્યુલેટર અને બેકએન્ડ અમલીકરણ માટે ઉપયોગમાં લેવાતા ટાર્ગેટ Libero વર્ઝન માટે પ્રી-કમ્પાઇલ્ડ સિમ્યુલેશન લાઇબ્રેરીઓ ડાઉનલોડ કરવાની જરૂર છે.
સંશ્લેષણ ના જો ઈચ્છો તો Libero SoC ની બહાર થર્ડ-પાર્ટી ટૂલનો ઉપયોગ કરો.
ડિઝાઇન અમલીકરણ: મર્યાદાઓનું સંચાલન કરો, નેટલિસ્ટનું સંકલન કરો, સ્થળ-અને- રૂટ (જુઓ ઉપરview) હા બેકએન્ડ અમલીકરણ માટે બીજો લાઇબેરો પ્રોજેક્ટ બનાવો.
સમય અને પાવર વેરિફિકેશન હા બીજા લિબેરો પ્રોજેક્ટમાં રહો.
ડિઝાઇન ઇનિશિયલાઇઝેશન ડેટા અને મેમરીઝને ગોઠવો હા આ ટૂલનો ઉપયોગ ઉપકરણમાં વિવિધ પ્રકારની યાદોને મેનેજ કરવા અને ડિઝાઇન ઇનિશિયલાઈઝેશન માટે કરો. બીજા પ્રોજેક્ટમાં રહો.
પ્રોગ્રામિંગ File જનરેશન હા બીજા પ્રોજેક્ટમાં રહો.

MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન મહત્વપૂર્ણ: તમે પર ઉપલબ્ધ પ્રી-કમ્પાઇલ્ડ લાઇબ્રેરીઓ ડાઉનલોડ કરવી આવશ્યક છે. પ્રી-કમ્પાઇલ્ડ સિમ્યુલેશન લાઇબ્રેરીઓ તૃતીય-પક્ષ સિમ્યુલેટરનો ઉપયોગ કરવા માટે પૃષ્ઠ.
શુદ્ધ ફેબ્રિક FPGA ફ્લોમાં, HDL અથવા સ્કીમેટિક એન્ટ્રીનો ઉપયોગ કરીને તમારી ડિઝાઇન દાખલ કરો અને તેને સીધી પાસ કરો.
સંશ્લેષણ સાધનોમાં. પ્રવાહ હજુ પણ સમર્થિત છે. પોલારફાયર અને પોલારફાયર SoC FPGA માં નોંધપાત્ર
Libero SoC IP માંથી રૂપરેખાંકન કોરો (SgCores) નો ઉપયોગ જરૂરી માલિકીના હાર્ડ IP બ્લોક્સ
સૂચિ. SoC કાર્યક્ષમતા ધરાવતા કોઈપણ બ્લોક્સ માટે ખાસ હેન્ડલિંગ જરૂરી છે:

  • પોલરફાયર
    - પીએફ_યુપ્રોમ
    – પીએફ_સિસ્ટમ_સેવાઓ
    – પીએફ_સીસીસી
    - પીએફ સીએલકે ડીઆઈવી
    - પીએફ_ક્રાયપ્ટો
    - પીએફ_ડીઆરઆઈ
    – PF_INIT_MONITOR
    - પીએફ_એનજીએમયુએક્સ
    - પીએફ_ઓએસસી
    - રેમ (TPSRAM, DPSRAM, URAM)
    – પીએફ_એસઆરએએમ_એએચબીએલ_એક્સી
    – પીએફ_એક્સસીવીઆર_ઇઆરએમ
    – પીએફ_એક્સસીવીઆર_આરઇએફ_સીએલકે
    – પીએફ_ટીએક્સ_પીએલએલ
    - પીએફ_પીસીઆઈઈ
    – પીએફ_આઈઓ
    – પીએફ_આઈઓડી_સીડીઆર
    – પીએફ_આઈઓડી_સીડીઆર_સીસીસી
    – પીએફ_આઈઓડી_જેનેરિક_આરએક્સ
    – પીએફ_આઈઓડી_જેનેરિક_ટીએક્સ
    – પીએફ_આઈઓડી_જેનેરિક_ટીએક્સ_સીસીસી
    – પીએફ_આરજીએમઆઈઆઈ_ટીઓ_જીએમઆઈઆઈ
    – પીએફ_આઈઓડી_ઓક્ટલ_ડીડીઆર
    - પીએફ_ડીડીઆર3
    - પીએફ_ડીડીઆર4
    – પીએફ_એલપીડીડીઆર3
    – પીએફ_ક્યુડીઆર
    – પીએફ_કોરેસમાર્ટબર્ટ
    - પીએફ_ટીAMPER
    – PF_TVS, અને તેથી વધુ.

ઉપરોક્ત સૂચિબદ્ધ SgCores ઉપરાંત, Libero SoC કેટલોગમાં PolarFire અને PolarFire SoC ઉપકરણ પરિવારો માટે ઘણા DirectCore સોફ્ટ IP ઉપલબ્ધ છે જે FPGA ફેબ્રિક સંસાધનોનો ઉપયોગ કરે છે.
ડિઝાઇન એન્ટ્રી માટે, જો તમે પહેલાના કોઈપણ ઘટકોનો ઉપયોગ કરો છો, તો તમારે ડિઝાઇન એન્ટ્રી (કમ્પોનન્ટ કન્ફિગરેશન) ના ભાગ માટે Libero SoC નો ઉપયોગ કરવો આવશ્યક છે, પરંતુ તમે Libero ની બહાર તમારી બાકીની ડિઝાઇન એન્ટ્રી (HDL એન્ટ્રી, અને તેથી વધુ) ચાલુ રાખી શકો છો. Libero ની બહાર FPGA ડિઝાઇન ફ્લોનું સંચાલન કરવા માટે, આ માર્ગદર્શિકાના બાકીના ભાગમાં આપેલા પગલાં અનુસરો.
૧.૧ ઘટક જીવન ચક્ર (પ્રશ્ન પૂછો)
નીચેના પગલાંઓ SoC ઘટકના જીવન ચક્રનું વર્ણન કરે છે અને ડેટાને કેવી રીતે હેન્ડલ કરવો તેની સૂચનાઓ પ્રદાન કરે છે.

  1. Libero SoC માં તેના રૂપરેખાકારનો ઉપયોગ કરીને ઘટક જનરેટ કરો. આ નીચેના પ્રકારના ડેટા જનરેટ કરે છે:
    - એચડીએલ files
    - મેમરી files
    - ઉત્તેજના અને સિમ્યુલેશન files
    - ઘટક SDC file
  2. HDL માટે files, બાહ્ય ડિઝાઇન એન્ટ્રી ટૂલ/પ્રક્રિયાનો ઉપયોગ કરીને બાકીના HDL ડિઝાઇનમાં તેમને ઇન્સ્ટન્ટિએટ કરો અને એકીકૃત કરો.
  3. મેમરી સપ્લાય કરો files અને ઉત્તેજના fileતમારા સિમ્યુલેશન ટૂલ પર.
  4. સપ્લાય કમ્પોનન્ટ SDC file કન્સ્ટ્રેંટ જનરેશન માટે ડેરિવ કન્સ્ટ્રેંટ ટૂલ. વધુ વિગતો માટે પરિશિષ્ટ C—ડેરિવ કન્સ્ટ્રેંટ જુઓ.
  5. તમારે બીજો લિબેરો પ્રોજેક્ટ બનાવવો પડશે, જ્યાં તમે પોસ્ટ-સિન્થેસિસ નેટલિસ્ટ અને તમારા ઘટક મેટાડેટાને આયાત કરો છો, આમ તમે જે જનરેટ કરો છો અને તમે જે પ્રોગ્રામ કરો છો તે વચ્ચેનું જોડાણ પૂર્ણ કરો છો.

૧.૨ લિબેરો એસઓસી પ્રોજેક્ટ બનાવટ (પ્રશ્ન પૂછો)
કેટલાક ડિઝાઇન પગલાં Libero SoC પર્યાવરણની અંદર ચલાવવા આવશ્યક છે (કોષ્ટક 1-1). આ પગલાં ચલાવવા માટે, તમારે બે Libero SoC પ્રોજેક્ટ બનાવવા આવશ્યક છે. પ્રથમ પ્રોજેક્ટનો ઉપયોગ ડિઝાઇન ઘટક ગોઠવણી અને જનરેશન માટે થાય છે, અને બીજો પ્રોજેક્ટ ટોચના સ્તરની ડિઝાઇનના ભૌતિક અમલીકરણ માટે છે.
૧.૩ કસ્ટમ ફ્લો (પ્રશ્ન પૂછો)
નીચેની આકૃતિ બતાવે છે:

  • Libero SoC ને Libero SoC પર્યાવરણની બહાર થર્ડ-પાર્ટી સિન્થેસિસ અને સિમ્યુલેશન ટૂલ્સ સાથે મોટા FPGA ડિઝાઇન ફ્લોના ભાગ રૂપે સંકલિત કરી શકાય છે.
  • ડિઝાઇન બનાવવાથી લઈને સિલાઈથી લઈને ઉપકરણના પ્રોગ્રામિંગ સુધી, પ્રવાહમાં વિવિધ પગલાં સામેલ છે.
  • દરેક ડિઝાઇન ફ્લો સ્ટેપ પર થનારા ડેટા એક્સચેન્જ (ઇનપુટ અને આઉટપુટ).

MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - કસ્ટમ ફ્લો ઓવરviewMICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન 1 ટીપ:

  1. SNVM.cfg, UPROM.cfg
  2. *.મેમ file સિમ્યુલેશન માટે જનરેશન: pa4rtupromgen.exe UPROM.cfg ને ઇનપુટ તરીકે લે છે અને UPROM.mem જનરેટ કરે છે.

કસ્ટમ ફ્લોમાં નીચેના પગલાં છે:

  1. ઘટક રૂપરેખાંકન અને પેઢી:
    a. પ્રથમ લાઇબેરો પ્રોજેક્ટ બનાવો (રેફરન્સ પ્રોજેક્ટ તરીકે સેવા આપવા માટે).
    b. કેટલોગમાંથી કોર પસંદ કરો. કોરને ઘટક નામ આપવા માટે તેના પર બે વાર ક્લિક કરો અને ઘટકને ગોઠવો.
    આ આપમેળે ઘટક ડેટા નિકાસ કરે છે અને files. એક કમ્પોનન્ટ મેનિફેસ્ટ પણ જનરેટ થાય છે. વિગતો માટે કમ્પોનન્ટ મેનિફેસ્ટ જુઓ. વધુ વિગતો માટે, કમ્પોનન્ટ કન્ફિગરેશન જુઓ.
  2. લિબેરોની બહાર તમારી RTL ડિઝાઇન પૂર્ણ કરો:
    a. ઘટક HDL ને ઇન્સ્ટન્ટિએટ કરો files.
    b. HDL નું સ્થાન files કમ્પોનન્ટ મેનિફેસ્ટમાં સૂચિબદ્ધ છે files.
  3. ઘટકો માટે SDC અવરોધો જનરેટ કરો. સમય અવરોધ જનરેટ કરવા માટે Derive Constraints ઉપયોગિતાનો ઉપયોગ કરો. file(SDC) આના પર આધારિત:
    a. ઘટક HDL files
    b. ઘટક SDC files
    c. વપરાશકર્તા HDL files
    વધુ વિગતો માટે, પરિશિષ્ટ C—ડેરિવ અવરોધો જુઓ.
  4. સિન્થેસિસ ટૂલ/સિમ્યુલેશન ટૂલ:
    a. HDL મેળવો files, ઉત્તેજના files, અને કમ્પોનન્ટ મેનિફેસ્ટમાં નોંધ્યા મુજબ ચોક્કસ સ્થાનો પરથી ઘટક ડેટા.
    b. Libero SoC ની બહારના તૃતીય-પક્ષ સાધનોનો ઉપયોગ કરીને ડિઝાઇનનું સંશ્લેષણ અને અનુકરણ કરો.
  5. તમારો બીજો (અમલીકરણ) લિબેરો પ્રોજેક્ટ બનાવો.
  6. ડિઝાઇન ફ્લો ટૂલ ચેઇનમાંથી સિન્થેસિસ દૂર કરો (પ્રોજેક્ટ > પ્રોજેક્ટ સેટિંગ્સ > ડિઝાઇન ફ્લો > ​​સિન્થેસિસ સક્ષમ કરો ચેક બોક્સ સાફ કરો).
  7. ડિઝાઇન સ્રોત આયાત કરો files (સિન્થેસિસ ટૂલમાંથી પોસ્ટ-સિન્થેસિસ *.vm નેટલિસ્ટ):
    - પોસ્ટ-સિન્થેસિસ આયાત કરો *.vm નેટલિસ્ટ (File>આયાત>સિન્થેસાઇઝ્ડ વેરિલોગ નેટલિસ્ટ (VM)).
    – ઘટક મેટાડેટા *.cfg fileuPROM અને/અથવા sNVM માટે s.
  8. કોઈપણ Libero SoC બ્લોક ઘટક આયાત કરો files. બ્લોક files *.cxz માં હોવું જોઈએ file ફોર્મેટ
    બ્લોક કેવી રીતે બનાવવો તે અંગે વધુ માહિતી માટે, જુઓ પોલારફાયર બ્લોક ફ્લો વપરાશકર્તા માર્ગદર્શિકા.
  9. ડિઝાઇન મર્યાદાઓ આયાત કરો:
    - આયાત I/O અવરોધ files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > I/Oએટ્રિબ્યુટ્સ > ઇમ્પોર્ટ).
    - ફ્લોરપ્લાનિંગ આયાત કરો *.pdc files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > ફ્લોર પ્લાનર > ઇમ્પોર્ટ).
    - આયાત *.sdc સમય મર્યાદા files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > ટાઇમિંગ >ઇમ્પોર્ટ). SDC ઇમ્પોર્ટ કરો file ડેરિવ કન્સ્ટ્રેંટ ટૂલ દ્વારા જનરેટ થયેલ.
    - આયાત *.ndc અવરોધ files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > નેટલિસ્ટએટ્રિબ્યુટ્સ > ઇમ્પોર્ટ), જો કોઈ હોય તો.
  10. અવરોધ file અને ટૂલ એસોસિએશન
    – કન્સ્ટ્રેંટ મેનેજરમાં, *.pdc ને જોડો fileસ્થાન અને રૂટ માટે s, *.sdc fileસ્થળ અને રૂટ અને સમય ચકાસણી માટે s, અને *.ndc files ને કમ્પાઇલ નેટલિસ્ટ માટે.
  11. સંપૂર્ણ ડિઝાઇન અમલીકરણ
    - સ્થળ અને રૂટ, સમય અને શક્તિ ચકાસો, ડિઝાઇન પ્રારંભિકરણ ડેટા અને યાદોને ગોઠવો, અને પ્રોગ્રામિંગ file પેઢી
  12. ડિઝાઇન માન્ય કરો
    - Libero SoC ડિઝાઇન સ્યુટ સાથે પૂરા પાડવામાં આવેલ ડિઝાઇન ટૂલ્સનો ઉપયોગ કરીને FPGA પર ડિઝાઇનને માન્ય કરો અને જરૂર મુજબ ડીબગ કરો.

ઘટક રૂપરેખાંકન (પ્રશ્ન પૂછો)

કસ્ટમ ફ્લોમાં પહેલું પગલું એ છે કે તમારા ઘટકોને Libero સંદર્ભ પ્રોજેક્ટ (કોષ્ટક 1-1 માં પ્રથમ Libero પ્રોજેક્ટ પણ કહેવાય છે) નો ઉપયોગ કરીને ગોઠવો. અનુગામી પગલાંઓમાં, તમે આ સંદર્ભ પ્રોજેક્ટમાંથી ડેટાનો ઉપયોગ કરો છો.
જો તમે ઉપર સૂચિબદ્ધ કોઈપણ ઘટકોનો ઉપયોગ કરી રહ્યા છો, તો ઓવર હેઠળview તમારી ડિઝાઇનમાં, આ વિભાગમાં વર્ણવેલ પગલાંઓ અનુસરો.
જો તમે ઉપરોક્ત કોઈપણ ઘટકોનો ઉપયોગ ન કરી રહ્યા હો, તો તમે તમારા RTL ને Libero ની બહાર લખી શકો છો અને તેને સીધા તમારા Synthesis અને Simulation ટૂલ્સમાં આયાત કરી શકો છો. પછી તમે પોસ્ટ-સિન્થેસિસ વિભાગમાં આગળ વધી શકો છો અને ફક્ત તમારા પોસ્ટ-સિન્થેસિસ *.vm નેટલલિસ્ટને તમારા અંતિમ Libero અમલીકરણ પ્રોજેક્ટ (જેને કોષ્ટક 1-1 માં બીજો Libero પ્રોજેક્ટ પણ કહેવાય છે) માં આયાત કરી શકો છો.
૨.૧ લાઇબેરોનો ઉપયોગ કરીને ઘટક રૂપરેખાંકન (પ્રશ્ન પૂછો)
પહેલાની યાદીમાંથી ઉપયોગમાં લેવાતા ઘટકો પસંદ કર્યા પછી, નીચેના પગલાંઓ અનુસરો:

  1. એક નવો લિબેરો પ્રોજેક્ટ બનાવો (કોર કન્ફિગરેશન અને જનરેશન): તમે જે ડિવાઇસ અને ફેમિલીને તમારી અંતિમ ડિઝાઇન માટે લક્ષ્ય બનાવશો તે પસંદ કરો.
  2. કસ્ટમ ફ્લોમાં ઉલ્લેખિત એક અથવા વધુ કોરોનો ઉપયોગ કરો.
    a. સ્માર્ટડિઝાઇન બનાવો અને ઇચ્છિત કોરને ગોઠવો અને તેને સ્માર્ટડિઝાઇન ઘટકમાં ઇન્સ્ટન્ટિયેટ કરો.
    b. બધી પિનને ટોચના સ્તર પર પ્રમોટ કરો.
    c. સ્માર્ટડિઝાઇન જનરેટ કરો.
    d. સિમ્યુલેટર શરૂ કરવા માટે સિમ્યુલેટ ટૂલ (પ્રી-સિન્થેસિસ અથવા પોસ્ટ-સિન્થેસિસ અથવા પોસ્ટ-લેઆઉટ વિકલ્પોમાંથી કોઈપણ) પર ડબલ ક્લિક કરો. સિમ્યુલેટર શરૂ થયા પછી તમે તેમાંથી બહાર નીકળી શકો છો. આ પગલું સિમ્યુલેશન જનરેટ કરે છે. fileતમારા પ્રોજેક્ટ માટે જરૂરી છે.

MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન 1 ટિપ: તમે જો તમે Libero ની બહાર તમારી ડિઝાઇનનું અનુકરણ કરવા માંગતા હો, તો આ પગલું ભરવું આવશ્યક છે.
વધુ માહિતી માટે, તમારી ડિઝાઇનનું અનુકરણ જુઓ.
e. તમારા પ્રોજેક્ટને સાચવો—આ તમારો સંદર્ભ પ્રોજેક્ટ છે.
૨.૨ ઘટક મેનિફેસ્ટ (પ્રશ્ન પૂછો)
જ્યારે તમે તમારા ઘટકો જનરેટ કરો છો, ત્યારે એક સમૂહ fileદરેક ઘટક માટે s જનરેટ થાય છે. ઘટક મેનિફેસ્ટ રિપોર્ટમાં સમૂહની વિગતો આપવામાં આવી છે fileદરેક અનુગામી પગલામાં (સિન્થેસિસ, સિમ્યુલેશન, ફર્મવેર જનરેશન, અને તેથી વધુ) જનરેટ અને ઉપયોગમાં લેવાયેલા s. આ રિપોર્ટ તમને બધા જનરેટ કરેલા s ના સ્થાનો આપે છે. fileકસ્ટમ ફ્લો સાથે આગળ વધવા માટે s ની જરૂર છે. તમે રિપોર્ટ્સ ક્ષેત્રમાં ઘટક મેનિફેસ્ટને ઍક્સેસ કરી શકો છો: રિપોર્ટ્સ ટેબ ખોલવા માટે ડિઝાઇન > રિપોર્ટ્સ પર ક્લિક કરો. રિપોર્ટ્સ ટેબમાં, તમને manifest.txt નો સમૂહ દેખાય છે. files (ઓવરview), તમે બનાવેલ દરેક ઘટક માટે એક.
ટિપ: કમ્પોનન્ટ મેનિફેસ્ટ જોવા માટે તમારે કમ્પોનન્ટ અથવા મોડ્યુલને '"રુટ" તરીકે સેટ કરવું આવશ્યક છે. file રિપોર્ટ્સ ટેબમાં સામગ્રીઓ.
વૈકલ્પિક રીતે, તમે વ્યક્તિગત મેનિફેસ્ટ રિપોર્ટ ઍક્સેસ કરી શકો છો fileદરેક મુખ્ય ઘટક માટે અથવા સ્માર્ટડિઝાઇન ઘટક માટે /ઘટક/કાર્ય/ / / _manifest.txt અથવા /ઘટક/કાર્ય/ / _manifest.txt. તમે મેનિફેસ્ટ પણ ઍક્સેસ કરી શકો છો file લાઇબેરોમાં નવા ઘટકો ટેબમાંથી જનરેટ થયેલ દરેક ઘટકની સામગ્રી, જ્યાં file પ્રોજેક્ટ ડિરેક્ટરીના સંદર્ભમાં સ્થાનોનો ઉલ્લેખ કરવામાં આવ્યો છે.MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - Libero રિપોર્ટ્સ ટેબનીચેના કમ્પોનન્ટ મેનિફેસ્ટ રિપોર્ટ્સ પર ધ્યાન કેન્દ્રિત કરો:

  • જો તમે સ્માર્ટડિઝાઇનમાં કોરો દાખલ કર્યા હોય, તો વાંચો file _મેનિફેસ્ટ.txt.
  • જો તમે કોરો માટે ઘટકો બનાવ્યા હોય, તો વાંચો _મેનિફેસ્ટ.txt.

તમારે તમારી ડિઝાઇન પર લાગુ પડતા બધા કમ્પોનન્ટ મેનિફેસ્ટ રિપોર્ટ્સનો ઉપયોગ કરવો આવશ્યક છે. ઉદાહરણ તરીકેampહા, જો તમારા પ્રોજેક્ટમાં એક અથવા વધુ મુખ્ય ઘટકો સાથે સ્માર્ટડિઝાઇન છે અને તમે તે બધાનો ઉપયોગ તમારી અંતિમ ડિઝાઇનમાં કરવાનો ઇરાદો ધરાવો છો, તો તમારે પસંદ કરવું આવશ્યક છે fileતમારા ડિઝાઇન ફ્લોમાં ઉપયોગ માટે તે બધા ઘટકોના કમ્પોનન્ટ મેનિફેસ્ટ રિપોર્ટ્સમાં સૂચિબદ્ધ s.
૨.૩ અર્થઘટન મેનિફેસ્ટ Fileઓ (પ્રશ્ન પૂછો)
જ્યારે તમે ઘટક મેનિફેસ્ટ ખોલો છો file, તમે રસ્તાઓ જુઓ છો fileતમારા Libero પ્રોજેક્ટમાં s અને ડિઝાઇન ફ્લોમાં તેનો ઉપયોગ ક્યાં કરવો તે અંગેના નિર્દેશો. તમે નીચેના પ્રકારો જોઈ શકો છો fileમેનિફેસ્ટમાં file:

  • HDL સ્ત્રોત fileબધા સિન્થેસિસ અને સિમ્યુલેશન ટૂલ્સ માટે s
  • ઉત્તેજના fileબધા સિમ્યુલેશન ટૂલ્સ માટે
  • અવરોધ files

પોલારફાયર કોર ઘટકનું ઘટક મેનિફેસ્ટ નીચે મુજબ છે.MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - કમ્પોનન્ટ મેનિફેસ્ટદરેક પ્રકારના file તમારા ડિઝાઇન પ્રવાહમાં ડાઉનસ્ટ્રીમ જરૂરી છે. નીચેના વિભાગો એકીકરણનું વર્ણન કરે છે fileમેનિફેસ્ટમાંથી તમારા ડિઝાઇન ફ્લોમાં s.

અવરોધ જનરેશન (પ્રશ્ન પૂછો)

રૂપરેખાંકન અને જનરેશન કરતી વખતે, SDC/PDC/NDC અવરોધ લખવા/જનરેટ કરવાની ખાતરી કરો. fileડિઝાઇન માટે તેમને સિન્થેસિસ, પ્લેસ-એન્ડ-રૂટ અને વેરિફાઇ ટાઇમિંગ ટૂલ્સમાં પાસ કરવા માટે.
લિબેરો પર્યાવરણની બહાર ડેરિવ કન્સ્ટ્રેઇન્ટ્સ યુટિલિટીનો ઉપયોગ કરીને મેન્યુઅલી લખવાને બદલે કન્સ્ટ્રેઇન્ટ્સ જનરેટ કરો. લિબેરો પર્યાવરણની બહાર ડેરિવ કન્સ્ટ્રેઇન્ટ યુટિલિટીનો ઉપયોગ કરવા માટે, તમારે:

  • સપ્લાય યુઝર HDL, કમ્પોનન્ટ HDL, અને કમ્પોનન્ટ SDC કન્સ્ટ્રેંટ files
  • ટોચના સ્તરના મોડ્યુલનો ઉલ્લેખ કરો
  • વ્યુત્પન્ન અવરોધ ક્યાં ઉત્પન્ન કરવો તે સ્થાન સ્પષ્ટ કરો. files

SDC ઘટક મર્યાદાઓ નીચે ઉપલબ્ધ છે /ઘટક/કાર્ય/ / / ઘટક રૂપરેખાંકન અને જનરેશન પછી ડિરેક્ટરી.
તમારી ડિઝાઇન માટે અવરોધો કેવી રીતે ઉત્પન્ન કરવા તે અંગે વધુ વિગતો માટે, પરિશિષ્ટ C—ડેરિવ અવરોધો જુઓ.

તમારી ડિઝાઇનનું સંશ્લેષણ (પ્રશ્ન પૂછો)

કસ્ટમ ફ્લોની મુખ્ય વિશેષતાઓમાંની એક એ છે કે તે તમને તૃતીય-પક્ષ સંશ્લેષણનો ઉપયોગ કરવાની મંજૂરી આપે છે
Libero ની બહારનું ટૂલ. કસ્ટમ ફ્લો Synopsys SynplifyPro ના ઉપયોગને સપોર્ટ કરે છે. તમારા સંશ્લેષણ માટે
પ્રોજેક્ટ માટે, નીચેની પ્રક્રિયાનો ઉપયોગ કરો:

  1. તમારા સિન્થેસિસ ટૂલમાં એક નવો પ્રોજેક્ટ બનાવો, જે તમે બનાવેલા Libero પ્રોજેક્ટ જેવા જ ડિવાઇસ ફેમિલી, ડાઇ અને પેકેજને લક્ષ્ય બનાવશે.
    a. તમારું પોતાનું RTL આયાત કરો fileજેમ તમે સામાન્ય રીતે કરો છો.
    b. સિન્થેસિસ આઉટપુટને સ્ટ્રક્ચરલ વેરિલોગ (.vm) પર સેટ કરો.
    ટીપ: માળખાકીય પોલારફાયરમાં વેરિલોગ (.vm) એકમાત્ર સપોર્ટેડ સિન્થેસિસ આઉટપુટ ફોર્મેટ છે.
  2. આયાત ઘટક HDL fileતમારા સિન્થેસિસ પ્રોજેક્ટમાં s:
    a. દરેક ઘટક મેનિફેસ્ટ રિપોર્ટ માટે: દરેક માટે file HDL સ્ત્રોત હેઠળ fileબધા સિન્થેસિસ અને સિમ્યુલેશન ટૂલ્સ માટે, આયાત કરો file તમારા સિન્થેસિસ પ્રોજેક્ટમાં.
  3. આયાત કરો file polarfire_syn_comps.v (જો Synopsys Synplify વાપરી રહ્યા છો)
    તમારા સિન્થેસિસ પ્રોજેક્ટમાં ઇન્સ્ટોલેશન સ્થાન>/ડેટા/aPA5M.
  4. અગાઉ જનરેટ કરેલ SDC આયાત કરો file ડેરિવ્ડ કન્સ્ટ્રેંટ ટૂલ દ્વારા (પરિશિષ્ટ જુઓ)
    એ - એસamp(સેન્ટેસિસ ટૂલમાં le SDC અવરોધો). આ અવરોધ file ઓછા પ્રયત્નો અને ઓછા ડિઝાઇન પુનરાવર્તનો સાથે સમય બંધ કરવા માટે સિન્થેસિસ ટૂલને પ્રતિબંધિત કરે છે.

MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન મહત્વપૂર્ણ: 

  • જો તમે સમાન *.sdc નો ઉપયોગ કરવાની યોજના ઘડી રહ્યા છો file ડિઝાઇન અમલીકરણ તબક્કા દરમિયાન પ્લેસ-એન્ડ-રૂટને મર્યાદિત કરવા માટે, તમારે આ *.sdc ને સિન્થેસિસ પ્રોજેક્ટમાં આયાત કરવું આવશ્યક છે. આ ખાતરી કરવા માટે છે કે ડિઝાઇન પ્રક્રિયાના અમલીકરણ તબક્કા દરમિયાન સિન્થેસિસેટેડ નેટલિસ્ટમાં કોઈ ડિઝાઇન ઑબ્જેક્ટ નામ અને પ્લેસ-એન્ડ-રૂટ અવરોધો મેળ ખાતા નથી. જો તમે આ *.sdc શામેલ ન કરો તો file સિન્થેસિસ સ્ટેપમાં, સિન્થેસિસમાંથી જનરેટ થયેલ નેટલિસ્ટ ડિઝાઇન ઑબ્જેક્ટ નામ મેળ ખાતી ન હોવાને કારણે પ્લેસ અને રૂટ સ્ટેપમાં નિષ્ફળ થઈ શકે છે.
    a. સિન્થેસિસ ટૂલમાં નેટલિસ્ટ એટ્રિબ્યુટ્સ *.ndc, જો કોઈ હોય તો, આયાત કરો.
    b. સિન્થેસિસ ચલાવો.
  • તમારા સિન્થેસિસ ટૂલ આઉટપુટના સ્થાનમાં *.vm નેટલિસ્ટ છે file સિન્થેસિસ પછી જનરેટ થયેલ. ડિઝાઇન પ્રક્રિયા ચાલુ રાખવા માટે તમારે નેટલિસ્ટને લિબેરો અમલીકરણ પ્રોજેક્ટમાં આયાત કરવી આવશ્યક છે.

તમારી ડિઝાઇનનું અનુકરણ (પ્રશ્ન પૂછો)

લિબેરોની બહાર તમારી ડિઝાઇનનું અનુકરણ કરવા માટે (એટલે ​​કે, તમારા પોતાના સિમ્યુલેશન વાતાવરણ અને સિમ્યુલેટરનો ઉપયોગ કરીને), નીચેના પગલાંઓ અનુસરો:

  1. ડિઝાઇન Files:
    a. પ્રી-સિન્થેસિસ સિમ્યુલેશન:
    • તમારા સિમ્યુલેશન પ્રોજેક્ટમાં તમારા RTL ને આયાત કરો.
    • દરેક ઘટક મેનિફેસ્ટ રિપોર્ટ માટે.
    - દરેક આયાત કરો file HDL સ્ત્રોત હેઠળ fileતમારા સિમ્યુલેશન પ્રોજેક્ટમાં બધા સિન્થેસિસ અને સિમ્યુલેશન ટૂલ્સ માટે s.
    • આનું સંકલન કરો fileતમારા સિમ્યુલેટરની સૂચનાઓ અનુસાર.
    b. સંશ્લેષણ પછીનું સિમ્યુલેશન:
    • તમારા સિમ્યુલેશન પ્રોજેક્ટમાં તમારા પોસ્ટ-સિન્થેસિસ *.vm નેટલિસ્ટ (સિન્થેસાઇઝિંગ યોર ડિઝાઇનમાં જનરેટ થયેલ) ને આયાત કરો અને તેને કમ્પાઇલ કરો.
    c. પોસ્ટ-લેઆઉટ સિમ્યુલેશન:
    • સૌપ્રથમ, તમારી ડિઝાઇનનું અમલીકરણ પૂર્ણ કરો (તમારી ડિઝાઇનનું અમલીકરણ જુઓ). ખાતરી કરો કે તમારો અંતિમ લિબેરો પ્રોજેક્ટ લેઆઉટ પછીની સ્થિતિમાં છે.
    • જનરેટ બેકએનોટેડ પર ડબલ-ક્લિક કરો Fileલિબેરો ડિઝાઇન ફ્લો વિન્ડોમાં s. તે બે જનરેટ કરે છે files:
    /ડિઝાઇનર/ / _ba.v/vhd /ડિઝાઇનર/
    / _ba.sdf
    • આ બંનેને આયાત કરો fileતમારા સિમ્યુલેશન ટૂલમાં.
  2. ઉત્તેજના અને રૂપરેખાંકન files:
    a. દરેક ઘટક મેનિફેસ્ટ રિપોર્ટ માટે:
    • બધાની નકલ કરો fileઉત્તેજના હેઠળ Fileતમારા સિમ્યુલેશન પ્રોજેક્ટની રૂટ ડિરેક્ટરીમાં બધા સિમ્યુલેશન ટૂલ્સ વિભાગો માટે s.
    b. ખાતરી કરો કે કોઈપણ Tcl fileપહેલાની યાદીઓમાં (પગલું 2.a માં) s સિમ્યુલેશન શરૂ થાય તે પહેલાં, પહેલા એક્ઝિક્યુટ કરવામાં આવે છે.
    c. UPROM.mem: જો તમે તમારી ડિઝાઇનમાં UPROM કોરનો ઉપયોગ એક અથવા વધુ ડેટા સ્ટોરેજ ક્લાયંટ માટે "યુઝ કન્ટેન્ટ ફોર સિમ્યુલેશન" વિકલ્પ સાથે કરો છો જે તમે સિમ્યુલેટ કરવા માંગો છો, તો તમારે UPROM.mem જનરેટ કરવા માટે એક્ઝિક્યુટેબલ pa4rtupromgen (વિન્ડોઝ પર pa4rtupromgen.exe) નો ઉપયોગ કરવો આવશ્યક છે. file. pa4rtupromgen એક્ઝેક્યુટેબલ UPROM.cfg લે છે file Tcl સ્ક્રિપ્ટ દ્વારા ઇનપુટ તરીકે file અને UPROM.mem આઉટપુટ કરે છે file સિમ્યુલેશન માટે જરૂરી. આ UPROM.mem file સિમ્યુલેશન રન કરતા પહેલા સિમ્યુલેશન ફોલ્ડરમાં કોપી કરવું આવશ્યક છે. એક ભૂતપૂર્વamppa4rtupromgen એક્ઝેક્યુટેબલ ઉપયોગ દર્શાવતો લે નીચેના પગલાંઓમાં પ્રદાન કરવામાં આવ્યો છે. UPROM.cfg file ડિરેક્ટરીમાં ઉપલબ્ધ છે /ઘટક/કાર્ય/ / Libero પ્રોજેક્ટમાં જેનો ઉપયોગ તમે UPROM ઘટક જનરેટ કરવા માટે કર્યો હતો.
    d. snvm.mem: જો તમે તમારી ડિઝાઇનમાં સિસ્ટમ સર્વિસીસ કોરનો ઉપયોગ કરો છો અને કોરમાં sNVM ટેબને "યુઝ કન્ટેન્ટ ફોર સિમ્યુલેશન" વિકલ્પ સાથે ગોઠવેલ છે જે તમે એક અથવા વધુ ક્લાયન્ટ્સ માટે સક્ષમ કરેલ છે જેને તમે સિમ્યુલેટ કરવા માંગો છો, તો snvm.mem file આપમેળે જનરેટ થાય છે
    ડિરેક્ટરી /ઘટક/કાર્ય/ / લાઇબેરો પ્રોજેક્ટમાં જેનો ઉપયોગ તમે સિસ્ટમ સર્વિસીસ ઘટક જનરેટ કરવા માટે કર્યો હતો. આ snvm.mem file સિમ્યુલેશન રન કરતા પહેલા સિમ્યુલેશન ફોલ્ડરમાં કોપી કરવું આવશ્યક છે.
  3. વર્કિંગ ફોલ્ડર હેઠળ એક વર્કિંગ ફોલ્ડર અને સિમ્યુલેશન નામનું સબ-ફોલ્ડર બનાવો.
    pa4rtupromgen એક્ઝેક્યુટેબલ વર્કિંગ ફોલ્ડરમાં સિમ્યુલેશન સબ ફોલ્ડરની હાજરીની અપેક્ષા રાખે છે અને *.tcl સ્ક્રિપ્ટ સિમ્યુલેશન સબ ફોલ્ડરમાં મૂકવામાં આવે છે.
  4. UPROM.cfg ની નકલ કરો file કમ્પોનન્ટ જનરેશન માટે બનાવેલા પહેલા લિબેરો પ્રોજેક્ટમાંથી વર્કિંગ ફોલ્ડરમાં.
  5. નીચેના આદેશોને *.tcl સ્ક્રિપ્ટમાં પેસ્ટ કરો અને તેને સ્ટેપ 3 માં બનાવેલા સિમ્યુલેશન ફોલ્ડરમાં મૂકો.
    SampURPOM.mem જનરેટ કરવા માટે PolarFire અને PolarFire Soc ફેમિલી ઉપકરણો માટે le *.tcl file
    UPROM.cfg માંથી
    સેટ_ડિવાઇસ -ફેમ -મૃત્યુ -પીકેજી
    સેટ_ઇનપુટ_સીએફજી -પાથ
    સેટ_સિમ_મેમ -પાથFile/UPROM.mem>
    gen_sim -use_init ખોટું
    ડાઇ અને પેકેજ માટે યોગ્ય આંતરિક નામ વાપરવા માટે, *.prjx જુઓ. file પ્રથમ લિબેરો પ્રોજેક્ટ (ઘટક ઉત્પાદન માટે વપરાય છે).
    દલીલ use_init ખોટી પર સેટ હોવી આવશ્યક છે.
    આઉટપુટનો પાથ સ્પષ્ટ કરવા માટે set_sim_mem આદેશનો ઉપયોગ કરો. file UPROM.mem એટલે કે
    સ્ક્રિપ્ટના અમલીકરણ પર જનરેટ થયેલ file pa4rtupromgen એક્ઝેક્યુટેબલ સાથે.
  6. કમાન્ડ પ્રોમ્પ્ટ અથવા સાયગ્વિન ટર્મિનલ પર, સ્ટેપ 3 માં બનાવેલ વર્કિંગ ડિરેક્ટરી પર જાઓ.
    pa4rtupromgen આદેશને –script વિકલ્પ સાથે ચલાવો અને તેને પાછલા પગલામાં બનાવેલ *.tcl સ્ક્રિપ્ટ પાસ કરો.
    વિન્ડોઝ માટે
    /ડિઝાઇનર/બિન/pa4rtupromgen.exe \
    -સ્ક્રિપ્ટ./સિમ્યુલેશન/ .ટીસીએલ
    Linux માટે:
    /bin/pa4rtupromgen
    -સ્ક્રિપ્ટ./સિમ્યુલેશન/ .ટીસીએલ
  7. pa4rtupromgen એક્ઝેક્યુટેબલના સફળ અમલ પછી, તપાસો કે UPROM.mem file *.tcl સ્ક્રિપ્ટમાં set_sim_mem આદેશમાં ઉલ્લેખિત સ્થાન પર જનરેટ થાય છે.
  8. sNVM નું અનુકરણ કરવા માટે, snvm.mem ની નકલ કરો file તમારા પહેલા Libero પ્રોજેક્ટ (ઘટક રૂપરેખાંકન માટે વપરાય છે) માંથી તમારા સિમ્યુલેશન પ્રોજેક્ટના ટોચના સ્તરના સિમ્યુલેશન ફોલ્ડરમાં સિમ્યુલેશન ચલાવવા માટે (Libero SoC ની બહાર). UPROM સામગ્રીઓનું અનુકરણ કરવા માટે, જનરેટ કરેલ UPROM.mem ની નકલ કરો. file સિમ્યુલેશન ચલાવવા માટે તમારા સિમ્યુલેશન પ્રોજેક્ટના ટોચના સ્તરના સિમ્યુલેશન ફોલ્ડરમાં (લિબેરો એસઓસીની બહાર).

MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન મહત્વપૂર્ણ: પ્રતિ SoC ઘટકોની કાર્યક્ષમતાનું અનુકરણ કરો, પ્રી-કમ્પાઇલ્ડ પોલારફાયર સિમ્યુલેશન લાઇબ્રેરીઓ ડાઉનલોડ કરો અને અહીં વર્ણવ્યા મુજબ તમારા સિમ્યુલેશન વાતાવરણમાં તેમને આયાત કરો. વધુ વિગતો માટે, પરિશિષ્ટ B—સિમ્યુલેશન પર્યાવરણમાં સિમ્યુલેશન લાઇબ્રેરીઓનું આયાત જુઓ.

તમારી ડિઝાઇનનો અમલ (પ્રશ્ન પૂછો)

તમારા પર્યાવરણમાં સિન્થેસિસ અને પોસ્ટ-સિન્થેસિસ સિમ્યુલેશન પૂર્ણ કર્યા પછી, તમારે તમારી ડિઝાઇનને ભૌતિક રીતે અમલમાં મૂકવા, સમય ચલાવવા અને પાવર વિશ્લેષણ કરવા અને તમારા પ્રોગ્રામિંગને જનરેટ કરવા માટે ફરીથી લિબેરોનો ઉપયોગ કરવો આવશ્યક છે. file.

  1. ડિઝાઇનના ભૌતિક અમલીકરણ અને લેઆઉટ માટે એક નવો લિબેરો પ્રોજેક્ટ બનાવો. ખાતરી કરો કે તમે કમ્પોનન્ટ કન્ફિગરેશનમાં બનાવેલા સંદર્ભ પ્રોજેક્ટમાં જે ઉપકરણ બનાવ્યું છે તે જ ઉપકરણને લક્ષ્ય બનાવો.
  2. પ્રોજેક્ટ બનાવ્યા પછી, ડિઝાઇન ફ્લો વિન્ડોમાં ટૂલ ચેઇનમાંથી સિન્થેસિસ દૂર કરો (પ્રોજેક્ટ > પ્રોજેક્ટ સેટિંગ્સ > ડિઝાઇન ફ્લો > ​​સક્ષમ સિન્થેસિસને અનચેક કરો).
  3.  તમારા પોસ્ટ-સિન્થેસિસ *.vm ને આયાત કરો file આ પ્રોજેક્ટમાં, (File > આયાત > સિન્થેસાઇઝ્ડ વેરિલોગ નેટલિસ્ટ (VM)).
    MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન 1 ટિપ: ભલામણ કરવામાં આવે છે કે તમે આની લિંક બનાવો file, જેથી જો તમે તમારી ડિઝાઇનને ફરીથી સંશ્લેષણ કરો છો, તો લિબેરો હંમેશા નવીનતમ પોસ્ટ-સિન્થેસિસ નેટલિસ્ટનો ઉપયોગ કરે છે.
    a. ડિઝાઇન હાયરાર્કી વિન્ડોમાં, રુટ મોડ્યુલનું નામ નોંધો.MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - ડિઝાઇન હાયરાર્કી
  4. લિબેરો પ્રોજેક્ટમાં કન્સ્ટ્રેઇન્ટ્સ આયાત કરો. *.pdc/*.sdc/*.ndc કન્સ્ટ્રેઇન્ટ્સ આયાત કરવા માટે કન્સ્ટ્રેઇન્ટ મેનેજરનો ઉપયોગ કરો.
    a. આયાત I/O *.pdc અવરોધ files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > I/O એટ્રિબ્યુટ્સ >ઇમ્પોર્ટ).
    b. આયાત ફ્લોરપ્લાનિંગ *.pdc અવરોધ files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > ફ્લોર પ્લાનર >ઇમ્પોર્ટ).
    c. આયાત *.sdc સમય મર્યાદા files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > ટાઇમિંગ > ઇમ્પોર્ટ). જો તમારી ડિઝાઇનમાં ઓવરમાં સૂચિબદ્ધ કોઈપણ કોર છેview, SDC આયાત કરવાની ખાતરી કરો file ડેરિવેટ કન્સ્ટ્રેંટ ટૂલ દ્વારા જનરેટ થયેલ.
    d. આયાત *.ndc અવરોધ files (કન્સ્ટ્રેઇન્ટ્સ મેનેજર > નેટલિસ્ટ એટ્રિબ્યુટ્સ > ઇમ્પોર્ટ).
  5. સહયોગી મર્યાદાઓ Fileડિઝાઇન સાધનો માટે.
    a. કન્સ્ટ્રેઇન્ટ મેનેજર ખોલો (મેનેજ કન્સ્ટ્રેઇન્ટ્સ > મેનેજ કન્સ્ટ્રેઇન્ટ્સ ખોલો) View).
    અવરોધની બાજુમાં સ્થળ-અને-માર્ગ અને સમય ચકાસણી ચેક બોક્સને ચેક કરો. file પ્રતિબંધ સ્થાપિત કરવા માટે file અને ટૂલ એસોસિએશન. *.pdc અવરોધને Place-andRoute અને *.sdc ને Place-and-Route અને સમય ચકાસણી બંને સાથે સાંકળો. *.ndc ને સાંકળો. file નેટલિસ્ટ કમ્પાઇલ કરવા માટે.
    MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન 1 ટીપ: જો આ *.sdc અવરોધ સાથે સ્થળ અને રૂટ નિષ્ફળ જાય છે. file, પછી આ જ *.sdc આયાત કરો file સંશ્લેષણ અને ફરીથી સંશ્લેષણ ચલાવવા માટે.
  6. લેઆઉટ સ્ટેપ પૂર્ણ કરવા માટે કમ્પાઇલ નેટલિસ્ટ અને પછી પ્લેસ અને રૂટ પર ક્લિક કરો.
  7. કન્ફિગર ડિઝાઇન ઇનિશિયલાઇઝેશન ડેટા અને મેમરીઝ ટૂલ તમને નોનવોલેટાઇલ µPROM, sNVM, અથવા બાહ્ય SPI ફ્લેશ સ્ટોરેજ મેમરીમાં સંગ્રહિત ડેટાનો ઉપયોગ કરીને LSRAM, µSRAM, XCVR (ટ્રાન્સસીવર્સ) અને PCIe જેવા ડિઝાઇન બ્લોક્સને ઇનિશિયલાઇઝ કરવાની મંજૂરી આપે છે. આ ટૂલમાં ડિઝાઇન ઇનિશિયલાઇઝેશન સિક્વન્સના સ્પષ્ટીકરણ, ઇનિશિયલાઇઝેશન ક્લાયન્ટ્સનું સ્પષ્ટીકરણ, યુઝર ડેટા ક્લાયન્ટ્સ વ્યાખ્યાયિત કરવા માટે નીચેના ટેબ્સ છે.
    - ડિઝાઇન ઇનિશિયલાઇઝેશન ટેબ
    – µPROM ટેબ
    - sNVM ટેબ
    - SPI ફ્લેશ ટેબ
    - ફેબ્રિક રેમ્સ ટેબ
    ડિઝાઇન પ્રારંભિક ડેટા અને યાદોને ગોઠવવા માટે ટૂલમાં ટેબ્સનો ઉપયોગ કરો.MICROCHIP DS00004807F પોલારફાયર ફેમિલી FPGA કસ્ટમ ફ્લો - ડેટા અને મેમરીઝરૂપરેખાંકન પૂર્ણ કર્યા પછી, પ્રારંભિક ડેટાને પ્રોગ્રામ કરવા માટે નીચેના પગલાંઓ અનુસરો:
    • પ્રારંભિક ક્લાયન્ટ્સ જનરેટ કરો
    • બીટસ્ટ્રીમ જનરેટ અથવા નિકાસ કરો
    • ઉપકરણને પ્રોગ્રામ કરો
    આ ટૂલનો ઉપયોગ કેવી રીતે કરવો તેની વિગતવાર માહિતી માટે, Libero SoC ડિઝાઇન ફ્લો યુઝર ગાઇડ જુઓ. ટૂલમાં વિવિધ ટેબ્સને ગોઠવવા અને મેમરી ગોઠવણીનો ઉલ્લેખ કરવા માટે ઉપયોગમાં લેવાતા Tcl આદેશો વિશે વધુ માહિતી માટે. files (*.cfg), જુઓ Tcl આદેશો સંદર્ભ માર્ગદર્શિકા.
  8. પ્રોગ્રામિંગ જનરેટ કરો File આ પ્રોજેક્ટમાંથી અને તમારા FPGA ને પ્રોગ્રામ કરવા માટે તેનો ઉપયોગ કરો.

પરિશિષ્ટ A—Sample SDC મર્યાદાઓ (પ્રશ્ન પૂછો

Libero SoC ચોક્કસ IP કોરો, જેમ કે CCC, OSC, ટ્રાન્સસીવર વગેરે માટે SDC સમય મર્યાદાઓ ઉત્પન્ન કરે છે. SDC મર્યાદાઓને ડિઝાઇન ટૂલ્સમાં પસાર કરવાથી ઓછા પ્રયત્નો અને ઓછા ડિઝાઇન પુનરાવર્તનો સાથે સમય બંધ થવાની શક્યતા વધે છે. મર્યાદાઓમાં સંદર્ભિત તમામ ડિઝાઇન ઑબ્જેક્ટ્સ માટે ટોચના-સ્તરના ઉદાહરણમાંથી સંપૂર્ણ હાયરાર્કિકલ પાથ આપવામાં આવે છે.
૭.૧ SDC સમય મર્યાદાઓ (પ્રશ્ન પૂછો)
લિબેરો આઇપી કોર સંદર્ભ પ્રોજેક્ટમાં, આ ઉચ્ચ-સ્તરીય SDC અવરોધ file કન્સ્ટ્રેંટ મેનેજર (ડિઝાઇન ફ્લો > ​​ઓપન મેનેજમેન્ટ કન્સ્ટ્રેંટ) માંથી ઉપલબ્ધ છે. View >સમય > મર્યાદાઓ મેળવો).
MICROCHIP DS00004807F PolarFire ફેમિલી FPGA કસ્ટમ ફ્લો - આઇકન મહત્વપૂર્ણ: જુઓ આ file જો તમારી ડિઝાઇનમાં CCC, OSC, ટ્રાન્સસીવર અને અન્ય ઘટકો હોય તો SDC મર્યાદાઓ સેટ કરવા માટે. જો જરૂરી હોય તો, તમારા ડિઝાઇન વંશવેલો સાથે મેળ ખાવા માટે સંપૂર્ણ વંશવેલો પાથમાં ફેરફાર કરો અથવા Derive_Constraints ઉપયોગિતા અને પરિશિષ્ટ C માં પગલાંઓનો ઉપયોગ કરો—કમ્પોનન્ટ સ્તર SDC પર Derive Constraints file.
સાચવો file અલગ નામ પર અને SDC આયાત કરો file સિન્થેસિસ ટૂલ, પ્લેસ-એન્ડ-રૂટ ટૂલ અને ટાઇમિંગ વેરિફિકેશન્સ, કોઈપણ અન્ય SDC અવરોધની જેમ files.
૭.૧.૧ મેળવેલ SDC File (પ્રશ્ન પૂછો)
# આ file નીચેના SDC સ્ત્રોતના આધારે જનરેટ કરવામાં આવ્યું હતું files:
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
ટ્રાન્સમિટ_પીએલએલ/ટ્રાન્સમિટ_પીએલએલ_0/ટ્રાન્સમિટ_પીએલએલ_ટ્રાન્સમિટ_પીએલએલ_0_પીએફ_ટીએક્સ_પીએલએલ.એસડીસી
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
ICICLE_MSS/ICICLE_MSS.sdc
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /ડ્રાઇવ/આઇસીકલ_કિટ_રેફ_ડેસ/આઇસીકલ-કિટ-રેફરન્સ-ડિઝાઇન-માસ્ટર/એમપીએફએસ_આઇસીસીએલ/કમ્પોનન્ટ/વર્ક/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /ડ્રાઇવ/aPA5M/કોરો/અવરોધ/osc_rc160mhz.sdc
# *** આમાં કોઈપણ ફેરફાર file જો વ્યુત્પન્ન અવરોધો ફરીથી ચલાવવામાં આવે તો તે ખોવાઈ જશે. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} - સમયગાળો 6.25
[ પિન મેળવો { ઘડિયાળો અને ફરીથી સેટ કરો_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] ઘડિયાળ બનાવો - નામ {REF_CLK_PAD_P} - સમયગાળો 10 [ ગેટ_પોર્ટ્સ {REF_CLK_PAD_P } ] ઘડિયાળ બનાવો - નામ {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - સમયગાળો 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25 દ્વારા_ગુણાકાર -32 દ્વારા_ભાગ કરો -સ્ત્રોત
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -તબક્કો 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -25 દ્વારા_ગુણાકાર -32 દ્વારા_ભાગ કરો -સ્ત્રોત
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -તબક્કો 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -25 દ્વારા_ગુણાકાર -32 દ્વારા_ભાગ કરો -સ્ત્રોત
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -તબક્કો 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -25 દ્વારા_ગુણાકાર -64 દ્વારા_ભાગ કરો -સ્ત્રોત
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -તબક્કો 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 દ્વારા_વિભાજીત કરો -સ્ત્રોત
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] - [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/ ને
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] - [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/ ને
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ] પરિશિષ્ટ B—સિમ્યુલેશન પર્યાવરણમાં સિમ્યુલેશન લાઇબ્રેરીઓની આયાત (પ્રશ્ન પૂછો)
Libero SoC સાથે RTL સિમ્યુલેશન માટે ડિફોલ્ટ સિમ્યુલેટર ModelSim ME Pro છે.
ડિફોલ્ટ સિમ્યુલેટર માટે પ્રી-કમ્પાઇલ્ડ લાઇબ્રેરીઓ ડિરેક્ટરીમાં લિબેરો ઇન્સ્ટોલેશન સાથે ઉપલબ્ધ છે. /Designer/lib/modelsimpro/precompiled/vlog for® સપોર્ટેડ ફેમિલીઝ. Libero SoC મોડેલસિમ, ક્વેસ્ટાસિમ, VCS, Xcelium ના અન્ય થર્ડ-પાર્ટી સિમ્યુલેટર આવૃત્તિઓને પણ સપોર્ટ કરે છે.
, સક્રિય HDL, અને રિવેરા પ્રો. સંબંધિત પૂર્વ-સંકલિત લાઇબ્રેરીઓ ડાઉનલોડ કરો Libero SoC v12.0 અને પછીનું સિમ્યુલેટર અને તેના સંસ્કરણ પર આધારિત.
Libero પર્યાવરણ જેવું જ, run.do file લિબેરોની બહાર સિમ્યુલેશન ચલાવવા માટે બનાવવું આવશ્યક છે.
એક સરળ run.do બનાવો file જેમાં કમ્પાઇલેશન પરિણામો, લાઇબ્રેરી મેપિંગ, કમ્પાઇલેશન અને સિમ્યુલેશન માટે લાઇબ્રેરી સ્થાપિત કરવા માટેના આદેશો છે. મૂળભૂત run.do બનાવવા માટે પગલાં અનુસરો. file.

  1. vlib આદેશ vlib presynth નો ઉપયોગ કરીને સંકલન પરિણામો સંગ્રહિત કરવા માટે લોજિકલ લાઇબ્રેરી બનાવો.
  2. vmap આદેશ vmap નો ઉપયોગ કરીને લોજિકલ લાઇબ્રેરી નામને પ્રી-કમ્પાઇલ કરેલી લાઇબ્રેરી ડિરેક્ટરીમાં મેપ કરો. .
  3. સ્રોત કમ્પાઇલ કરો files—ડિઝાઇન કમ્પાઇલ કરવા માટે ભાષા-વિશિષ્ટ કમ્પાઇલર આદેશોનો ઉપયોગ કરો files ને વર્કિંગ ડિરેક્ટરીમાં દાખલ કરો.
    – .v/.sv માટે વ્લોગ
    – .vhd માટે vcom
  4. કોઈપણ ઉચ્ચ-સ્તરીય મોડ્યુલનું નામ સ્પષ્ટ કરીને vsim આદેશનો ઉપયોગ કરીને સિમ્યુલેશન માટે ડિઝાઇન લોડ કરો.
  5. રન કમાન્ડનો ઉપયોગ કરીને ડિઝાઇનનું અનુકરણ કરો.
    ડિઝાઇન લોડ કર્યા પછી, સિમ્યુલેશન સમય શૂન્ય પર સેટ થાય છે, અને તમે સિમ્યુલેશન શરૂ કરવા માટે રન કમાન્ડ દાખલ કરી શકો છો.
    સિમ્યુલેટર ટ્રાન્સક્રિપ્ટ વિન્ડોમાં, run.do ચલાવો file જેમ રન.ડો સિમ્યુલેશન ચલાવો. એસample run.do file નીચે પ્રમાણે.

શાંતિથી ACTELLIBNAME PolarFire સેટ કરો જો PROJECT_DIR “W:/Test/basic_test” સેટ કરો
{[file exists presynth/_info]} { echo “INFO: સિમ્યુલેશન લાઇબ્રેરી presynth exists” } બીજું
{ file ડિલીટ -ફોર્સ પ્રેસિન્થ vlib પ્રેસિન્થ } vmap પ્રેસિન્થ પ્રેસિન્થ vmap પોલરફાયર
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” વ્લોગ “+incdir+${PROJECT_DIR}/stimulus” -sv -વર્ક પ્રેસિન્થ “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb તરંગ ઉમેરો /tb/*
1000ns log /tb/* એક્ઝિટ ચલાવો

પરિશિષ્ટ C—ડેરિવ મર્યાદાઓ (પ્રશ્ન પૂછો)

આ પરિશિષ્ટ ડેરિવ કન્સ્ટ્રેઇન્ટ્સ Tcl આદેશોનું વર્ણન કરે છે.
૯.૧ નિયંત્રણો Tcl આદેશો મેળવો (પ્રશ્ન પૂછો)
derive_constraints યુટિલિટી તમને Libero SoC ડિઝાઇન વાતાવરણની બહાર RTL અથવા કન્ફિગ્યુરેટરમાંથી અવરોધો મેળવવામાં મદદ કરે છે. તમારી ડિઝાઇન માટે અવરોધો ઉત્પન્ન કરવા માટે, તમારે User HDL, Component HDL અને Component Constraints ની જરૂર પડશે. files. SDC ઘટક મર્યાદાઓ files હેઠળ ઉપલબ્ધ છે /ઘટક/કાર્ય/ / / ઘટક રૂપરેખાંકન અને જનરેશન પછી ડિરેક્ટરી.
દરેક ઘટક મર્યાદા file set_component tcl આદેશ (ઘટકનું નામ સ્પષ્ટ કરે છે) અને રૂપરેખાંકન પછી ઉત્પન્ન થતી અવરોધોની સૂચિનો સમાવેશ થાય છે. અવરોધો રૂપરેખાંકનના આધારે ઉત્પન્ન થાય છે અને દરેક ઘટક માટે વિશિષ્ટ છે.
Exampલે 9-1. ઘટક મર્યાદા File PF_CCC કોર માટે
અહીં એક ભૂતપૂર્વ છેampઘટક મર્યાદાનો અભાવ file PF_CCC કોર માટે:
ઘટક સેટ કરો PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# માઇક્રોચિપ કોર્પ.
# તારીખ: ૨૦૨૧-ઓક્ટોબર-૨૬ ૦૪:૩૬:૦૦
# PLL #0 માટે બેઝ ક્લોક
બનાવો_ઘડિયાળ -પીરિયડ 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] બનાવો_જનરેટેડ_ઘડિયાળ -ભાગ_દ્વારા_1 -સ્ત્રોત [ get_pins { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { plll_inst_0/OUT0 } ] અહીં, create_clock અને create_generated_clock અનુક્રમે સંદર્ભ અને આઉટપુટ ઘડિયાળ અવરોધો છે, જે રૂપરેખાંકનના આધારે જનરેટ થાય છે.
૯.૧.૧ derive_constraints યુટિલિટી સાથે કામ કરવું (પ્રશ્ન પૂછો)
ડિઝાઇનમાંથી પસાર થતી મર્યાદાઓ મેળવો અને અગાઉ પૂરા પાડવામાં આવેલ ઘટક SDC ના આધારે ઘટકના દરેક ઉદાહરણ માટે નવા અવરોધો ફાળવો. files. CCC સંદર્ભ ઘડિયાળો માટે, તે સંદર્ભ ઘડિયાળનો સ્ત્રોત શોધવા માટે ડિઝાઇન દ્વારા પાછા ફેલાય છે. જો સ્રોત I/O હોય, તો સંદર્ભ ઘડિયાળની મર્યાદા I/O પર સેટ કરવામાં આવશે. જો તે CCC આઉટપુટ અથવા અન્ય ઘડિયાળ સ્રોત હોય (દા.ત.ample, ટ્રાન્સસીવર, ઓસિલેટર), તે બીજા ઘટકમાંથી ઘડિયાળનો ઉપયોગ કરે છે અને જો અંતરાલો મેળ ખાતા નથી તો ચેતવણીની જાણ કરે છે. જો તમારી પાસે તમારા RTL માં ઓન-ચિપ ઓસિલેટર હોય તો ડેરિવ કન્સ્ટ્રેઇન્ટ્સ કેટલાક મેક્રો માટે પણ કન્સ્ટ્રેઇન્ટ્સ ફાળવશે.
derive_constraints યુટિલિટી ચલાવવા માટે, તમારે .tcl સપ્લાય કરવું આવશ્યક છે file ઉલ્લેખિત ક્રમમાં નીચેની માહિતી સાથે કમાન્ડ-લાઇન દલીલ.

  1. વિભાગ set_device માં માહિતીનો ઉપયોગ કરીને ઉપકરણ માહિતીનો ઉલ્લેખ કરો.
  2. RTL નો માર્ગ સ્પષ્ટ કરો files વિભાગ read_verilog અથવા read_vhdl માંની માહિતીનો ઉપયોગ કરે છે.
  3. set_top_level વિભાગમાં આપેલી માહિતીનો ઉપયોગ કરીને ટોચના સ્તરનું મોડ્યુલ સેટ કરો.
  4. ઘટક SDC નો માર્ગ સ્પષ્ટ કરો fileread_sdc અથવા read_ndc વિભાગમાંની માહિતીનો ઉપયોગ કરીને.
  5. ચલાવો fileવિભાગ derive_constraints માં માહિતીનો ઉપયોગ કરીને.
  6.  SDC વ્યુત્પન્ન અવરોધોનો માર્ગ સ્પષ્ટ કરો file write_sdc અથવા write_pdc અથવા write_ndc વિભાગમાંની માહિતીનો ઉપયોગ કરીને.

Example 9-2. derive.tcl ના અમલીકરણ અને સમાવિષ્ટો File
નીચેના એક ભૂતપૂર્વ છેampderive_constraints ઉપયોગિતાને ચલાવવા માટે કમાન્ડ-લાઇન દલીલ.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl ની સામગ્રી file:
# ઉપકરણ માહિતી
set_device -ફેમિલી PolarFire -die MPF100T -speed -1
# આરટીએલ files
રીડ_વેરીલોગ -મોડ ​​સિસ્ટમ_વેરીલોગ પ્રોજેક્ટ/કમ્પોનન્ટ/વર્ક/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v દ્વારા વધુ
રીડ_વેરીલોગ -મોડ ​​સિસ્ટમ_વેરીલોગ {પ્રોજેક્ટ/કમ્પોનન્ટ/વર્ક/txpll0/txpll0.v}
રીડ_વેરીલોગ -મોડ ​​સિસ્ટમ_વેરીલોગ {પ્રોજેક્ટ/કમ્પોનન્ટ/વર્ક/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
રીડ_વેરીલોગ -મોડ ​​સિસ્ટમ_વેરીલોગ {પ્રોજેક્ટ/કમ્પોનન્ટ/વર્ક/xcvr0/xcvr0.v}
રીડ_વીએચડીએલ -મોડ ​​વીએચડીએલ_2008 {પ્રોજેક્ટ/એચડીએલ/એક્સસીવીઆર1.વીએચડી}
#ઘટક SDC files
સેટ_ટોપ_લેવલ {xcvr1}
read_sdc - ઘટક {પ્રોજેક્ટ/ઘટક/કાર્ય/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc - ઘટક {પ્રોજેક્ટ/ઘટક/કાર્ય/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint આદેશનો ઉપયોગ કરો
ડેરિવ_કન્સ્ટ્રેન્ટ્સ
#SDC/PDC/NDC પરિણામ files
write_sdc {પ્રોજેક્ટ/કંસ્ટ્રેઇન્ટ/xcvr1_derived_constraints.sdc}
write_pdc {પ્રોજેક્ટ/કંસ્ટ્રેઇન્ટ/fp/xcvr1_derived_constraints.pdc}
૯.૧.૨ સેટ_ડિવાઇસ (પ્રશ્ન પૂછો)
વર્ણન
કુટુંબનું નામ, મૃત્યુનું નામ અને ગતિ ગ્રેડનો ઉલ્લેખ કરો.
સેટ_ડિવાઇસ - ફેમિલી -મૃત્યુ -ઝડપ
દલીલો

પરિમાણ પ્રકાર વર્ણન
-પરિવાર શબ્દમાળા પરિવારનું નામ સ્પષ્ટ કરો. શક્ય મૂલ્યો PolarFire®, PolarFire SoC છે.
-મૃત્યુ શબ્દમાળા ડાઇનું નામ સ્પષ્ટ કરો.
-ઝડપ શબ્દમાળા ઉપકરણ ગતિ ગ્રેડ સ્પષ્ટ કરો. શક્ય મૂલ્યો STD અથવા -1 છે.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 જરૂરી પરિમાણ—ડાય ખૂટે છે ડાઇ વિકલ્પ ફરજિયાત છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.
ERR0005 'MPF30' નામનો અજાણ્યો દિવસ -die વિકલ્પનું મૂલ્ય સાચું નથી. વિકલ્પના વર્ણનમાં મૂલ્યોની શક્ય સૂચિ જુઓ.
ERR0023 પરિમાણ—ડાયમાં મૂલ્ય ખૂટે છે ડાઇ વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.
ERR0023 જરૂરી પરિમાણ—કુટુંબ ખૂટે છે કૌટુંબિક વિકલ્પ ફરજિયાત છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.
ERR0004 અજાણ્યું કુટુંબ 'PolarFire®' ફેમિલી વિકલ્પ સાચો નથી. વિકલ્પના વર્ણનમાં મૂલ્યોની સંભવિત સૂચિ જુઓ.
………… ચાલુ રાખ્યું
ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 પરિમાણ—કુટુંબમાં મૂલ્ય ખૂટે છે કુટુંબ વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.
ERR0023 જરૂરી પરિમાણ—ગતિ ખૂટે છે ગતિ વિકલ્પ ફરજિયાત છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.
ERR0007 અજાણી ગતિ ' ' ઝડપ વિકલ્પ સાચો નથી. વિકલ્પના વર્ણનમાં મૂલ્યોની શક્ય સૂચિ જુઓ.
ERR0023 પરિમાણ—ઝડપમાં મૂલ્ય ખૂટે છે ગતિ વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.

Example
સેટ_ડિવાઇસ -ફેમિલી {પોલરફાયર} -ડાય {MPF300T_ES} -સ્પીડ -1
set_device -ફેમિલી સ્માર્ટફ્યુઝન 2 -ડાય M2S090T -સ્પીડ -1
૯.૧.૩ રીડ_વેરિલોગ (પ્રશ્ન પૂછો)
વર્ણન
વેરિલોગ વાંચો file વેરિફિકનો ઉપયોગ કરીને.
રીડ_વેરિલોગ [-લિબ ] [-મોડ ]fileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
-લિબ શબ્દમાળા લાઇબ્રેરીમાં ઉમેરવા માટેના મોડ્યુલો ધરાવતી લાઇબ્રેરીનો ઉલ્લેખ કરો.
-મોડ શબ્દમાળા Verilog માનક સ્પષ્ટ કરો. શક્ય મૂલ્યો verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu છે. મૂલ્યો કેસ અસંવેદનશીલ છે. ડિફોલ્ટ verilog_2k છે.
fileનામ શબ્દમાળા વેરીલોગ file નામ
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 પરિમાણ—lib માં મૂલ્ય ખૂટે છે lib વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.
ERR0023 પરિમાણ—મોડમાં મૂલ્ય ખૂટે છે મોડ વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.
ERR0015 અજાણ્યો મોડ ' ' ઉલ્લેખિત વેરિલોગ મોડ અજાણ છે. શક્ય વેરિલોગ મોડ ઇન—મોડ વિકલ્પ વર્ણનની સૂચિ જુઓ.
ERR0023 જરૂરી પરિમાણ file નામ ખૂટે છે. કોઈ વેરિલોગ નથી file માર્ગ આપવામાં આવે છે.
ERR0016 વેરિફિકના પાર્સરને કારણે નિષ્ફળ ગયું. વેરિલોગમાં વાક્યરચના ભૂલ file. વેરિફિકનું પાર્સર એરર મેસેજની ઉપરના કન્સોલમાં જોઈ શકાય છે.
ERR0012 set_device કહેવાય નથી ઉપકરણની માહિતી ઉલ્લેખિત નથી. ઉપકરણનું વર્ણન કરવા માટે set_device આદેશનો ઉપયોગ કરો.

Example
રીડ_વેરીલોગ -મોડ ​​સિસ્ટમ_વેરીલોગ {કમ્પોનન્ટ/વર્ક/ટોપ/ટોપ.વી}
રીડ_વેરીલોગ -મોડ ​​સિસ્ટમ_વેરીલોગ_એમએફસીયુ ડિઝાઇન.વી
૯.૧.૪ રીડ_વીએચડીએલ (પ્રશ્ન પૂછો)
વર્ણન
VHDL ઉમેરો file VHDL ની યાદીમાં files.
રીડ_વીએચડીએલ [-લિબ ] [-મોડ ]fileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
-લિબ કઈ લાઇબ્રેરીમાં સામગ્રી ઉમેરવી તે સ્પષ્ટ કરો.
-મોડ VHDL માનક સ્પષ્ટ કરે છે. ડિફોલ્ટ VHDL_93 છે. શક્ય મૂલ્યો vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl છે. મૂલ્યો કેસ અસંવેદનશીલ છે.
fileનામ વીએચડીએલ file નામ
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 પરિમાણ—lib માં મૂલ્ય ખૂટે છે lib વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.
ERR0023 પરિમાણ—મોડમાં મૂલ્ય ખૂટે છે મોડ વિકલ્પ મૂલ્ય વિના ઉલ્લેખિત છે.
ERR0018 અજાણ્યો મોડ ' ' ઉલ્લેખિત VHDL મોડ અજાણ છે. શક્ય VHDL મોડ ઇન—મોડ વિકલ્પ વર્ણનની સૂચિ જુઓ.
ERR0023 જરૂરી પરિમાણ file નામ ખૂટે છે. VHDL નથી file માર્ગ આપવામાં આવે છે.
ERR0019 invalid_path.v રજીસ્ટર કરવામાં અસમર્થ file ઉલ્લેખિત VHDL file અસ્તિત્વમાં નથી અથવા વાંચવાની પરવાનગીઓ નથી.
ERR0012 set_device કહેવાય નથી ઉપકરણની માહિતી ઉલ્લેખિત નથી. ઉપકરણનું વર્ણન કરવા માટે set_device આદેશનો ઉપયોગ કરો.

Example
રીડ_વીએચડીએલ -મોડ ​​vhdl_2008 osc2dfn.vhd
રીડ_વીએચડીએલ {એચડીએલ/ટોપ.વીએચડી}
૯.૧.૫ સેટ_ટોપ_લેવલ (પ્રશ્ન પૂછો)
વર્ણન
RTL માં ટોચના સ્તરના મોડ્યુલનું નામ સ્પષ્ટ કરો.
સેટ_ટોપ_લેવલ [-લિબ ]
દલીલો

પરિમાણ પ્રકાર વર્ણન
-લિબ શબ્દમાળા ટોચના સ્તરના મોડ્યુલ અથવા એન્ટિટી શોધવા માટેની લાઇબ્રેરી (વૈકલ્પિક).
નામ શબ્દમાળા ઉચ્ચ-સ્તરનું મોડ્યુલ અથવા એન્ટિટીનું નામ.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 જરૂરી પરિમાણ ટોચનું સ્તર ખૂટે છે. ટોચના સ્તરનો વિકલ્પ ફરજિયાત છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.
ERR0023 પરિમાણ—lib માં મૂલ્ય ખૂટે છે lib વિકલ્પ મૂલ્યો વિના સ્પષ્ટ થયેલ છે.
ERR0014 ટોચનું સ્તર શોધી શકાતું નથી પુસ્તકાલયમાં આપેલ લાઇબ્રેરીમાં ઉલ્લેખિત ઉચ્ચ-સ્તરીય મોડ્યુલ વ્યાખ્યાયિત નથી. આ ભૂલને સુધારવા માટે, ટોચના મોડ્યુલ અથવા લાઇબ્રેરીનું નામ સુધારવું આવશ્યક છે.
ERR0017 વિસ્તૃતીકરણ નિષ્ફળ ગયું RTL વિસ્તરણ પ્રક્રિયામાં ભૂલ. ભૂલ સંદેશ કન્સોલમાંથી જોઈ શકાય છે.

Example
સેટ_ટોપ_લેવલ {ટોચ}
set_top_level -lib hdl ટોપ
૯.૧.૬ read_sdc (એક પ્રશ્ન પૂછો)
વર્ણન
SDC વાંચો file ઘટક ડેટાબેઝમાં.
રીડ_એસડીસી - ઘટકfileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
-ઘટક જ્યારે આપણે અવરોધો મેળવીએ છીએ ત્યારે read_sdc આદેશ માટે આ ફરજિયાત ફ્લેગ છે.
fileનામ શબ્દમાળા SDC નો રસ્તો file.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 જરૂરી પરિમાણ file નામ ખૂટે છે. ફરજિયાત વિકલ્પ file નામ સ્પષ્ટ કરેલ નથી.
ERR0000 એસડીસી file <file_path> વાંચી શકાય તેવું નથી. ઉલ્લેખિત SDC file વાંચવાની પરવાનગી નથી.
ERR0001 ખોલવામાં અસમર્થfile_path> file. એસડીસી file અસ્તિત્વમાં નથી. રસ્તો સુધારવો જ જોઇએ.
ERR0008 set_component આદેશ ખૂટે છેfile_path> file SDC નો ઉલ્લેખિત ઘટક file ઘટકનો ઉલ્લેખ કરતું નથી.
ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0009 <List of errors from sdc file> એસડીસી file ખોટા sdc આદેશો ધરાવે છે. ઉદાહરણ તરીકેampલે,

જ્યારે set_multicycle_path અવરોધમાં ભૂલ હોય: read_sdc આદેશ ચલાવવામાં ભૂલ: infile_path> file: set_multicycle_path આદેશમાં ભૂલ: અજ્ઞાત પરિમાણ [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
૯.૧.૭ read_ndc (એક પ્રશ્ન પૂછો)
વર્ણન
NDC વાંચો file ઘટક ડેટાબેઝમાં.
read_ndc - ઘટકfileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
-ઘટક જ્યારે આપણે અવરોધો મેળવીએ છીએ ત્યારે read_ndc આદેશ માટે આ ફરજિયાત ફ્લેગ છે.
fileનામ શબ્દમાળા NDC નો રસ્તો file.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0001 ખોલવામાં અસમર્થfile_path> file એનડીસી file અસ્તિત્વમાં નથી. રસ્તો સુધારવો જ જોઇએ.
ERR0023 જરૂરી પરિમાણ—AtclParamO_ ખૂટે છે. ફરજિયાત વિકલ્પ fileનામ સ્પષ્ટ કરેલ નથી.
ERR0023 જરૂરી પરિમાણ—ઘટક ખૂટે છે. ઘટક વિકલ્પ ફરજિયાત છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.
ERR0000 એનડીસી file 'file_path>' વાંચી શકાય તેવું નથી. ઉલ્લેખિત NDC file વાંચવાની પરવાનગી નથી.

Example
રીડ_એનડીસી - ઘટક {ઘટક/કાર્ય/ccc1/ccc1_0/ccc_comp.ndc}
૯.૧.૮ derive_constraints (એક પ્રશ્ન પૂછો)
વર્ણન
ઘટક SDC ને ઇન્સ્ટન્ટીએટ કરો fileડિઝાઇન-સ્તરના ડેટાબેઝમાં s.
ડેરિવ_કન્સ્ટ્રેન્ટ્સ
દલીલો

રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0013 ટોચનું સ્તર વ્યાખ્યાયિત નથી આનો અર્થ એ છે કે ઉચ્ચ-સ્તરીય મોડ્યુલ અથવા એન્ટિટી ઉલ્લેખિત નથી. આ કોલને ઠીક કરવા માટે,
derive_constraints આદેશ પહેલાં set_top_level આદેશ.

Example
ડેરિવ_કન્સ્ટ્રેન્ટ્સ
૯.૧.૯ write_sdc (એક પ્રશ્ન પૂછો)
વર્ણન
એક અવરોધ લખે છે file SDC ફોર્મેટમાં.
લખો_એસડીસીfileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
<fileનામ> શબ્દમાળા SDC નો રસ્તો file જનરેટ થશે. આ એક ફરજિયાત વિકલ્પ છે. જો file અસ્તિત્વમાં છે, તો તે ઓવરરાઇટ થઈ જશે.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0003 ખોલવામાં અસમર્થfile માર્ગ> file. File પાથ સાચો નથી. તપાસો કે પેરેન્ટ ડિરેક્ટરીઓ અસ્તિત્વમાં છે કે નહીં.
ERR0002 એસડીસી file 'file path>' લખી શકાય તેવું નથી. ઉલ્લેખિત SDC file લખવાની પરવાનગી નથી.
ERR0023 જરૂરી પરિમાણ file નામ ખૂટે છે. એસડીસી file પાથ એક ફરજિયાત વિકલ્પ છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.

Example
write_sdc “derived.sdc”
૯.૧.૧૦ write_pdc (એક પ્રશ્ન પૂછો)
વર્ણન
ભૌતિક મર્યાદાઓ લખે છે (માત્ર વ્યુત્પન્ન મર્યાદાઓ).
લખો_પીડીસીfileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
<fileનામ> શબ્દમાળા પીડીસીનો રસ્તો file જનરેટ થશે. આ એક ફરજિયાત વિકલ્પ છે. જો file પાથ અસ્તિત્વમાં છે, તો તે ઓવરરાઈટ થઈ જશે.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશાઓ વર્ણન
ERR0003 ખોલવામાં અસમર્થfile માર્ગ> file આ file પાથ સાચો નથી. તપાસો કે પેરેન્ટ ડિરેક્ટરીઓ અસ્તિત્વમાં છે કે નહીં.
ERR0002 પીડીસી file 'file path>' લખી શકાય તેવું નથી. ઉલ્લેખિત PDC file લખવાની પરવાનગી નથી.
ERR0023 જરૂરી પરિમાણ file નામ ખૂટે છે. પીડીસી file પાથ એક ફરજિયાત વિકલ્પ છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.

Example
write_pdc “derived.pdc”
૯.૧.૧૧ write_ndc (એક પ્રશ્ન પૂછો)
વર્ણન
NDC મર્યાદાઓને a માં લખે છે file.
લખો_એનડીસીfileનામ>
દલીલો

પરિમાણ પ્રકાર વર્ણન
fileનામ શબ્દમાળા NDC નો રસ્તો file જનરેટ થશે. આ એક ફરજિયાત વિકલ્પ છે. જો file અસ્તિત્વમાં છે, તો તે ઓવરરાઇટ થઈ જશે.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશાઓ વર્ણન
ERR0003 ખોલવામાં અસમર્થfile_path> file. File પાથ સાચો નથી. પેરેન્ટ ડિરેક્ટરીઓ અસ્તિત્વમાં નથી.
ERR0002 એનડીસી file 'file_path>' લખી શકાય તેવું નથી. ઉલ્લેખિત NDC file લખવાની પરવાનગી નથી.
ERR0023 જરૂરી પરિમાણ _AtclParamO_ ખૂટે છે. એનડીસી file પાથ એક ફરજિયાત વિકલ્પ છે અને તેનો ઉલ્લેખ કરવો આવશ્યક છે.

Example
write_ndc “derived.ndc”
૯.૧.૧૨ add_include_path (પ્રશ્ન પૂછો)
વર્ણન
શોધ માટેનો માર્ગ સ્પષ્ટ કરે છે જેમાં શામેલ છે fileRTL વાંચતી વખતે files.
પાથ_શામેલ_કરો
દલીલો

પરિમાણ પ્રકાર વર્ણન
ડિરેક્ટરી શબ્દમાળા શોધ માટેનો માર્ગ સ્પષ્ટ કરે છે જેમાં શામેલ છે fileRTL વાંચતી વખતે files. આ વિકલ્પ ફરજિયાત છે.
રીટર્ન પ્રકાર વર્ણન
0 આદેશ સફળ થયો.
રીટર્ન પ્રકાર વર્ણન
1 આદેશ નિષ્ફળ ગયો. એક ભૂલ છે. તમે કન્સોલમાં ભૂલ સંદેશ જોઈ શકો છો.

ભૂલોની યાદી

ભૂલ કોડ ભૂલ સંદેશ વર્ણન
ERR0023 જરૂરી પરિમાણ પાથ શામેલ ખૂટે છે. ડિરેક્ટરી વિકલ્પ ફરજિયાત છે અને તે આપવો આવશ્યક છે.

નોંધ: જો ડિરેક્ટરી પાથ સાચો નથી, તો add_include_path ભૂલ વિના પસાર થશે.
જોકે, વેરિફિકના પાર્સરને કારણે read_verilog/read_vhd આદેશો નિષ્ફળ જશે.
Example
ઘટક_શામેલ_પાથ ઉમેરો/કાર્ય/COREABC0/COREABC0_0/rtl/vlog/core

પુનરાવર્તન ઇતિહાસ (પ્રશ્ન પૂછો)

પુનરાવર્તન ઇતિહાસ દસ્તાવેજમાં અમલમાં આવેલા ફેરફારોનું વર્ણન કરે છે. ફેરફારોને પુનરાવર્તન દ્વારા સૂચિબદ્ધ કરવામાં આવે છે, જે સૌથી વર્તમાન પ્રકાશનથી શરૂ થાય છે.

પુનરાવર્તન તારીખ વર્ણન
F 08/2024 આ પુનરાવર્તનમાં નીચેના ફેરફારો કરવામાં આવ્યા છે:
• અપડેટ કરેલ વિભાગ પરિશિષ્ટ B—સિમ્યુલેશન પર્યાવરણમાં સિમ્યુલેશન લાઇબ્રેરીઓની આયાત.
E 08/2024 આ પુનરાવર્તનમાં નીચેના ફેરફારો કરવામાં આવ્યા છે:
• અપડેટ કરેલ વિભાગ ઓવરview.
• અપડેટ કરેલ વિભાગ ડેરિવ્ડ SDC File.
• અપડેટ કરેલ વિભાગ પરિશિષ્ટ B—સિમ્યુલેશન પર્યાવરણમાં સિમ્યુલેશન લાઇબ્રેરીઓની આયાત.
D 02/2024 આ દસ્તાવેજ v2024.1 થી કોઈ ફેરફાર કર્યા વિના Libero 2023.2 SoC ડિઝાઇન સ્યુટ સાથે પ્રકાશિત કરવામાં આવ્યો છે.
અપડેટ કરેલ વિભાગ derive_constraints ઉપયોગિતા સાથે કામ કરવું
C 08/2023 આ દસ્તાવેજ v2023.2 થી કોઈ ફેરફાર કર્યા વિના Libero 2023.1 SoC ડિઝાઇન સ્યુટ સાથે પ્રકાશિત કરવામાં આવ્યો છે.
B 04/2023 આ દસ્તાવેજ v2023.1 થી કોઈ ફેરફાર કર્યા વિના Libero 2022.3 SoC ડિઝાઇન સ્યુટ સાથે પ્રકાશિત કરવામાં આવ્યો છે.
A 12/2022 પ્રારંભિક પુનરાવર્તન.

માઇક્રોચિપ FPGA સપોર્ટ
માઈક્રોચિપ એફપીજીએ પ્રોડક્ટ્સ ગ્રૂપ તેના ઉત્પાદનોને ગ્રાહક સેવા, ગ્રાહક ટેકનિકલ સપોર્ટ સેન્ટર, સહિત વિવિધ સપોર્ટ સેવાઓ સાથે સમર્થન આપે છે. webસાઇટ અને વિશ્વવ્યાપી વેચાણ કચેરીઓ.
ગ્રાહકોને સપોર્ટનો સંપર્ક કરતા પહેલા માઇક્રોચિપ ઓનલાઈન સંસાધનોની મુલાકાત લેવાનું સૂચન કરવામાં આવે છે કારણ કે તેમના પ્રશ્નોના પહેલાથી જ જવાબ આપવામાં આવ્યા હોવાની શક્યતા છે.
દ્વારા ટેકનિકલ સપોર્ટ સેન્ટરનો સંપર્ક કરો webપર સાઇટ www.microchip.com/support. FPGA ઉપકરણ ભાગ નંબરનો ઉલ્લેખ કરો, યોગ્ય કેસ શ્રેણી પસંદ કરો અને ડિઝાઇન અપલોડ કરો fileટેક્નિકલ સપોર્ટ કેસ બનાવતી વખતે.
બિન-તકનીકી ઉત્પાદન સપોર્ટ માટે ગ્રાહક સેવાનો સંપર્ક કરો, જેમ કે ઉત્પાદન કિંમત, ઉત્પાદન અપગ્રેડ, અપડેટ માહિતી, ઓર્ડર સ્થિતિ અને અધિકૃતતા.

  • ઉત્તર અમેરિકાથી, 800.262.1060 પર કૉલ કરો
  • બાકીના વિશ્વમાંથી, 650.318.4460 પર કૉલ કરો
  • ફેક્સ, વિશ્વમાં ગમે ત્યાંથી, 650.318.8044

માઇક્રોચિપ માહિતી
માઈક્રોચિપ Webસાઇટ
માઇક્રોચિપ અમારા દ્વારા ઑનલાઇન સપોર્ટ પ્રદાન કરે છે webપર સાઇટ www.microchip.com/. આ webબનાવવા માટે સાઇટનો ઉપયોગ થાય છે files અને ગ્રાહકો માટે સરળતાથી ઉપલબ્ધ માહિતી. ઉપલબ્ધ કેટલીક સામગ્રીમાં શામેલ છે:

  • પ્રોડક્ટ સપોર્ટ - ડેટા શીટ્સ અને ત્રુટિસૂચી, એપ્લિકેશન નોટ્સ અને એસample પ્રોગ્રામ્સ, ડિઝાઇન સંસાધનો, વપરાશકર્તાની માર્ગદર્શિકાઓ અને હાર્ડવેર સપોર્ટ દસ્તાવેજો, નવીનતમ સોફ્ટવેર રિલીઝ અને આર્કાઇવ કરેલ સોફ્ટવેર
  • સામાન્ય ટેકનિકલ સપોર્ટ - વારંવાર પૂછાતા પ્રશ્નો (FAQ), ટેકનિકલ સપોર્ટ વિનંતીઓ, ઑનલાઇન ચર્ચા જૂથો, માઇક્રોચિપ ડિઝાઇન પાર્ટનર પ્રોગ્રામ મેમ્બર લિસ્ટિંગ
  • માઇક્રોચિપનો વ્યવસાય - ઉત્પાદન પસંદગીકાર અને ઓર્ડરિંગ માર્ગદર્શિકાઓ, નવીનતમ માઇક્રોચિપ પ્રેસ રિલીઝ, સેમિનાર અને ઇવેન્ટ્સની સૂચિ, માઇક્રોચિપ વેચાણ કચેરીઓની સૂચિ, વિતરકો અને ફેક્ટરી પ્રતિનિધિઓ

ઉત્પાદન ફેરફાર સૂચના સેવા
માઇક્રોચિપની પ્રોડક્ટ ચેન્જ નોટિફિકેશન સર્વિસ ગ્રાહકોને માઇક્રોચિપ પ્રોડક્ટ્સ પર વર્તમાન રાખવામાં મદદ કરે છે. સબ્સ્ક્રાઇબર્સને ઈમેલ સૂચના પ્રાપ્ત થશે જ્યારે પણ કોઈ ચોક્કસ ઉત્પાદન કુટુંબ અથવા રુચિના વિકાસ સાધનથી સંબંધિત ફેરફારો, અપડેટ્સ, પુનરાવર્તનો અથવા ત્રુટિસૂચી હશે. નોંધણી કરવા માટે, પર જાઓ www.microchip.com/pcn અને નોંધણી સૂચનાઓનું પાલન કરો.

ગ્રાહક આધાર
માઇક્રોચિપ ઉત્પાદનોના વપરાશકર્તાઓ ઘણી ચેનલો દ્વારા સહાય મેળવી શકે છે:

  • વિતરક અથવા પ્રતિનિધિ
  • સ્થાનિક વેચાણ કચેરી
  • એમ્બેડેડ સોલ્યુશન્સ એન્જિનિયર (ESE)
  • ટેકનિકલ સપોર્ટ

આધાર માટે ગ્રાહકોએ તેમના વિતરક, પ્રતિનિધિ અથવા ESE નો સંપર્ક કરવો જોઈએ. ગ્રાહકોને મદદ કરવા માટે સ્થાનિક વેચાણ કચેરીઓ પણ ઉપલબ્ધ છે. વેચાણ કચેરીઓ અને સ્થાનોની સૂચિ આ દસ્તાવેજમાં શામેલ છે. દ્વારા ટેકનિકલ સપોર્ટ ઉપલબ્ધ છે webસાઇટ પર: www.microchip.com/support
માઇક્રોચિપ ડિવાઇસીસ કોડ પ્રોટેક્શન ફીચર
માઇક્રોચિપ ઉત્પાદનો પર કોડ સુરક્ષા સુવિધાની નીચેની વિગતો નોંધો:

  • માઇક્રોચિપ ઉત્પાદનો તેમની ચોક્કસ માઇક્રોચિપ ડેટા શીટમાં સમાવિષ્ટ સ્પષ્ટીકરણોને પૂર્ણ કરે છે.
  • માઇક્રોચિપ માને છે કે તેના ઉત્પાદનોનો પરિવાર જ્યારે હેતુપૂર્વક, ઓપરેટિંગ વિશિષ્ટતાઓમાં અને સામાન્ય પરિસ્થિતિઓમાં ઉપયોગમાં લેવાય ત્યારે સુરક્ષિત છે.
  • માઇક્રોચિપ મૂલ્યો અને આક્રમક રીતે તેના બૌદ્ધિક સંપદા અધિકારોનું રક્ષણ કરે છે. માઇક્રોચિપ પ્રોડક્ટની કોડ સુરક્ષા સુવિધાઓનો ભંગ કરવાનો પ્રયાસ સખત પ્રતિબંધિત છે અને તે ડિજિટલ મિલેનિયમ કૉપિરાઇટ એક્ટનું ઉલ્લંઘન કરી શકે છે.
  • ન તો માઇક્રોચિપ કે અન્ય કોઇ સેમિકન્ડક્ટર ઉત્પાદક તેના કોડની સુરક્ષાની ખાતરી આપી શકે છે. કોડ સુરક્ષાનો અર્થ એ નથી કે અમે ઉત્પાદન "અનબ્રેકેબલ" હોવાની બાંયધરી આપીએ છીએ. કોડ સુરક્ષા સતત વિકસિત થઈ રહી છે. માઇક્રોચિપ અમારા ઉત્પાદનોની કોડ સુરક્ષા સુવિધાઓને સતત સુધારવા માટે પ્રતિબદ્ધ છે.

કાનૂની સૂચના
આ પ્રકાશન અને અહીંની માહિતીનો ઉપયોગ ફક્ત માઇક્રોચિપ ઉત્પાદનો સાથે જ થઈ શકે છે, જેમાં તમારી એપ્લિકેશન સાથે માઇક્રોચિપ ઉત્પાદનોની ડિઝાઇન, પરીક્ષણ અને સંકલન શામેલ છે. અન્ય કોઈપણ રીતે આ માહિતીનો ઉપયોગ આ શરતોનું ઉલ્લંઘન કરે છે. ઉપકરણ એપ્લિકેશનો સંબંધિત માહિતી ફક્ત તમારી સુવિધા માટે પ્રદાન કરવામાં આવી છે અને અપડેટ્સ દ્વારા તેને સ્થાનાંતરિત કરવામાં આવી શકે છે. તમારી અરજી તમારા વિશિષ્ટતાઓને પૂર્ણ કરે છે તેની ખાતરી કરવાની જવાબદારી તમારી છે. વધારાના સપોર્ટ માટે તમારી સ્થાનિક માઇક્રોચિપ સેલ્સ ઑફિસનો સંપર્ક કરો અથવા, અહીંથી વધારાનો સપોર્ટ મેળવો www.microchip.com/en-us/support/design-help/client-support-services.
આ માહિતી માઈક્રોચિપ "જેમ છે તેમ" દ્વારા પ્રદાન કરવામાં આવે છે. માઈક્રોચિપ કોઈપણ પ્રકારની રજૂઆતો અથવા વોરંટી આપતું નથી, ભલે તે સ્પષ્ટ અથવા ગર્ભિત, લેખિત અથવા મૌખિક, વૈધાનિક અથવા અન્યથા, બિન-મર્યાદિત સહિતની માહિતી સાથે સંબંધિત હોય. વિશિષ્ટ હેતુ અથવા વોરંટી માટે માલિકી અને યોગ્યતા તેની સ્થિતિ, ગુણવત્તા અથવા પ્રદર્શનથી સંબંધિત. કોઈપણ સંજોગોમાં માઈક્રોચિપ કોઈપણ અપ્રત્યક્ષ, વિશેષ, શિક્ષાત્મક, આકસ્મિક અથવા પરિણામી નુકસાન, નુકસાન, ખર્ચ અથવા કોઈપણ પ્રકારના ખર્ચ માટે જવાબદાર રહેશે નહીં આઇક્રોચિપને આ અંગે સલાહ આપવામાં આવી છે સંભાવના અથવા નુકસાન અગમચેતી છે. કાયદા દ્વારા મંજૂર થયેલ સંપૂર્ણ હદ સુધી, માહિતી અથવા તેના ઉપયોગથી સંબંધિત કોઈપણ રીતે તમામ દાવાઓ પર માઈક્રોચિપની સંપૂર્ણ જવાબદારી, જો કોઈ પણ રીતે, કોઈપણ રીતે, કોઈપણ રીતે, ફીની રકમથી વધુ નહીં હોય માહિતી.
લાઇફ સપોર્ટ અને/અથવા સલામતી એપ્લિકેશનોમાં માઇક્રોચિપ ડિવાઇસનો ઉપયોગ સંપૂર્ણપણે ખરીદનારના જોખમે છે, અને ખરીદનાર આવા ઉપયોગથી થતા કોઈપણ અને તમામ નુકસાન, દાવા, દાવા અથવા ખર્ચથી માઇક્રોચિપનો બચાવ કરવા, નુકસાન ભરપાઈ કરવા અને હાનિકારક રાખવા સંમત થાય છે. કોઈપણ માઇક્રોચિપ બૌદ્ધિક સંપદા અધિકારો હેઠળ, ગર્ભિત અથવા અન્યથા, કોઈ લાઇસન્સ આપવામાં આવતું નથી, સિવાય કે અન્યથા જણાવવામાં આવે.
ટ્રેડમાર્ક્સ
માઈક્રોચિપનું નામ અને લોગો, માઈક્રોચિપ લોગો, એડેપ્ટેક, એવીઆર, એવીઆર લોગો, એવીઆર ફ્રીક્સ, બેસ્ટાઈમ, બીટક્લાઉડ, ક્રિપ્ટોમેમરી, ક્રિપ્ટોઆરએફ, ડીએસપીઆઈસી, ફ્લેક્સપીડબલ્યુઆર, હેલ્ડો, ઈગ્લૂ, જ્યુકબ્લોક્સ, કીલોક, લિન્કલએક્સ, મેકિલેક્સ, કેલેક્સ MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST લોગો, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 લોગો, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SFST, Logo, સુપરકોમ , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, અને XMEGA એ યુએસએ અને અન્ય દેશોમાં સમાવિષ્ટ માઇક્રોચિપ ટેકનોલોજીના નોંધાયેલા ટ્રેડમાર્ક છે.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus લોગો, Quiet-Synch, Smart-Work, SWW, SVL TimeCesium, TimeHub, TimePictra, TimeProvider અને ZL એ યુએસએમાં સમાવિષ્ટ માઇક્રોચિપ ટેક્નોલોજીના નોંધાયેલા ટ્રેડમાર્ક છે.
સંલગ્ન કી સપ્રેશન, AKS, એનાલોગ-ફોર-ધી-ડિજિટલ એજ, કોઈપણ કેપેસિટર, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCDP,Cyptocond,CryptoC. ડાયનેમિક એવરેજ મેચિંગ , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, ઇન-સર્કિટ સીરીયલ પ્રોગ્રામિંગ, ICSP, INICnet, ઇન્ટેલિજન્ટ પેરેલીંગ, IntelliMOS, ઇન્ટર-ચીપ કનેક્ટિવિટી, JitterBlocker, Knob-Cnob-Click, Knob-Cont મહત્તમView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB પ્રમાણિત લોગો, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICKit, PICtail, Power MOS IV, Power MOS, PowerMOS 7, PowerMOS , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, સીરીયલ ક્વાડ I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, EnterPHY, Syrod. , વિશ્વસનીય સમય, TSHARC, ટ્યુરિંગ, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect અને ZENA એ યુએસએ અને અન્ય દેશોમાં સમાવિષ્ટ માઇક્રોચિપ ટેકનોલોજીના ટ્રેડમાર્ક છે.
SQTP એ યુએસએમાં સમાવિષ્ટ માઇક્રોચિપ ટેક્નોલોજીનું સર્વિસ માર્ક છે
Adaptec લોગો, ફ્રીક્વન્સી ઓન ડિમાન્ડ, સિલિકોન સ્ટોરેજ ટેક્નોલોજી અને સિમકોમ અન્ય દેશોમાં માઇક્રોચિપ ટેક્નોલોજી ઇન્ક.ના નોંધાયેલા ટ્રેડમાર્ક છે.
GestIC એ Microchip Technology Germany II GmbH & Co. KG નો રજિસ્ટર્ડ ટ્રેડમાર્ક છે, જે અન્ય દેશોમાં Microchip Technology Inc.ની પેટાકંપની છે.
અહીં ઉલ્લેખિત અન્ય તમામ ટ્રેડમાર્ક તેમની સંબંધિત કંપનીઓની મિલકત છે.
2024, માઇક્રોચિપ ટેકનોલોજી ઇન્કોર્પોરેટેડ અને તેની પેટાકંપનીઓ. સર્વાધિકાર સુરક્ષિત.
ISBN: 978-1-6683-0183-8
ગુણવત્તા વ્યવસ્થાપન સિસ્ટમ
માઇક્રોચિપની ગુણવત્તા વ્યવસ્થાપન સિસ્ટમ્સ સંબંધિત માહિતી માટે, કૃપા કરીને મુલાકાત લો www.microchip.com/quality.
વિશ્વવ્યાપી વેચાણ અને સેવા

અમેરિકા  એશિયા/પેસિફિક  એશિયા/પેસિફિક  યુરોપ
કોર્પોરેટ ઓફિસ
2355 વેસ્ટ ચાન્ડલર Blvd.
ચાંડલર, AZ 85224-6199
ટેલ: 480-792-7200
ફેક્સ: 480-792-7277
ટેકનિકલ સપોર્ટ: www.microchip.com/support
Web સરનામું: www.microchip.com
એટલાન્ટા
ડુલુથ, જીએ
ટેલ: 678-957-9614
ફેક્સ: 678-957-1455
ઓસ્ટિન, TX
ટેલ: 512-257-3370
બોસ્ટન
વેસ્ટબરો, એમએ
ટેલ: 774-760-0087
ફેક્સ: 774-760-0088
શિકાગો
ઇટાસ્કા, IL
ટેલ: 630-285-0071
ફેક્સ: 630-285-0075
ડલ્લાસ
એડિસન, TX
ટેલ: 972-818-7423
ફેક્સ: 972-818-2924
ડેટ્રોઇટ
નોવી, MI
ટેલ: 248-848-4000
હ્યુસ્ટન, TX
ટેલ: 281-894-5983
ઇન્ડિયાનાપોલિસ
નોબલ્સવિલે, IN
ટેલ: 317-773-8323
ફેક્સ: 317-773-5453
ટેલ: 317-536-2380
લોસ એન્જલસ
મિશન વિએજો, CA
ટેલ: 949-462-9523
ફેક્સ: 949-462-9608
ટેલ: 951-273-7800
રેલે, એનસી
ટેલ: 919-844-7510
ન્યુયોર્ક, એનવાય
ટેલ: 631-435-6000
સેન જોસ, CA
ટેલ: 408-735-9110
ટેલ: 408-436-4270
કેનેડા - ટોરોન્ટો
ટેલ: 905-695-1980
ફેક્સ: 905-695-2078
ઓસ્ટ્રેલિયા - સિડની
ટેલિફોન: 61-2-9868-6733
ચીન - બેઇજિંગ
ટેલિફોન: 86-10-8569-7000
ચીન - ચેંગડુ
ટેલિફોન: 86-28-8665-5511
ચીન - ચોંગકિંગ
ટેલિફોન: 86-23-8980-9588
ચીન - ડોંગગુઆન
ટેલિફોન: 86-769-8702-9880
ચીન - ગુઆંગઝુ
ટેલિફોન: 86-20-8755-8029
ચીન - હાંગઝોઉ
ટેલિફોન: 86-571-8792-8115
ચીન - હોંગકોંગ SAR
ટેલિફોન: 852-2943-5100
ચીન - નાનજિંગ
ટેલિફોન: 86-25-8473-2460
ચીન - કિંગદાઓ
ટેલિફોન: 86-532-8502-7355
ચીન - શાંઘાઈ
ટેલિફોન: 86-21-3326-8000
ચીન - શેનયાંગ
ટેલિફોન: 86-24-2334-2829
ચીન - શેનઝેન
ટેલિફોન: 86-755-8864-2200
ચીન - સુઝોઉ
ટેલિફોન: 86-186-6233-1526
ચીન - વુહાન
ટેલિફોન: 86-27-5980-5300
ચીન - ઝિયાન
ટેલિફોન: 86-29-8833-7252
ચીન - ઝિયામેન
ટેલિફોન: 86-592-2388138
ચીન - ઝુહાઈ
ટેલિફોન: 86-756-3210040
ભારત - બેંગ્લોર
ટેલિફોન: 91-80-3090-4444
ભારત - નવી દિલ્હી
ટેલિફોન: 91-11-4160-8631
ભારત - પુણે
ટેલિફોન: 91-20-4121-0141
જાપાન - ઓસાકા
ટેલિફોન: 81-6-6152-7160
જાપાન - ટોક્યો
ટેલિફોન: 81-3-6880- 3770
કોરિયા - ડેગુ
ટેલિફોન: 82-53-744-4301
કોરિયા - સિઓલ
ટેલિફોન: 82-2-554-7200
મલેશિયા - કુઆલાલંપુર
ટેલિફોન: 60-3-7651-7906
મલેશિયા - પેનાંગ
ટેલિફોન: 60-4-227-8870
ફિલિપાઇન્સ - મનિલા
ટેલિફોન: 63-2-634-9065
સિંગાપોર
ટેલિફોન: 65-6334-8870
તાઇવાન - સિન ચુ
ટેલિફોન: 886-3-577-8366
તાઇવાન - કાઓહસુંગ
ટેલિફોન: 886-7-213-7830
તાઇવાન - તાઇપેઇ
ટેલિફોન: 886-2-2508-8600
થાઈલેન્ડ - બેંગકોક
ટેલિફોન: 66-2-694-1351
વિયેતનામ - હો ચી મિન્હ
ટેલિફોન: 84-28-5448-2100
ઑસ્ટ્રિયા - વેલ્સ
ટેલિફોન: 43-7242-2244-39
ફેક્સ: 43-7242-2244-393
ડેનમાર્ક - કોપનહેગન
ટેલિફોન: 45-4485-5910
ફેક્સ: 45-4485-2829
ફિનલેન્ડ - એસ્પૂ
ટેલિફોન: 358-9-4520-820
ફ્રાન્સ - પેરિસ
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
જર્મની - ગાર્ચિંગ
ટેલિફોન: 49-8931-9700
જર્મની - હાન
ટેલિફોન: 49-2129-3766400
જર્મની - હેઇલબ્રોન
ટેલિફોન: 49-7131-72400
જર્મની - કાર્લસ્રુહે
ટેલિફોન: 49-721-625370
જર્મની - મ્યુનિક
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
જર્મની - રોઝેનહેમ
ટેલિફોન: 49-8031-354-560
ઇઝરાયેલ - હોડ હાશરોન
ટેલિફોન: 972-9-775-5100
ઇટાલી - મિલાન
ટેલિફોન: 39-0331-742611
ફેક્સ: 39-0331-466781
ઇટાલી - પાડોવા
ટેલિફોન: 39-049-7625286
નેધરલેન્ડ - ડ્રુનેન
ટેલિફોન: 31-416-690399
ફેક્સ: 31-416-690340
નોર્વે - ટ્રોન્ડહાઇમ
ટેલિફોન: 47-72884388
પોલેન્ડ - વોર્સો
ટેલિફોન: 48-22-3325737
રોમાનિયા - બુકારેસ્ટ
Tel: 40-21-407-87-50
સ્પેન - મેડ્રિડ
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
સ્વીડન - ગોથેનબર્ગ
Tel: 46-31-704-60-40
સ્વીડન - સ્ટોકહોમ
ટેલિફોન: 46-8-5090-4654
યુકે - વોકિંગહામ
ટેલિફોન: 44-118-921-5800
ફેક્સ: 44-118-921-5820

માઇક્રોચિપ - લોગો

દસ્તાવેજો / સંસાધનો

માઇક્રોચિપ DS00004807F પોલારફાયર ફેમિલી FPGA કસ્ટમ ફ્લો [પીડીએફ] વપરાશકર્તા માર્ગદર્શિકા
DS00004807F પોલારફાયર ફેમિલી FPGA કસ્ટમ ફ્લો, DS00004807F, પોલારફાયર ફેમિલી FPGA કસ્ટમ ફ્લો, ફેમિલી FPGA કસ્ટમ ફ્લો, કસ્ટમ ફ્લો, ફ્લો

સંદર્ભો

એક ટિપ્પણી મૂકો

તમારું ઇમેઇલ સરનામું પ્રકાશિત કરવામાં આવશે નહીં. જરૂરી ક્ષેત્રો ચિહ્નિત થયેલ છે *