مائکروچپ - لوگو پولر فائر فیملی FPGA کسٹم فلو یوزر گائیڈ
Libero SoC v2024.2

تعارف (ایک سوال پوچھیں۔)

Libero System-on-Chip (SoC) سافٹ ویئر مکمل طور پر مربوط فیلڈ پروگرام ایبل گیٹ اری (FPGA) ڈیزائن ماحول فراہم کرتا ہے۔ تاہم، کچھ صارفین Libero SoC ماحول سے باہر تھرڈ پارٹی سنتھیسز اور سمولیشن ٹولز استعمال کرنا چاہتے ہیں۔ Libero کو اب FPGA ڈیزائن ماحول میں ضم کیا جا سکتا ہے۔ پورے FPGA ڈیزائن کے بہاؤ کو منظم کرنے کے لیے Libero SoC استعمال کرنے کی سفارش کی جاتی ہے۔
یہ صارف گائیڈ PolarFire اور PolarFire SoC فیملی ڈیوائسز کے لیے کسٹم فلو کی وضاحت کرتا ہے، Libero کو بڑے FPGA ڈیزائن فلو کے ایک حصے کے طور پر ضم کرنے کا عمل۔ Supported Device Families® درج ذیل جدول میں ان ڈیوائس فیملیز کی فہرست دی گئی ہے جنہیں Libero SoC سپورٹ کرتا ہے۔ تاہم، اس گائیڈ میں کچھ معلومات صرف آلات کے مخصوص خاندان پر لاگو ہو سکتی ہیں۔ اس صورت میں، اس طرح کی معلومات کو واضح طور پر شناخت کیا جاتا ہے.
ٹیبل 1. Libero SoC کے ذریعے تعاون یافتہ ڈیوائس فیملیز

ڈیوائس فیملی تفصیل
پولر فائر PolarFire FPGAs غیر معمولی سیکورٹی اور بھروسے کے ساتھ درمیانی فاصلے کی کثافت پر صنعت کی سب سے کم طاقت فراہم کرتے ہیں۔
پولر فائر ایس او سی PolarFire SoC پہلا SoC FPGA ہے جس میں ایک تعییناتی، مربوط RISC-V CPU کلسٹر، اور ایک deterministic L2 میموری سب سسٹم ہے جو Linux® اور ریئل ٹائم ایپلی کیشنز کو فعال کرتا ہے۔

ختمview (ایک سوال پوچھیں۔)

جبکہ Libero SoC SoC اور FPGA ڈیزائن تیار کرنے کے لیے مکمل طور پر مربوط اینڈ ٹو اینڈ ڈیزائن ماحول فراہم کرتا ہے، یہ Libero SoC ماحول سے باہر تھرڈ پارٹی ٹولز کے ساتھ ترکیب اور تخروپن کو چلانے کے لیے لچک بھی فراہم کرتا ہے۔ تاہم، کچھ ڈیزائن کے اقدامات Libero SoC ماحول کے اندر ہی رہنا چاہیے۔
مندرجہ ذیل جدول FPGA ڈیزائن کے بہاؤ کے اہم مراحل کی فہرست دیتا ہے اور ان اقدامات کی نشاندہی کرتا ہے جن کے لیے Libero SoC کا استعمال کیا جانا چاہیے۔
جدول 1-1۔ ایف پی جی اے ڈیزائن فلو

ڈیزائن بہاؤ مرحلہ Libero ضرور استعمال کریں۔ تفصیل
ڈیزائن انٹری: ایچ ڈی ایل نہیں اگر چاہیں تو Libero® SoC سے باہر تھرڈ پارٹی HDL ایڈیٹر/چیکر ٹول استعمال کریں۔
ڈیزائن انٹری: کنفیگریٹر جی ہاں آئی پی کیٹلاگ کے بنیادی جزو کی نسل کے لیے پہلا لائبیرو پروجیکٹ بنائیں۔
خودکار PDC/SDC رکاوٹ پیدا کرنا نہیں اخذ کردہ رکاوٹوں کو تمام ایچ ڈی ایل کی ضرورت ہے۔ files اور derive_constraints کی افادیت جب Libero SoC سے باہر کی جاتی ہے، جیسا کہ ضمیمہ C—Derive Constraints میں بیان کیا گیا ہے۔
تخروپن نہیں اگر چاہیں تو Libero SoC سے باہر تھرڈ پارٹی ٹول استعمال کریں۔ ٹارگٹ ڈیوائس، ٹارگٹ سمیلیٹر، اور بیک اینڈ کے نفاذ کے لیے استعمال ہونے والے ٹارگٹ لائبیرو ورژن کے لیے پہلے سے مرتب شدہ سمولیشن لائبریریوں کو ڈاؤن لوڈ کرنے کی ضرورت ہے۔
ترکیب نہیں اگر چاہیں تو Libero SoC سے باہر تھرڈ پارٹی ٹول استعمال کریں۔
ڈیزائن پر عمل درآمد: رکاوٹوں کا انتظام کریں، نیٹ لسٹ مرتب کریں، جگہ اور راستہ (دیکھیں اوور)view) جی ہاں پسدید کے نفاذ کے لیے دوسرا لائبیرو پروجیکٹ بنائیں۔
ٹائمنگ اور پاور کی تصدیق جی ہاں دوسرے Libero پروجیکٹ میں رہیں۔
ڈیزائن ابتدائی ڈیٹا اور یادیں ترتیب دیں۔ جی ہاں ڈیوائس میں مختلف قسم کی یادوں اور ڈیزائن کی ابتدا کو منظم کرنے کے لیے اس ٹول کا استعمال کریں۔ دوسرے پروجیکٹ میں رہیں۔
پروگرامنگ File نسل جی ہاں دوسرے پروجیکٹ میں رہیں۔

مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن اہم: آپ پر دستیاب پہلے سے مرتب شدہ لائبریریوں کو ڈاؤن لوڈ کرنا ضروری ہے۔ پری کمپائلڈ سمولیشن لائبریریاں فریق ثالث سمیلیٹر استعمال کرنے کے لیے صفحہ۔
خالص فیبرک ایف پی جی اے فلو میں، ایچ ڈی ایل یا اسکیمیٹک انٹری کا استعمال کرتے ہوئے اپنا ڈیزائن درج کریں اور اسے براہ راست پاس کریں۔
ترکیب کے اوزار تک۔ بہاؤ اب بھی تعاون یافتہ ہے۔ پولر فائر اور پولر فائر ایس او سی ایف پی جی اے کی اہمیت ہے۔
ملکیتی سخت IP بلاکس کے لیے Libero SoC IP سے کنفیگریشن کور (SgCores) کے استعمال کی ضرورت ہوتی ہے۔
کیٹلاگ کسی بھی بلاکس کے لیے خصوصی ہینڈلنگ درکار ہے جس میں SoC فعالیت شامل ہے:

  • پولر فائر
    - PF_UPROM
    - PF_SYSTEM_SERVICES
    - PF_CCC
    - PF CLK DIV
    - PF_CRYPTO
    - PF_DRI
    – PF_INIT_MONITOR
    – PF_NGMUX
    - PF_OSC
    - رام (TPSRAM، DPSRAM، URAM)
    – PF_SRAM_AHBL_AXI
    - PF_XCVR_ERM
    – PF_XCVR_REF_CLK
    - PF_TX_PLL
    - PF_PCIE
    - PF_IO
    - PF_IOD_CDR
    – PF_IOD_CDR_CCC
    – PF_IOD_GENERIC_RX
    – PF_IOD_GENERIC_TX
    – PF_IOD_GENERIC_TX_CCC
    – PF_RGMII_TO_GMII
    – PF_IOD_OCTAL_DDR
    - PF_DDR3
    - PF_DDR4
    - PF_LPDDR3
    - PF_QDR
    – PF_CORESMARTBERT
    - PF_TAMPER
    – PF_TVS، وغیرہ۔

پچھلے درج کردہ SgCores کے علاوہ، Libero SoC کیٹلاگ میں PolarFire اور PolarFire SoC ڈیوائس فیملیز کے لیے بہت سے DirectCore سافٹ IPs دستیاب ہیں جو FPGA فیبرک وسائل استعمال کرتے ہیں۔
ڈیزائن کے اندراج کے لیے، اگر آپ پچھلے اجزاء میں سے کسی ایک کو استعمال کرتے ہیں، تو آپ کو ڈیزائن کے اندراج کے کچھ حصے کے لیے Libero SoC کا استعمال کرنا چاہیے (اجزاء کی ترتیب)، لیکن آپ Libero کے باہر اپنی بقیہ ڈیزائن انٹری (HDL اندراج، وغیرہ) جاری رکھ سکتے ہیں۔ Libero سے باہر FPGA ڈیزائن کے بہاؤ کو منظم کرنے کے لیے، اس گائیڈ کے بقیہ حصے میں فراہم کردہ مراحل پر عمل کریں۔
1.1 جزو زندگی سائیکل (ایک سوال پوچھیں۔)
مندرجہ ذیل اقدامات کسی SoC جزو کے لائف سائیکل کی وضاحت کرتے ہیں اور ڈیٹا کو ہینڈل کرنے کے طریقے کے بارے میں ہدایات فراہم کرتے ہیں۔

  1. Libero SoC میں اس کے کنفیگریٹر کا استعمال کرتے ہوئے جزو تیار کریں۔ یہ درج ذیل قسم کا ڈیٹا تیار کرتا ہے:
    - ایچ ڈی ایل files
    - یادداشت files
    - محرک اور نقلی۔ files
    - جزو SDC file
  2. ایچ ڈی ایل کے لیے files، بیرونی ڈیزائن کے اندراج کے آلے/عمل کا استعمال کرتے ہوئے انہیں HDL کے بقیہ ڈیزائن میں فوری اور انضمام کریں۔
  3. سپلائی میموری files اور محرک files آپ کے نقلی ٹول پر۔
  4. سپلائی اجزاء SDC file Constraint جنریشن کے لیے Constraint ٹول حاصل کرنا۔ مزید تفصیلات کے لیے اپینڈکس C—Derive Constraints دیکھیں۔
  5. آپ کو ایک دوسرا Libero پروجیکٹ بنانا چاہیے، جہاں آپ پوسٹ سنتھیسس نیٹ لسٹ اور اپنے اجزاء کا میٹا ڈیٹا درآمد کرتے ہیں، اس طرح آپ نے جو کچھ تیار کیا ہے اور جو آپ پروگرام کرتے ہیں اس کے درمیان تعلق کو مکمل کرتے ہیں۔

1.2 Libero SoC پروجیکٹ کی تخلیق (ایک سوال پوچھیں۔)
Libero SoC ماحول (ٹیبل 1-1) کے اندر ڈیزائن کے کچھ مراحل کو چلایا جانا چاہیے۔ ان اقدامات کو چلانے کے لیے، آپ کو دو Libero SoC پروجیکٹس بنانا ہوں گے۔ پہلا پروجیکٹ ڈیزائن کے اجزاء کی ترتیب اور نسل کے لیے استعمال کیا جاتا ہے، اور دوسرا پروجیکٹ اعلیٰ سطح کے ڈیزائن کے فزیکل نفاذ کے لیے ہے۔
1.3 حسب ضرورت بہاؤ (ایک سوال پوچھیں۔)
مندرجہ ذیل اعداد و شمار سے پتہ چلتا ہے:

  • Libero SoC کو Libero SoC ماحول سے باہر تھرڈ پارٹی سنتھیسز اور سمولیشن ٹولز کے ساتھ بڑے FPGA ڈیزائن فلو کے ایک حصے کے طور پر ضم کیا جا سکتا ہے۔
  • بہاؤ میں مختلف مراحل شامل ہیں، ڈیزائن کی تخلیق سے شروع ہو کر آلہ کی پروگرامنگ تک تمام راستے سلائی کرنا۔
  • ڈیٹا کا تبادلہ (ان پٹ اور آؤٹ پٹس) جو ہر ڈیزائن کے بہاؤ کے مرحلے پر ہونا ضروری ہے۔

MICROCHIP DS00004807F پولر فائر فیملی FPGA کسٹم فلو - کسٹم فلو اوورviewمائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن 1 ٹپ:

  1. SNVM.cfg, UPROM.cfg
  2. *میم file جنریشن فار سمولیشن: pa4rtupromgen.exe UPROM.cfg کو بطور ان پٹ لیتا ہے اور UPROM.mem تیار کرتا ہے۔

حسب ضرورت بہاؤ میں درج ذیل اقدامات ہیں:

  1. اجزاء کی ترتیب اور نسل:
    a پہلا لائبیرو پروجیکٹ بنائیں (ریفرنس پروجیکٹ کے طور پر کام کرنے کے لیے)۔
    ب کیٹلاگ سے کور کو منتخب کریں۔ اسے ایک جزو کا نام دینے کے لیے کور پر ڈبل کلک کریں اور جز کو ترتیب دیں۔
    یہ خود بخود اجزاء کا ڈیٹا برآمد کرتا ہے۔ files A Component Manifests بھی تیار ہوتا ہے۔ تفصیل کے لیے اجزاء کے منشور دیکھیں۔ مزید تفصیلات کے لیے، اجزاء کی ترتیب دیکھیں۔
  2. Libero سے باہر اپنا RTL ڈیزائن مکمل کریں:
    a جزو ایچ ڈی ایل کو فوری بنائیں files.
    ب ایچ ڈی ایل کا مقام files اجزاء مینی فیسٹس میں درج ہے۔ files.
  3. اجزاء کے لیے SDC رکاوٹیں پیدا کریں۔ وقت کی رکاوٹ پیدا کرنے کے لیے ڈیریو کنسٹرائنٹس یوٹیلیٹی کا استعمال کریں۔ file(SDC) کی بنیاد پر:
    a جزو ایچ ڈی ایل files
    ب اجزاء SDC files
    c صارف ایچ ڈی ایل files
    مزید تفصیلات کے لیے دیکھیں ضمیمہ C—Derive Constraints۔
  4. ترکیب کا آلہ / نقلی ٹول:
    a ایچ ڈی ایل حاصل کریں۔ files، محرک files، اور مخصوص جگہوں سے اجزاء کا ڈیٹا جیسا کہ اجزاء مینی فیسٹس میں بتایا گیا ہے۔
    ب Libero SoC سے باہر تھرڈ پارٹی ٹولز کے ساتھ ڈیزائن کو سنتھیسائز کریں اور ان کی تقلید کریں۔
  5. اپنا دوسرا (عمل درآمد) لائبیرو پروجیکٹ بنائیں۔
  6. ڈیزائن فلو ٹول چین سے ترکیب کو ہٹا دیں (پروجیکٹ> پروجیکٹ سیٹنگز> ڈیزائن فلو> اینبل سنتھیسز چیک باکس کو صاف کریں)۔
  7. ڈیزائن کا ذریعہ درآمد کریں۔ files (سنتھیسس کے بعد *.vm نیٹ لسٹ ترکیب کے آلے سے):
    - بعد از ترکیب درآمد کریں *.vm نیٹ لسٹ (File>درآمد> ترکیب شدہ ویریلوگ نیٹ لسٹ (VM))۔
    - اجزاء کا میٹا ڈیٹا *.cfg fileuPROM اور/یا sNVM کے لیے۔
  8. کوئی بھی Libero SoC بلاک جزو درآمد کریں۔ files بلاک files کو *.cxz میں ہونا چاہیے۔ file فارمیٹ
    بلاک بنانے کے طریقے کے بارے میں مزید معلومات کے لیے، دیکھیں پولر فائر بلاک فلو یوزر گائیڈ.
  9. ڈیزائن کی پابندیاں درآمد کریں:
    - درآمد I/O رکاوٹ files (کنسٹرائنٹس مینیجر > I/OAttributes > Import)۔
    - فلور پلاننگ درآمد کریں *.pdc files (کنسٹرائنٹس مینیجر> ​​فلور پلانر> امپورٹ)۔
    - درآمد کریں *.sdc ٹائمنگ کی پابندی files (کنسٹرائنٹس مینیجر> ​​ٹائمنگ> امپورٹ)۔ SDC درآمد کریں۔ file ڈیریو کنسٹرائنٹ ٹول کے ذریعے تیار کیا گیا۔
    - درآمد کریں *.ndc رکاوٹ files (کنسٹرائنٹس مینیجر> ​​نیٹ لسٹ ایٹریبیٹس> امپورٹ)، اگر کوئی ہے۔
  10. پابندی file اور ٹول ایسوسی ایشن
    - کنسٹرنٹ مینیجر میں، *.pdc کو جوڑیں۔ files جگہ اور راستے پر، *.sdc fileجگہ اور راستے اور وقت کی تصدیق، اور *.ndc files نیٹ لسٹ مرتب کرنے کے لیے۔
  11. مکمل ڈیزائن پر عمل درآمد
    - جگہ اور راستہ، وقت اور طاقت کی تصدیق کریں، ڈیزائن کے آغاز کے ڈیٹا اور یادوں کو ترتیب دیں، اور پروگرامنگ file نسل
  12. ڈیزائن کی توثیق کریں۔
    - FPGA پر ڈیزائن کی توثیق کریں اور Libero SoC ڈیزائن سویٹ کے ساتھ فراہم کردہ ڈیزائن ٹولز کا استعمال کرتے ہوئے ضرورت کے مطابق ڈیبگ کریں۔

اجزاء کی ترتیب (ایک سوال پوچھیں۔)

کسٹم فلو کا پہلا مرحلہ یہ ہے کہ اپنے اجزاء کو لائبیرو ریفرنس پروجیکٹ (جسے ٹیبل 1-1 میں پہلا لائبیرو پروجیکٹ بھی کہا جاتا ہے) کا استعمال کرتے ہوئے ترتیب دیں۔ بعد کے مراحل میں، آپ اس حوالہ پروجیکٹ سے ڈیٹا استعمال کرتے ہیں۔
اگر آپ اوور کے نیچے پہلے درج کسی بھی اجزاء کو استعمال کر رہے ہیں۔view اپنے ڈیزائن میں، اس سیکشن میں بیان کردہ اقدامات کو انجام دیں۔
اگر آپ مندرجہ بالا اجزاء میں سے کوئی بھی استعمال نہیں کر رہے ہیں، تو آپ اپنا RTL Libero سے باہر لکھ سکتے ہیں اور اسے براہ راست اپنے Synthesis اور Simulation ٹولز میں درآمد کر سکتے ہیں۔ اس کے بعد آپ پوسٹ سنتھیسز سیکشن میں جا سکتے ہیں اور صرف اپنی پوسٹ سنتھیسس *.vm نیٹ لسٹ کو اپنے حتمی لائبیرو عمل درآمد پروجیکٹ میں درآمد کر سکتے ہیں (جسے ٹیبل 1-1 میں دوسرا لائبیرو پروجیکٹ بھی کہا جاتا ہے)۔
2.1 Libero کا استعمال کرتے ہوئے اجزاء کی ترتیب (ایک سوال پوچھیں۔)
پچھلی فہرست سے استعمال ہونے والے اجزاء کو منتخب کرنے کے بعد، درج ذیل اقدامات کریں:

  1. ایک نیا لائبیرو پروجیکٹ بنائیں (کور کنفیگریشن اور جنریشن): وہ ڈیوائس اور فیملی منتخب کریں جس پر آپ اپنے حتمی ڈیزائن کو نشانہ بناتے ہیں۔
  2. کسٹم فلو میں مذکور ایک یا زیادہ کور استعمال کریں۔
    a ایک SmartDesign بنائیں اور مطلوبہ کور کو کنفیگر کریں اور اسے SmartDesign جزو میں فوری بنائیں۔
    ب تمام پنوں کو اوپر کی سطح پر فروغ دیں۔
    c اسمارٹ ڈیزائن تیار کریں۔
    ڈی سمیلیٹر کو استعمال کرنے کے لیے سمیولیٹ ٹول (پری سنتھیسس یا پوسٹ سنتھیسس یا پوسٹ لے آؤٹ آپشنز میں سے کوئی بھی) پر ڈبل کلک کریں۔ آپ سمیلیٹر کو طلب کرنے کے بعد اس سے باہر نکل سکتے ہیں۔ یہ مرحلہ تخروپن پیدا کرتا ہے۔ fileآپ کے منصوبے کے لیے ضروری ہے۔

مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن 1 مشورہ: آپ اگر آپ Libero سے باہر اپنے ڈیزائن کی تقلید کرنا چاہتے ہیں تو یہ مرحلہ ضرور انجام دیں۔
مزید معلومات کے لیے، اپنے ڈیزائن کی تقلید دیکھیں۔
e اپنے پروجیکٹ کو محفوظ کریں - یہ آپ کا ریفرنس پروجیکٹ ہے۔
2.2 اجزاء کا اظہار (ایک سوال پوچھیں۔)
جب آپ اپنے اجزاء تیار کرتے ہیں، تو ایک سیٹ files ہر جزو کے لیے تیار کیا جاتا ہے۔ اجزاء مینی فیسٹ رپورٹ کے سیٹ کی تفصیلات files تیار اور ہر بعد کے مرحلے میں استعمال کیا جاتا ہے (ترکیب، تخروپن، فرم ویئر جنریشن، وغیرہ)۔ یہ رپورٹ آپ کو تمام تیار کردہ مقامات کی معلومات فراہم کرتی ہے۔ fileاپنی مرضی کے بہاؤ کے ساتھ آگے بڑھنے کے لیے ضروری ہے۔ آپ رپورٹس کے علاقے میں جزو مینی فیسٹ تک رسائی حاصل کر سکتے ہیں: رپورٹس ٹیب کو کھولنے کے لیے ڈیزائن > رپورٹس پر کلک کریں۔ رپورٹس ٹیب میں، آپ کو manifest.txt کا ایک سیٹ نظر آتا ہے۔ files (اوورview)، آپ کے تیار کردہ ہر جزو کے لیے ایک۔
ٹپ: آپ کو جزو یا ماڈیول کو 'جڑ' کے بطور سیٹ کرنا چاہیے file رپورٹس ٹیب میں مواد۔
متبادل طور پر، آپ انفرادی مینی فیسٹ رپورٹ تک رسائی حاصل کر سکتے ہیں۔ files سے تیار کردہ ہر بنیادی جزو یا SmartDesign جزو کے لیے /جز/کام/ / / _manifest.txt یا /جزو/کام/ / _manifest.txt. آپ مینی فیسٹ تک بھی رسائی حاصل کر سکتے ہیں۔ file Libero میں نئے اجزاء کے ٹیب سے تیار کردہ ہر جزو کے مشمولات، جہاں file مقامات کا ذکر پروجیکٹ ڈائرکٹری کے حوالے سے کیا گیا ہے۔MICROCHIP DS00004807F پولر فائر فیملی FPGA کسٹم فلو - Libero رپورٹس ٹیبمندرجہ ذیل اجزاء مینی فیسٹ رپورٹس پر توجہ مرکوز کریں:

  • اگر آپ نے سمارٹ ڈیزائن میں کور کو فوری طور پر تیار کیا ہے، تو پڑھیں file _manifest.txt.
  • اگر آپ نے کورز کے لیے اجزاء بنائے ہیں تو پڑھیں _manifest.txt.

آپ کو تمام اجزاء مینی فیسٹس کی رپورٹس استعمال کرنی چاہئیں جو آپ کے ڈیزائن پر لاگو ہوتی ہیں۔ سابق کے لیےampمثال کے طور پر، اگر آپ کے پروجیکٹ میں ایک یا زیادہ بنیادی اجزاء کے ساتھ اسمارٹ ڈیزائن ہے اور آپ ان سب کو اپنے حتمی ڈیزائن میں استعمال کرنے کا ارادہ رکھتے ہیں، تو آپ کو انتخاب کرنا ہوگا۔ files آپ کے ڈیزائن کے بہاؤ میں استعمال کے لیے ان تمام اجزاء کے اجزاء کی رپورٹس میں درج ہے۔
2.3 ترجمانی منشور Files (ایک سوال پوچھیں۔)
جب آپ ایک جزو مینی فیسٹ کھولتے ہیں۔ file، آپ کو راستے نظر آتے ہیں۔ files آپ کے لائبیرو پروجیکٹ میں ہے اور ان کو استعمال کرنے کے ڈیزائن کے بہاؤ میں کہاں ہے۔ آپ کو درج ذیل اقسام نظر آ سکتی ہیں۔ fileایک منشور میں ہے file:

  • ایچ ڈی ایل ذریعہ files تمام ترکیب اور نقلی ٹولز کے لیے
  • محرک files تمام سمولیشن ٹولز کے لیے
  • پابندی files

پولر فائر بنیادی جزو کا جزو مینی فیسٹ درج ذیل ہے۔MICROCHIP DS00004807F پولر فائر فیملی FPGA کسٹم فلو - اجزاء مینی فیسٹہر قسم کی file آپ کے ڈیزائن کے بہاؤ میں نیچے کی طرف ضروری ہے۔ درج ذیل حصے کے انضمام کی وضاحت کرتے ہیں۔ fileمینی فیسٹ سے آپ کے ڈیزائن کے بہاؤ میں۔

رکاوٹ پیدا کرنا (ایک سوال پوچھیں۔)

کنفیگریشن اور جنریشن کرتے وقت، SDC/PDC/NDC رکاوٹ لکھنا/جنریٹ کرنا یقینی بنائیں files ان کو ترکیب، جگہ اور راستے، اور وقت کی تصدیق کرنے والے ٹولز میں منتقل کرنے کے لیے۔
دستی طور پر لکھنے کے بجائے رکاوٹیں پیدا کرنے کے لیے Libero ماحول سے باہر Derive Constraints یوٹیلیٹی کا استعمال کریں۔ Libero ماحول سے باہر Derive Constraint یوٹیلیٹی کو استعمال کرنے کے لیے، آپ کو:

  • سپلائی صارف HDL، جزو HDL، اور جزو SDC رکاوٹ files
  • ٹاپ لیول ماڈیول کی وضاحت کریں۔
  • اس مقام کی وضاحت کریں جہاں اخذ کردہ رکاوٹ پیدا کی جائے۔ files

SDC اجزاء کی رکاوٹیں اس کے تحت دستیاب ہیں۔ /جزو/کام/ / / اجزاء کی ترتیب اور نسل کے بعد ڈائریکٹری۔
اپنے ڈیزائن کے لیے رکاوٹیں پیدا کرنے کے طریقے کے بارے میں مزید تفصیلات کے لیے، اپنڈکس C—Derive Constraints دیکھیں۔

آپ کے ڈیزائن کی ترکیب (ایک سوال پوچھیں۔)

کسٹم فلو کی بنیادی خصوصیات میں سے ایک آپ کو فریق ثالث کی ترکیب استعمال کرنے کی اجازت دینا ہے۔
Libero کے باہر ٹول۔ حسب ضرورت بہاؤ Synopsys SynplifyPro کے استعمال کی حمایت کرتا ہے۔ آپ کی ترکیب سازی کے لیے
پروجیکٹ، مندرجہ ذیل طریقہ کار کا استعمال کریں:

  1. اپنے سنتھیسز ٹول میں ایک نیا پروجیکٹ بنائیں، اسی ڈیوائس فیملی، ڈائی، اور پیکج کو نشانہ بناتے ہوئے جس لائبیرو پروجیکٹ کو آپ نے بنایا ہے۔
    a اپنا اپنا RTL درآمد کریں۔ fileجیسا کہ آپ عام طور پر کرتے ہیں۔
    ب Synthesis آؤٹ پٹ کو Structural Verilog (.vm) پر سیٹ کریں۔
    ٹپ: ساختی ویریلوگ (.vm) پولر فائر میں واحد تائید شدہ ترکیب آؤٹ پٹ فارمیٹ ہے۔
  2. اجزاء ایچ ڈی ایل درآمد کریں۔ fileآپ کی ترکیب کے منصوبے میں ہے:
    a ہر ایک جزو کی رپورٹ کے لیے: ہر ایک کے لیے file ایچ ڈی ایل ماخذ کے تحت files تمام ترکیب اور نقلی ٹولز کے لیے، درآمد کریں۔ file آپ کی ترکیب کے منصوبے میں۔
  3. درآمد کریں۔ file polarfire_syn_comps.v (اگر Synopsys Synplify استعمال کر رہے ہیں) سے
    تنصیب کا مقام>/data/aPA5M آپ کے سنتھیسس پروجیکٹ کے لیے۔
  4. پہلے سے تیار کردہ SDC درآمد کریں۔ file ماخوذ کنسٹرائنٹ ٹول کے ذریعے (ملاحظہ کریں۔
    A-Sampلی ایس ڈی سی رکاوٹیں) ترکیب کے آلے میں۔ یہ پابندی file کم محنت اور کم ڈیزائن تکرار کے ساتھ وقت کی بندش حاصل کرنے کے لیے ترکیب کے آلے کو محدود کرتا ہے۔

مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن اہم: 

  • اگر آپ اسی *.sdc کو استعمال کرنے کا ارادہ رکھتے ہیں۔ file ڈیزائن کے نفاذ کے مرحلے کے دوران جگہ اور راستے کو محدود کرنے کے لیے، آپ کو اس *.sdc کو ترکیب کے منصوبے میں درآمد کرنا ہوگا۔ یہ اس بات کو یقینی بنانا ہے کہ ڈیزائن کے عمل کے نفاذ کے مرحلے کے دوران ترکیب شدہ نیٹ لسٹ اور جگہ اور راستے کی رکاوٹوں میں ڈیزائن آبجیکٹ کے نام کی کوئی مماثلت نہیں ہے۔ اگر آپ یہ *.sdc شامل نہیں کرتے ہیں۔ file Synthesis مرحلے میں، Synthesis سے تیار کردہ نیٹ لسٹ ڈیزائن آبجیکٹ کے نام کی مماثلت کی وجہ سے جگہ اور راستے کے مرحلے میں ناکام ہو سکتی ہے۔
    a Netlist انتسابات *.ndc، اگر کوئی ہو تو، ترکیب کے آلے میں درآمد کریں۔
    ب ترکیب چلائیں۔
  • آپ کے سنتھیسس ٹول آؤٹ پٹ کے مقام پر *.vm نیٹ لسٹ ہے۔ file پیدا شدہ پوسٹ سنتھیسس۔ ڈیزائن کے عمل کو جاری رکھنے کے لیے آپ کو نیٹ لسٹ کو Libero Implementation Project میں درآمد کرنا ہوگا۔

آپ کے ڈیزائن کی نقل کرنا (ایک سوال پوچھیں۔)

اپنے ڈیزائن کو Libero سے باہر نقل کرنے کے لیے (یعنی، آپ کے اپنے سمولیشن ماحول اور سمیلیٹر کا استعمال کرتے ہوئے)، درج ذیل اقدامات کریں:

  1. ڈیزائن Files:
    a قبل از ترکیب تخروپن:
    • اپنے RTL کو اپنے سمولیشن پروجیکٹ میں درآمد کریں۔
    • ہر ایک جزو کی ظاہری رپورٹ کے لیے۔
    - ہر ایک کو درآمد کریں۔ file ایچ ڈی ایل ماخذ کے تحت fileآپ کے سمولیشن پروجیکٹ میں تمام ترکیب اور نقلی ٹولز کے لیے۔
    • ان کو مرتب کریں۔ fileآپ کے سمیلیٹر کی ہدایات کے مطابق۔
    ب بعد از ترکیب تخروپن:
    • اپنی پوسٹ سنتھیسز *.vm نیٹ لسٹ (آپ کے ڈیزائن کی ترکیب میں تیار کردہ) کو اپنے سمولیشن پروجیکٹ میں درآمد کریں اور اسے مرتب کریں۔
    c پوسٹ لے آؤٹ تخروپن:
    • سب سے پہلے، اپنے ڈیزائن کو مکمل کرنا (دیکھیں اپنے ڈیزائن کو نافذ کرنا)۔ یقینی بنائیں کہ آپ کا آخری لائبیرو پروجیکٹ پوسٹ لے آؤٹ حالت میں ہے۔
    • Generate BackAnnotated پر ڈبل کلک کریں۔ Files Libero ڈیزائن فلو ونڈو میں۔ یہ دو پیدا کرتا ہے۔ files:
    /ڈیزائنر/ / _ba.v/vhd /ڈیزائنر/
    / _ba.sdf
    • ان دونوں کو درآمد کریں۔ files آپ کے تخروپن کے آلے میں۔
  2. محرک اور ترتیب files:
    a ہر جزو کی ظاہری رپورٹ کے لیے:
    • تمام کاپی کریں۔ files محرک کے تحت Fileآپ کے سمولیشن پروجیکٹ کی روٹ ڈائرکٹری کے تمام سمولیشن ٹولز سیکشنز کے لیے۔
    ب یقینی بنائیں کہ کوئی بھی Tcl fileسابقہ ​​فہرستوں میں s (مرحلہ 2.a میں) سب سے پہلے، تخروپن کے آغاز سے پہلے عمل میں لایا جاتا ہے۔
    c UPROM.mem: اگر آپ اپنے ڈیزائن میں UPROM کور کو استعمال کرتے ہیں اس اختیار کے ساتھ کہ آپ ایک یا زیادہ ڈیٹا اسٹوریج کلائنٹس کے لیے قابل استعمال مواد استعمال کریں جو آپ نقل کرنا چاہتے ہیں، تو آپ کو UPROM.mem بنانے کے لیے قابل عمل pa4rtupromgen (windows پر pa4rtupromgen.exe) کا استعمال کرنا چاہیے۔ file. pa4rtupromgen ایگزیکیوٹیبل UPROM.cfg لیتا ہے۔ file Tcl اسکرپٹ کے ذریعے ان پٹ کے طور پر file اور UPROM.mem کو آؤٹ پٹ کرتا ہے۔ file تخروپن کے لیے ضروری ہے۔ یہ UPROM.mem file نقلی چلانے سے پہلے نقلی فولڈر میں کاپی کرنا ضروری ہے۔ ایک سابقample pa4rtupromgen کے قابل عمل استعمال کو دکھاتے ہوئے درج ذیل مراحل میں فراہم کیا گیا ہے۔ UPROM.cfg file ڈائریکٹری میں دستیاب ہے۔ /جزو/کام/ / Libero پروجیکٹ میں جسے آپ UPROM جزو بنانے کے لیے استعمال کرتے تھے۔
    ڈی snvm.mem: اگر آپ اپنے ڈیزائن میں سسٹم سروسز کور کا استعمال کرتے ہیں اور کور میں sNVM ٹیب کو آپشن کے ساتھ کنفیگر کرتے ہیں تو ایک یا زیادہ کلائنٹس کے لیے جو آپ نقل کرنا چاہتے ہیں ان کے لیے مشمولات کا استعمال کریں، ایک snvm.mem file کے لیے خود بخود پیدا ہوتا ہے۔
    ڈائریکٹری /جزو/کام/ / Libero پروجیکٹ میں جسے آپ سسٹم سروسز کا جزو بنانے کے لیے استعمال کرتے تھے۔ یہ snvm.mem file نقلی چلانے سے پہلے نقلی فولڈر میں کاپی کرنا ضروری ہے۔
  3. ورکنگ فولڈر کے نیچے ایک ورکنگ فولڈر اور سمولیشن نام کا سب فولڈر بنائیں۔
    pa4rtupromgen ایگزیکیوٹیبل کام کرنے والے فولڈر میں سمولیشن سب فولڈر کی موجودگی کی توقع کرتا ہے اور *.tcl اسکرپٹ کو سمولیشن سب فولڈر میں رکھا جاتا ہے۔
  4. UPROM.cfg کاپی کریں۔ file کام کرنے والے فولڈر میں اجزاء کی تیاری کے لیے بنائے گئے پہلے لائبیرو پروجیکٹ سے۔
  5. درج ذیل کمانڈز کو *.tcl اسکرپٹ میں چسپاں کریں اور اسے مرحلہ 3 میں بنائے گئے سمولیشن فولڈر میں رکھیں۔
    Sample *.tcl PolarFire اور PolarFire Soc فیملی ڈیوائسز کے لیے URPOM.mem بنانے کے لیے file
    UPROM.cfg سے
    set_device -fam -مرنا -pkg
    set_input_cfg -path
    سیٹ_سم_میم -پاتھFile/UPROM.mem>
    gen_sim -use_init غلط
    ڈائی اور پیکج کے لیے استعمال کرنے کے لیے مناسب اندرونی نام کے لیے، *.prjx دیکھیں file پہلے لائبیرو پروجیکٹ کا (جزو پیدا کرنے کے لیے استعمال کیا جاتا ہے)۔
    استدلال use_init کو غلط پر سیٹ کیا جانا چاہیے۔
    آؤٹ پٹ کا راستہ بتانے کے لیے set_sim_mem کمانڈ استعمال کریں۔ file UPROM.mem یعنی
    اسکرپٹ کے نفاذ پر تیار کیا گیا ہے۔ file pa4rtupromgen ایگزیکیوٹیبل کے ساتھ۔
  6. کمانڈ پرامپٹ یا سائگ وین ٹرمینل پر، مرحلہ 3 میں بنائی گئی ورکنگ ڈائرکٹری پر جائیں۔
    pa4rtupromgen کمانڈ کو –اسکرپٹ آپشن کے ساتھ عمل میں لائیں اور اس کو *.tcl اسکرپٹ پاس کریں جو پچھلے مرحلے میں بنایا گیا تھا۔
    ونڈوز کے لیے
    /designer/bin/pa4rtupromgen.exe \
    -script./simulation/ .tcl
    لینکس کے لیے:
    /bin/pa4rtupromgen
    -script./simulation/ .tcl
  7. pa4rtupromgen ایگزیکیوٹیبل کے کامیاب عمل کے بعد، چیک کریں کہ UPROM.mem file *.tcl اسکرپٹ میں set_sim_mem کمانڈ میں متعین مقام پر پیدا ہوتا ہے۔
  8. sNVM کی نقل کرنے کے لیے، snvm.mem کو کاپی کریں۔ file آپ کے پہلے لائبیرو پروجیکٹ (جز کی ترتیب کے لیے استعمال کیا جاتا ہے) سے آپ کے سمولیشن پروجیکٹ کے ٹاپ لیول سمولیشن فولڈر میں سمولیشن چلانے کے لیے (Libero SoC سے باہر)۔ UPROM مواد کی نقل کرنے کے لیے، تیار کردہ UPROM.mem کو کاپی کریں۔ file سمولیشن چلانے کے لیے اپنے سمولیشن پروجیکٹ کے ٹاپ لیول سمولیشن فولڈر میں (Libero SoC سے باہر)۔

مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن اہم: کو SoC اجزاء کی فعالیت کی نقل بنائیں، پہلے سے مرتب شدہ پولر فائر سمولیشن لائبریریوں کو ڈاؤن لوڈ کریں اور انہیں اپنے نقلی ماحول میں درآمد کریں جیسا کہ یہاں بیان کیا گیا ہے۔ مزید تفصیلات کے لیے ملاحظہ کریں ضمیمہ B — نقلی ماحول میں نقلی لائبریریوں کو درآمد کرنا۔

اپنے ڈیزائن کو نافذ کرنا (ایک سوال پوچھیں۔)

اپنے ماحول میں Synthesis اور Post-Synthesis تخروپن کو مکمل کرنے کے بعد، آپ کو اپنے ڈیزائن کو جسمانی طور پر نافذ کرنے، ٹائمنگ اور پاور تجزیہ چلانے، اور اپنی پروگرامنگ تیار کرنے کے لیے Libero کا دوبارہ استعمال کرنا چاہیے۔ file.

  1. ڈیزائن کے فزیکل نفاذ اور لے آؤٹ کے لیے ایک نیا Libero پروجیکٹ بنائیں۔ اسی ڈیوائس کو ٹارگٹ کرنے کو یقینی بنائیں جیسا کہ آپ نے کمپوننٹ کنفیگریشن میں بنایا ہوا ریفرنس پروجیکٹ میں ہے۔
  2. پروجیکٹ بنانے کے بعد، ڈیزائن فلو ونڈو میں ٹول چین سے ترکیب کو ہٹا دیں (پروجیکٹ> پروجیکٹ سیٹنگز> ڈیزائن فلو> ان ایبل سنتھیسز کو غیر چیک کریں)۔
  3.  اپنی مابعد ترکیب *.vm درآمد کریں۔ file اس منصوبے میں، (File > درآمد > ترکیب شدہ ویریلوگ نیٹ لسٹ (VM))۔
    مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن 1 مشورہ: یہ تجویز کیا جاتا ہے کہ آپ اس کے لیے ایک لنک بنائیں file، تاکہ اگر آپ اپنے ڈیزائن کو دوبارہ ترکیب کرتے ہیں، تو Libero ہمیشہ جدید ترین پوسٹ سنتھیسس نیٹ لسٹ استعمال کرتا ہے۔
    a ڈیزائن ہیرارکی ونڈو میں، روٹ ماڈیول کا نام نوٹ کریں۔MICROCHIP DS00004807F پولر فائر فیملی FPGA کسٹم فلو - ڈیزائن کا درجہ بندی
  4. Libero پروجیکٹ میں رکاوٹوں کو درآمد کریں۔ *.pdc/*.sdc/*.ndc رکاوٹوں کو درآمد کرنے کے لیے کنسٹرائنٹ مینیجر کا استعمال کریں۔
    a درآمد I/O *.pdc رکاوٹ files (کنسٹرائنٹس مینیجر > I/O انتساب > درآمد)۔
    ب فلور پلاننگ درآمد کریں *.pdc رکاوٹ files (کنسٹرائنٹس مینیجر> ​​فلور پلانر>درآمد)۔
    c درآمد کریں *.sdc وقت کی پابندی files (کنسٹرائنٹس مینیجر> ​​ٹائمنگ> امپورٹ)۔ اگر آپ کے ڈیزائن میں اوور میں درج کوئی بھی کور موجود ہے۔view، SDC درآمد کرنے کو یقینی بنائیں file ڈیریو کنسٹرائنٹ ٹول کے ذریعے تیار کیا گیا۔
    ڈی درآمد کریں *.ndc رکاوٹ files (کنسٹرائنٹس مینیجر> ​​نیٹ لسٹ اوصاف> درآمد)۔
  5. ایسوسی ایٹ پابندیاں Fileٹولز ڈیزائن کرنے کے لیے۔
    a اوپن کنسٹرائنٹ مینیجر (منجمد رکاوٹیں> کھولیں رکاوٹوں کا انتظام کریں۔ View).
    رکاوٹ کے ساتھ جگہ اور راستے اور وقت کی تصدیق کے چیک باکس کو چیک کریں۔ file رکاوٹ قائم کرنے کے لئے file اور ٹول ایسوسی ایشن۔ *.pdc رکاوٹ کو جگہ اور راستے سے اور *.sdc کو جگہ اور راستے اور وقت کی تصدیق دونوں سے منسلک کریں۔ *.ndc کو منسلک کریں۔ file نیٹ لسٹ مرتب کرنے کے لیے۔
    مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن 1 ٹپ: اگر اس *.sdc رکاوٹ کے ساتھ جگہ اور راستہ ناکام ہوجاتا ہے۔ file، پھر اسی *.sdc کو درآمد کریں۔ file ترکیب اور دوبارہ ترکیب کو چلانے کے لیے۔
  6. کمپائل نیٹ لسٹ پر کلک کریں اور پھر لے آؤٹ مرحلہ مکمل کرنے کے لیے جگہ اور راستہ پر کلک کریں۔
  7. Configure Design Initialization Data and Memories ٹول آپ کو ڈیزائن بلاکس کو شروع کرنے کی اجازت دیتا ہے، جیسے کہ LSRAM، µSRAM، XCVR (ٹرانسیور)، اور PCIe غیر متزلزل µPROM، sNVM، یا بیرونی SPI فلیش اسٹوریج میموری میں ذخیرہ کردہ ڈیٹا کا استعمال کرتے ہوئے۔ اس ٹول میں ڈیزائن کے آغاز کے سلسلے کی تفصیلات، ابتدائی کلائنٹس کی تفصیلات، صارف کے ڈیٹا کلائنٹس کی وضاحت کے لیے درج ذیل ٹیبز ہیں۔
    - ڈیزائن انیشیلائزیشن ٹیب
    - µPROM ٹیب
    - sNVM ٹیب
    - ایس پی آئی فلیش ٹیب
    - فیبرک RAMs ٹیب
    ڈیزائن کے ابتدائی ڈیٹا اور یادوں کو ترتیب دینے کے لیے ٹول میں موجود ٹیبز کا استعمال کریں۔MICROCHIP DS00004807F پولر فائر فیملی FPGA کسٹم فلو - ڈیٹا اور یادیںکنفیگریشن مکمل کرنے کے بعد، ابتدائی ڈیٹا کو پروگرام کرنے کے لیے درج ذیل اقدامات کریں:
    ابتدائی کلائنٹس تیار کریں۔
    بٹ اسٹریم بنائیں یا برآمد کریں۔
    • ڈیوائس کو پروگرام کریں۔
    اس ٹول کو استعمال کرنے کے طریقے کے بارے میں تفصیلی معلومات کے لیے، Libero SoC ڈیزائن فلو یوزر گائیڈ دیکھیں۔ ٹول میں مختلف ٹیبز کو کنفیگر کرنے اور میموری کنفیگریشن کی وضاحت کرنے کے لیے استعمال ہونے والے Tcl کمانڈز کے بارے میں مزید معلومات کے لیے files (*.cfg)، دیکھیں Tcl کمانڈز ریفرنس گائیڈ.
  8. ایک پروگرامنگ بنائیں File اس پروجیکٹ سے اور اسے اپنے ایف پی جی اے کو پروگرام کرنے کے لیے استعمال کریں۔

ضمیمہ A-Sampایس ڈی سی کی پابندیاں (ایک سوال پوچھیں۔

Libero SoC مخصوص IP کور، جیسے CCC، OSC، ٹرانسیور وغیرہ کے لیے SDC ٹائمنگ کی رکاوٹیں پیدا کرتا ہے۔ ڈیزائن ٹولز میں SDC کی رکاوٹوں کو پاس کرنے سے کم کوشش اور کم ڈیزائن تکرار کے ساتھ ٹائمنگ بند ہونے کا امکان بڑھ جاتا ہے۔ اعلی درجے کی مثال سے مکمل درجہ بندی کا راستہ رکاوٹوں میں حوالہ کردہ تمام ڈیزائن اشیاء کے لئے دیا گیا ہے۔
7.1 SDC ٹائمنگ کی پابندیاں (ایک سوال پوچھیں۔)
Libero IP کور ریفرنس پروجیکٹ میں، یہ اعلی سطحی SDC رکاوٹ file کنسٹرنٹ مینیجر سے دستیاب ہے (ڈیزائن فلو> اوپن مینیج کنسٹرائنٹ View > ٹائمنگ > ڈیریو کنسٹرائنٹس)۔
مائکروچپ DS00004807F پولر فائر فیملی FPGA کسٹم فلو - آئیکن اہم: دیکھیں یہ file اگر آپ کے ڈیزائن میں سی سی سی، او ایس سی، ٹرانسیور، اور دیگر اجزاء شامل ہیں تو ایس ڈی سی کی رکاوٹیں سیٹ کریں۔ مکمل درجہ بندی کے راستے میں ترمیم کریں، اگر ضروری ہو تو، اپنے ڈیزائن کے درجہ بندی سے مماثل ہوں یا Derive_Constraints یوٹیلیٹی استعمال کریں اور ضمیمہ C—Derive Constraints میں اجزاء کی سطح پر SDC file.
محفوظ کریں۔ file ایک مختلف نام پر اور SDC درآمد کریں۔ file ترکیب کے آلے، جگہ اور راستے کے آلے، اور وقت کی توثیق تک، بالکل اسی طرح جیسے کسی دوسرے SDC رکاوٹ files.
7.1.1 اخذ کردہ SDC File (ایک سوال پوچھیں۔)
#یہ file درج ذیل SDC ماخذ کی بنیاد پر تیار کیا گیا تھا۔ files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** اس میں کوئی ترمیم file اگر اخذ کردہ رکاوٹوں کو دوبارہ چلایا جائے تو ضائع ہو جائے گا۔ ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -پیریوڈ 6.25
[ get_pins { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -period 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/t_PLL_0/t_PLL_0/TRANMIT_PLL_x
DIV_CLK} - مدت 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] تخلیق_جنریٹڈ_کلاک کا نام {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_inst_0/CCC_FIC_x_0/CCC_0/CC_FIC_x_ll
OUT0} -multiply_by 25 -divide_by 32 -source
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -فیز 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CL_0/CCC_FIC_x_CL_cp_0/ll
OUT1} -multiply_by 25 -divide_by 32 -source
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -فیز 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CL_0/CCC_FIC_x_CL_cp_0/ll
OUT2} -multiply_by 25 -divide_by 32 -source
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -فیز 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CL_0/CCC_FIC_x_CL_cp_0/ll
OUT3} -multiply_by 25 -divide_by 64 -source
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -فیز 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -divide_by 2 -ذریعہ
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A ] } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path - from [ get_cells { DMA_INITIATOR_inst_160/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -سے [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -سے [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE_PC_0/PCIE_0
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path - کے ذریعے [ PC_in_nets/MPERSTIA} کے ذریعے ] ضمیمہ B — نقلی ماحول میں نقلی لائبریریوں کو درآمد کرنا (ایک سوال پوچھیں۔)
Libero SoC کے ساتھ RTL سمولیشن کے لیے پہلے سے طے شدہ سمیلیٹر ModelSim ME Pro ہے۔
ڈیفالٹ سمیلیٹر کے لیے پہلے سے مرتب شدہ لائبریریاں ڈائریکٹری میں لائبیرو انسٹالیشن کے ساتھ دستیاب ہیں۔ /Designer/lib/modelsimpro/precompiled/vlog for® تعاون یافتہ خاندان۔ Libero SoC ModelSim, Questasim, VCS, Xcelium کے دوسرے تھرڈ پارٹی سمیلیٹر ایڈیشنز کو بھی سپورٹ کرتا ہے۔
، ایکٹو ایچ ڈی ایل، اور رویرا پرو۔ سے متعلقہ پہلے سے مرتب شدہ لائبریریاں ڈاؤن لوڈ کریں۔ Libero SoC v12.0 اور بعد میں سمیلیٹر اور اس کے ورژن پر مبنی۔
Libero ماحول کی طرح، run.do file Libero سے باہر تخروپن چلانے کے لیے تخلیق کرنا ضروری ہے۔
ایک سادہ run.do بنائیں file جس میں تالیف کے نتائج، لائبریری میپنگ، تالیف، اور نقلی کے لیے لائبریری قائم کرنے کے احکامات ہیں۔ بنیادی run.do بنانے کے لیے اقدامات پر عمل کریں۔ file.

  1. vlib کمانڈ vlib presynth کا استعمال کرتے ہوئے تالیف کے نتائج کو ذخیرہ کرنے کے لیے ایک منطقی لائبریری بنائیں۔
  2. vmap کمانڈ vmap کا استعمال کرتے ہوئے پہلے سے مرتب شدہ لائبریری ڈائرکٹری میں منطقی لائبریری کے نام کا نقشہ بنائیں .
  3. ماخذ مرتب کریں۔ files — ڈیزائن کو مرتب کرنے کے لیے زبان کے لیے مخصوص کمپائلر کمانڈز استعمال کریں۔ files ورکنگ ڈائرکٹری میں۔
    - vlog for .v/.sv
    vcom برائے .vhd
  4. کسی بھی ٹاپ لیول ماڈیول کا نام بتا کر vsim کمانڈ کا استعمال کرتے ہوئے تخروپن کے لیے ڈیزائن لوڈ کریں۔
  5. رن کمانڈ کا استعمال کرتے ہوئے ڈیزائن کی تقلید کریں۔
    ڈیزائن لوڈ کرنے کے بعد، تخروپن کا وقت صفر پر سیٹ کیا جاتا ہے، اور آپ نقلی شروع کرنے کے لیے رن کمانڈ درج کر سکتے ہیں۔
    سمیلیٹر ٹرانسکرپٹ ونڈو میں، run.do پر عمل کریں۔ file جیسا کہ run.do نقلی چلائیں. ایسample run.do file مندرجہ ذیل کے طور پر.

خاموشی سے سیٹ کریں ACTELLIBNAME PolarFire خاموشی سے سیٹ کریں PROJECT_DIR "W:/Test/basic_test" اگر
{[file موجود ہے presynth/_info]} { echo "INFO: Simulation library presynth موجود ہے" } other
{ file حذف کریں -فورس پریسینتھ vlib پریسینتھ } vmap presynth presynth vmap PolarFire
"X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire" vlog -sv -work presynth
"${PROJECT_DIR}/hdl/top.v" vlog "+incdir+${PROJECT_DIR}/stimulus" -sv -work presynth "$
{PROJECT_DIR}/stimulus/tb.v" vsim -L PolarFire -L presynth -t 1ps presynth.tb لہر /tb/* شامل کریں
1000ns لاگ چلائیں /tb/* ایگزٹ

اپینڈکس C — اخذ کی پابندیاں (ایک سوال پوچھیں۔)

یہ ضمیمہ ڈیریو کنسٹرائنٹس Tcl کمانڈز کی وضاحت کرتا ہے۔
9.1 ڈیریو کنسٹرائنٹس Tcl کمانڈز (ایک سوال پوچھیں۔)
derive_constraints یوٹیلیٹی آپ کو RTL یا Libero SoC ڈیزائن ماحول سے باہر کنفیگریٹر سے رکاوٹیں حاصل کرنے میں مدد کرتی ہے۔ اپنے ڈیزائن کے لیے رکاوٹیں پیدا کرنے کے لیے، آپ کو یوزر ایچ ڈی ایل، کمپوننٹ ایچ ڈی ایل، اور کمپوننٹ کنسٹرائنٹس کی ضرورت ہے۔ files SDC اجزاء کی پابندیاں files کے تحت دستیاب ہیں۔ /جزو/کام/ / / اجزاء کی ترتیب اور نسل کے بعد ڈائریکٹری۔
ہر جزو کی پابندی file set_component tcl کمانڈ (جز کا نام بتاتا ہے) اور کنفیگریشن کے بعد پیدا ہونے والی رکاوٹوں کی فہرست پر مشتمل ہوتا ہے۔ رکاوٹیں ترتیب کی بنیاد پر پیدا ہوتی ہیں اور ہر جزو کے لیے مخصوص ہوتی ہیں۔
Exampلی 9-1۔ اجزاء کی پابندی File PF_CCC کور کے لیے
یہاں ایک سابق ہےampایک جزو کی پابندی کی le file PF_CCC کور کے لیے:
سیٹ_جز PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# Microchip Corp
# تاریخ: 2021-اکتوبر-26 04:36:00
PLL #0 کے لیے بنیادی گھڑی
تخلیق_کلاک -پیریوڈ 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock - divide_by 1 -source [ get_pins { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] یہاں، create_clock اور create_generated_clock بالترتیب حوالہ اور آؤٹ پٹ کلاک کی رکاوٹیں ہیں، جو کنفیگریشن کی بنیاد پر تیار کی گئی ہیں۔
9.1.1 derive_constraints یوٹیلٹی کے ساتھ کام کرنا (ایک سوال پوچھیں۔)
ڈیزائن سے گزرنے والی رکاوٹوں کو حاصل کریں اور پہلے فراہم کردہ جزو SDC کی بنیاد پر اجزاء کی ہر مثال کے لیے نئی رکاوٹیں مختص کریں۔ files CCC حوالہ گھڑیوں کے لئے، یہ حوالہ گھڑی کا ماخذ تلاش کرنے کے لئے ڈیزائن کے ذریعے واپس پھیلاتا ہے۔ اگر ذریعہ ایک I/O ہے، تو حوالہ گھڑی کی پابندی I/O پر سیٹ کی جائے گی۔ اگر یہ CCC آؤٹ پٹ ہے یا گھڑی کا دوسرا ذریعہ ہے (سابقہ ​​کے لیےample، Transceiver، oscillator)، یہ دوسرے جزو سے گھڑی کا استعمال کرتا ہے اور اگر وقفے مماثل نہیں ہوتے ہیں تو انتباہ کی اطلاع دیتا ہے۔ Derive constraints کچھ میکرو کے لیے بھی رکاوٹیں مختص کرے گا جیسے آن چپ oscillators آپ کے RTL میں ہیں۔
derive_constraints یوٹیلیٹی کو انجام دینے کے لیے، آپ کو ایک .tcl فراہم کرنا ہوگا۔ file مخصوص ترتیب میں درج ذیل معلومات کے ساتھ کمانڈ لائن دلیل۔

  1. سیکشن set_device میں معلومات کا استعمال کرتے ہوئے آلہ کی معلومات کی وضاحت کریں۔
  2. RTL کے راستے کی وضاحت کریں۔ files سیکشن read_verilog یا read_vhdl میں معلومات کا استعمال کر رہا ہے۔
  3. سیکشن set_top_level میں معلومات کا استعمال کرتے ہوئے ٹاپ لیول ماڈیول سیٹ کریں۔
  4. جزو SDC کے راستے کی وضاحت کریں۔ files سیکشن read_sdc یا read_ndc میں معلومات کا استعمال کر رہا ہے۔
  5. پر عمل کریں۔ fileسیکشن derive_constraints میں معلومات کا استعمال کر رہا ہے۔
  6.  ایس ڈی سی اخذ کردہ رکاوٹوں کے راستے کی وضاحت کریں۔ file سیکشن write_sdc یا write_pdc یا write_ndc میں معلومات کا استعمال کرنا۔

Exampلی 9-2۔ derive.tcl کی تکمیل اور مشمولات File
مندرجہ ذیل ایک سابق ہےampلی کمانڈ لائن دلیل derive_constraints یوٹیلیٹی کو انجام دینے کے لیے۔
$ /bin{64}/derive_constraints derive.tcl
derive.tcl کے مواد file:
# ڈیوائس کی معلومات
set_device -family PolarFire -die MPF100T -اسپیڈ -1
#RTL files
read_verilog -mode system_verilog project/component/work/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {project/component/work/txpll0/txpll0.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {project/hdl/xcvr1.vhd}
# جزو SDC files
سیٹ_ٹاپ_لیول {xcvr1}
read_sdc - جزو {project/component/work/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -component {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
# derive_constraint کمانڈ استعمال کریں۔
derive_constraints
#SDC/PDC/NDC نتیجہ files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 سیٹ_ڈیوائس (ایک سوال پوچھیں۔)
تفصیل
خاندانی نام، ڈائی کا نام، اور رفتار کا درجہ بتائیں۔
سیٹ_ڈیوائس -فیملی -مرنا - رفتار
دلائل

پیرامیٹر قسم تفصیل
-خاندان تار خاندان کا نام بتائیں۔ ممکنہ قدریں PolarFire®, PolarFire SoC ہیں۔
-مرنا تار مرنے کا نام بتائیں۔
- رفتار تار ڈیوائس کی رفتار کا درجہ بتائیں۔ ممکنہ قدریں STD یا -1 ہیں۔
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ مطلوبہ پیرامیٹر—ڈائی غائب ہے۔ ڈائی آپشن لازمی ہے اور اس کی وضاحت ہونی چاہیے۔
ERR0005۔ نامعلوم ڈائی 'MPF30' -die آپشن کی قدر درست نہیں ہے۔ آپشن کی تفصیل میں اقدار کی ممکنہ فہرست دیکھیں۔
ERR0023۔ پیرامیٹر—ڈائی قدر غائب ہے۔ ڈائی آپشن بغیر کسی قدر کے بیان کیا گیا ہے۔
ERR0023۔ مطلوبہ پیرامیٹر—خاندان غائب ہے۔ خاندانی اختیار لازمی ہے اور اس کی وضاحت ہونی چاہیے۔
ERR0004۔ نامعلوم خاندان 'PolarFire®' خاندانی آپشن درست نہیں ہے۔ آپشن کی تفصیل میں اقدار کی ممکنہ فہرست دیکھیں۔
…………جاری ہے۔
ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ پیرامیٹر—خاندان کی قدر غائب ہے۔ خاندانی آپشن بغیر قیمت کے بیان کیا گیا ہے۔
ERR0023۔ مطلوبہ پیرامیٹر — رفتار غائب ہے۔ رفتار کا اختیار لازمی ہے اور اس کی وضاحت ہونی چاہیے۔
ERR0007۔ نامعلوم رفتار' ' رفتار کا اختیار درست نہیں ہے۔ آپشن کی تفصیل میں اقدار کی ممکنہ فہرست دیکھیں۔
ERR0023۔ پیرامیٹر — رفتار کی قدر غائب ہے۔ رفتار کا اختیار بغیر قیمت کے بیان کیا گیا ہے۔

Example
سیٹ_ڈیوائس -فیملی {پولر فائر} -ڈائی {MPF300T_ES} -اسپیڈ -1
set_device -family SmartFusion 2 -die M2S090T -اسپیڈ -1
9.1.3 read_verilog (ایک سوال پوچھیں۔)
تفصیل
ویریلوگ پڑھیں file تصدیق کا استعمال کرتے ہوئے
read_verilog [-lib ] [-موڈ ]fileنام>
دلائل

پیرامیٹر قسم تفصیل
-lib تار لائبریری کی وضاحت کریں جس میں لائبریری میں شامل کیے جانے والے ماڈیولز شامل ہوں۔
-موڈ تار ویریلوگ معیار کی وضاحت کریں۔ ممکنہ اقدار ہیں verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu۔ اقدار کیس غیر حساس ہیں۔ ڈیفالٹ verilog_2k ہے۔
fileنام تار ویریلوگ file نام
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ پیرامیٹر — lib قدر غائب ہے۔ lib کا اختیار بغیر کسی قدر کے بیان کیا گیا ہے۔
ERR0023۔ پیرامیٹر—موڈ میں قدر غائب ہے۔ موڈ کا اختیار بغیر قیمت کے بیان کیا گیا ہے۔
ERR0015۔ نامعلوم موڈ ' ' مخصوص ویری لاگ موڈ نامعلوم ہے۔ ممکنہ ویری لاگ موڈ ان موڈ آپشن کی تفصیل کی فہرست دیکھیں۔
ERR0023۔ مطلوبہ پیرامیٹر file نام غائب ہے کوئی ویریلوگ نہیں۔ file راستہ فراہم کیا جاتا ہے.
ERR0016۔ Verific کے تجزیہ کار کی وجہ سے ناکام ہو گیا۔ ویریلوگ میں نحو کی خرابی۔ file. تصدیق کے تجزیہ کار کو غلطی کے پیغام کے اوپر کنسول میں دیکھا جا سکتا ہے۔
ERR0012۔ set_device نہیں کہا جاتا ہے۔ ڈیوائس کی معلومات متعین نہیں ہے۔ ڈیوائس کی وضاحت کے لیے set_device کمانڈ استعمال کریں۔

Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -mode system_verilog_mfcu design.v
9.1.4 read_vhdl (ایک سوال پوچھیں۔)
تفصیل
VHDL شامل کریں۔ file VHDL کی فہرست میں files.
read_vhdl [-lib ] [-موڈ ]fileنام>
دلائل

پیرامیٹر قسم تفصیل
-lib اس لائبریری کی وضاحت کریں جس میں مواد کو شامل کرنا ضروری ہے۔
-موڈ VHDL معیار کی وضاحت کرتا ہے۔ پہلے سے طے شدہ VHDL_93 ہے۔ ممکنہ قدریں vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl ہیں۔ اقدار کیس غیر حساس ہیں۔
fileنام وی ایچ ڈی ایل file نام
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ پیرامیٹر — lib قدر غائب ہے۔ lib کا اختیار بغیر کسی قدر کے بیان کیا گیا ہے۔
ERR0023۔ پیرامیٹر—موڈ میں قدر غائب ہے۔ موڈ کا اختیار بغیر قیمت کے بیان کیا گیا ہے۔
ERR0018۔ نامعلوم موڈ ' ' مخصوص VHDL موڈ نامعلوم ہے۔ ممکنہ VHDL موڈ ان موڈ اختیار کی تفصیل کی فہرست دیکھیں۔
ERR0023۔ مطلوبہ پیرامیٹر file نام غائب ہے VHDL نہیں file راستہ فراہم کیا جاتا ہے.
ERR0019۔ invalid_path.v رجسٹر کرنے سے قاصر file مخصوص VHDL file موجود نہیں ہے یا پڑھنے کی اجازت نہیں ہے۔
ERR0012۔ set_device نہیں کہا جاتا ہے۔ ڈیوائس کی معلومات متعین نہیں ہے۔ ڈیوائس کی وضاحت کے لیے set_device کمانڈ استعمال کریں۔

Example
read_vhdl -mode vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 سیٹ_ٹاپ_لیول (ایک سوال پوچھیں۔)
تفصیل
RTL میں ٹاپ لیول ماڈیول کا نام بتائیں۔
set_top_level [-lib ]
دلائل

پیرامیٹر قسم تفصیل
-lib تار اعلیٰ سطح کے ماڈیول یا ہستی کو تلاش کرنے کے لیے لائبریری (اختیاری)۔
نام تار اعلی سطحی ماڈیول یا ہستی کا نام۔
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ مطلوبہ پیرامیٹر ٹاپ لیول غائب ہے۔ اوپری سطح کا اختیار لازمی ہے اور اس کی وضاحت ہونی چاہیے۔
ERR0023۔ پیرامیٹر — lib قدر غائب ہے۔ lib کا اختیار بغیر اقدار کے بیان کیا گیا ہے۔
ERR0014۔ ٹاپ لیول تلاش کرنے سے قاصر لائبریری میں فراہم کردہ لائبریری میں مخصوص ٹاپ لیول ماڈیول کی وضاحت نہیں کی گئی ہے۔ اس خرابی کو دور کرنے کے لیے، ٹاپ ماڈیول یا لائبریری کا نام درست کرنا ضروری ہے۔
ERR0017۔ تفصیل میں ناکام RTL کی وضاحت کے عمل میں خرابی۔ غلطی کا پیغام کنسول سے دیکھا جا سکتا ہے۔

Example
سیٹ_ٹاپ_لیول {اوپر}
set_top_level -lib hdl top
9.1.6 read_sdc (سوال پوچھیں)
تفصیل
SDC پڑھیں file اجزاء کے ڈیٹا بیس میں۔
read_sdc - جزوfileنام>
دلائل

پیرامیٹر قسم تفصیل
- جزو جب ہم رکاوٹیں حاصل کرتے ہیں تو read_sdc کمانڈ کے لیے یہ ایک لازمی جھنڈا ہے۔
fileنام تار ایس ڈی سی کا راستہ file.
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ مطلوبہ پیرامیٹر file نام غائب ہے. لازمی آپشن file نام کی وضاحت نہیں کی گئی ہے۔
ERR0000۔ ایس ڈی سی file <file_path> پڑھنے کے قابل نہیں ہے۔ مخصوص SDC file پڑھنے کی اجازت نہیں ہے۔
ERR0001۔ کھولنے سے قاصرfile_path> file. ایس ڈی سی file موجود نہیں ہے راستہ درست کرنا ہوگا۔
ERR0008۔ سیٹ_کمپوننٹ کمانڈ موجود نہیں ہے۔file_path> file SDC کا مخصوص جزو file جزو کی وضاحت نہیں کرتا ہے۔
ایرر کوڈ غلطی کا پیغام تفصیل
ERR0009۔ <List of errors from sdc file> ایس ڈی سی file غلط sdc کمانڈز پر مشتمل ہے۔ سابق کے لیےampلی،

جب set_multicycle_path constraint میں کوئی خرابی ہو: کمانڈ پر عمل کرتے ہوئے خرابی read_sdc: میںfile_path> file: کمانڈ میں خرابی set_multicycle_path: نامعلوم پیرامیٹر [get_cells {reg_a}]۔

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (ایک سوال پوچھیں)
تفصیل
NDC پڑھیں file اجزاء کے ڈیٹا بیس میں۔
read_ndc -componentfileنام>
دلائل

پیرامیٹر قسم تفصیل
- جزو جب ہم رکاوٹیں حاصل کرتے ہیں تو read_ndc کمانڈ کے لیے یہ ایک لازمی جھنڈا ہے۔
fileنام تار NDC کا راستہ file.
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0001۔ کھولنے سے قاصرfile_path> file این ڈی سی file موجود نہیں ہے راستہ درست کرنا ہوگا۔
ERR0023۔ مطلوبہ پیرامیٹر—AtclParamO_ غائب ہے۔ لازمی آپشن fileنام کی وضاحت نہیں کی گئی ہے۔
ERR0023۔ مطلوبہ پیرامیٹر — جزو غائب ہے۔ اجزاء کا اختیار لازمی ہے اور اس کی وضاحت ضروری ہے۔
ERR0000۔ این ڈی سی file 'file_path>' پڑھنے کے قابل نہیں ہے۔ مخصوص این ڈی سی file پڑھنے کی اجازت نہیں ہے۔

Example
read_ndc -component {component/work/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (ایک سوال پوچھیں)
تفصیل
فوری جزو SDC fileڈیزائن کی سطح کے ڈیٹا بیس میں۔
derive_constraints
دلائل

واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0013۔ اعلیٰ سطح کی وضاحت نہیں کی گئی ہے۔ اس کا مطلب ہے کہ ٹاپ لیول ماڈیول یا ہستی متعین نہیں ہے۔ اس کال کو ٹھیک کرنے کے لیے، جاری کریں۔
derive_constraints کمانڈ سے پہلے set_top_level کمانڈ۔

Example
derive_constraints
9.1.9 write_sdc (ایک سوال پوچھیں)
تفصیل
ایک پابندی لکھتا ہے۔ file SDC فارمیٹ میں۔
write_sdcfileنام>
دلائل

پیرامیٹر قسم تفصیل
<fileنام> تار ایس ڈی سی کا راستہ file پیدا کیا جائے گا. یہ ایک لازمی آپشن ہے۔ اگر file موجود ہے، اسے اوور رائٹ کر دیا جائے گا۔
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0003۔ کھولنے سے قاصرfile راستہ> file. File راستہ درست نہیں ہے. چیک کریں کہ آیا پیرنٹ ڈائریکٹریز موجود ہیں۔
ERR0002۔ ایس ڈی سی file 'file path>' قابل تحریر نہیں ہے۔ مخصوص SDC file لکھنے کی اجازت نہیں ہے۔
ERR0023۔ مطلوبہ پیرامیٹر file نام غائب ہے. ایس ڈی سی file path ایک لازمی اختیار ہے اور اس کی وضاحت ضروری ہے۔

Example
write_sdc "derived.sdc"
9.1.10 write_pdc (سوال پوچھیں)
تفصیل
جسمانی رکاوٹیں لکھتا ہے (صرف رکاوٹیں اخذ کرتا ہے)۔
write_pdcfileنام>
دلائل

پیرامیٹر قسم تفصیل
<fileنام> تار PDC کا راستہ file پیدا کیا جائے گا. یہ ایک لازمی آپشن ہے۔ اگر file راستہ موجود ہے، اسے اوور رائٹ کر دیا جائے گا۔
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ خرابی کے پیغامات تفصیل
ERR0003۔ کھولنے سے قاصرfile راستہ> file دی file راستہ درست نہیں ہے. چیک کریں کہ آیا پیرنٹ ڈائریکٹریز موجود ہیں۔
ERR0002۔ پی ڈی سی file 'file path>' قابل تحریر نہیں ہے۔ مخصوص PDC file لکھنے کی اجازت نہیں ہے۔
ERR0023۔ مطلوبہ پیرامیٹر file نام غائب ہے پی ڈی سی file path ایک لازمی اختیار ہے اور اس کی وضاحت ضروری ہے۔

Example
write_pdc "derived.pdc"
9.1.11 write_ndc (ایک سوال پوچھیں)
تفصیل
NDC کی رکاوٹوں کو a میں لکھتا ہے۔ file.
write_ndcfileنام>
دلائل

پیرامیٹر قسم تفصیل
fileنام تار NDC کا راستہ file پیدا کیا جائے گا. یہ ایک لازمی آپشن ہے۔ اگر file موجود ہے، اسے اوور رائٹ کر دیا جائے گا۔
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ خرابی کے پیغامات تفصیل
ERR0003۔ کھولنے سے قاصرfile_path> file. File راستہ درست نہیں ہے. پیرنٹ ڈائریکٹریز موجود نہیں ہیں۔
ERR0002۔ این ڈی سی file 'file_path>' قابل تحریر نہیں ہے۔ مخصوص این ڈی سی file لکھنے کی اجازت نہیں ہے۔
ERR0023۔ مطلوبہ پیرامیٹر _AtclParamO_ غائب ہے۔ این ڈی سی file path ایک لازمی اختیار ہے اور اس کی وضاحت ضروری ہے۔

Example
write_ndc "derived.ndc"
9.1.12 add_include_path (ایک سوال پوچھیں)
تفصیل
شامل کرنے کے لیے تلاش کرنے کا راستہ بتاتا ہے۔ files RTL پڑھتے وقت files.
add_include_path
دلائل

پیرامیٹر قسم تفصیل
ڈائریکٹری تار شامل کرنے کے لیے تلاش کرنے کا راستہ بتاتا ہے۔ files RTL پڑھتے وقت files یہ اختیار لازمی ہے۔
واپسی کی قسم تفصیل
0 کمانڈ کامیاب ہو گیا۔
واپسی کی قسم تفصیل
1 کمانڈ ناکام ہوگئی۔ ایک خرابی ہے۔ آپ کنسول میں غلطی کا پیغام دیکھ سکتے ہیں۔

غلطیوں کی فہرست

ایرر کوڈ غلطی کا پیغام تفصیل
ERR0023۔ مطلوبہ پیرامیٹر شامل پاتھ غائب ہے۔ ڈائریکٹری کا آپشن لازمی ہے اور فراہم کیا جانا چاہیے۔

نوٹ: اگر ڈائریکٹری کا راستہ درست نہیں ہے، پھر add_include_path بغیر کسی غلطی کے گزر جائے گا۔
تاہم، read_verilog/read_vhd کمانڈز Verific کے تجزیہ کار کی وجہ سے ناکام ہو جائیں گی۔
Example
add_include_path جزو/work/COREABC0/COREABC0_0/rtl/vlog/core

نظر ثانی کی تاریخ (ایک سوال پوچھیں۔)

نظرثانی کی تاریخ ان تبدیلیوں کو بیان کرتی ہے جو دستاویز میں لاگو کی گئی تھیں۔ تبدیلیاں نظر ثانی کے ذریعے درج کی جاتی ہیں، جو کہ سب سے زیادہ حالیہ اشاعت سے شروع ہوتی ہیں۔

نظر ثانی تاریخ تفصیل
F 08/2024 اس نظرثانی میں درج ذیل تبدیلیاں کی گئی ہیں۔
اپڈیٹ شدہ سیکشن ضمیمہ B — نقلی ماحول میں نقلی لائبریریوں کو درآمد کرنا۔
E 08/2024 اس نظرثانی میں درج ذیل تبدیلیاں کی گئی ہیں۔
• اپ ڈیٹ شدہ سیکشن ختمview.
• اپ ڈیٹ شدہ سیکشن ماخوذ SDC File.
اپڈیٹ شدہ سیکشن ضمیمہ B — نقلی ماحول میں نقلی لائبریریوں کو درآمد کرنا۔
D 02/2024 یہ دستاویز Libero 2024.1 SoC ڈیزائن سویٹ کے ساتھ v2023.2 میں تبدیلیوں کے بغیر جاری کی گئی ہے۔
اپ ڈیٹ شدہ سیکشن derive_constraints یوٹیلٹی کے ساتھ کام کرنا
C 08/2023 یہ دستاویز Libero 2023.2 SoC ڈیزائن سویٹ کے ساتھ v2023.1 میں تبدیلیوں کے بغیر جاری کی گئی ہے۔
B 04/2023 یہ دستاویز Libero 2023.1 SoC ڈیزائن سویٹ کے ساتھ v2022.3 میں تبدیلیوں کے بغیر جاری کی گئی ہے۔
A 12/2022 ابتدائی نظرثانی۔

مائکروچپ ایف پی جی اے سپورٹ
مائیکرو چِپ ایف پی جی اے پروڈکٹس گروپ اپنی مصنوعات کو مختلف سپورٹ سروسز کے ساتھ بیک کرتا ہے، بشمول کسٹمر سروس، کسٹمر ٹیکنیکل سپورٹ سینٹر، webسائٹ، اور دنیا بھر میں سیلز دفاتر۔
صارفین کو مشورہ دیا جاتا ہے کہ وہ سپورٹ سے رابطہ کرنے سے پہلے مائیکرو چِپ کے آن لائن وسائل کو دیکھیں کیونکہ بہت امکان ہے کہ ان کے سوالات کا جواب پہلے ہی دے دیا گیا ہو۔
کے ذریعے ٹیکنیکل سپورٹ سینٹر سے رابطہ کریں۔ webسائٹ پر www.microchip.com/support. FPGA ڈیوائس پارٹ نمبر کا ذکر کریں، مناسب کیس کیٹیگری منتخب کریں، اور ڈیزائن اپ لوڈ کریں۔ files تکنیکی مدد کیس بناتے وقت۔
غیر تکنیکی پروڈکٹ سپورٹ کے لیے کسٹمر سروس سے رابطہ کریں، جیسے پروڈکٹ کی قیمتوں کا تعین، پروڈکٹ اپ گریڈ، اپ ڈیٹ کی معلومات، آرڈر کی حیثیت، اور اجازت۔

  • شمالی امریکہ سے، 800.262.1060 پر کال کریں۔
  • باقی دنیا سے، 650.318.4460 پر کال کریں۔
  • فیکس، دنیا میں کہیں سے بھی، 650.318.8044

مائیکرو چپ کی معلومات
مائیکرو چِپ Webسائٹ
مائیکرو چِپ ہمارے ذریعے آن لائن سپورٹ فراہم کرتا ہے۔ webسائٹ پر www.microchip.com/. یہ webسائٹ بنانے کے لئے استعمال کیا جاتا ہے files اور معلومات صارفین کے لیے آسانی سے دستیاب ہیں۔ دستیاب مواد میں سے کچھ میں شامل ہیں:

  • پروڈکٹ سپورٹ – ڈیٹا شیٹس اور خطا، ایپلیکیشن نوٹس اور ایسample پروگرامز، ڈیزائن کے وسائل، صارف کے رہنما اور ہارڈویئر سپورٹ دستاویزات، تازہ ترین سافٹ ویئر ریلیز اور محفوظ شدہ سافٹ ویئر
  • جنرل ٹیکنیکل سپورٹ - اکثر پوچھے جانے والے سوالات (FAQs)، تکنیکی مدد کی درخواستیں، آن لائن ڈسکشن گروپس، مائکروچپ ڈیزائن پارٹنر پروگرام ممبر کی فہرست
  • مائیکرو چِپ کا کاروبار - پروڈکٹ سلیکٹر اور آرڈرنگ گائیڈز، تازہ ترین مائیکرو چِپ پریس ریلیز، سیمینارز اور ایونٹس کی فہرست، مائیکرو چِپ سیلز آفسز، ڈسٹری بیوٹرز اور فیکٹری کے نمائندوں کی فہرستیں

مصنوعات کی تبدیلی کی اطلاع کی خدمت
مائیکرو چِپ کی پروڈکٹ کی تبدیلی کی اطلاع سروس صارفین کو مائیکرو چِپ پراڈکٹس پر تازہ رکھنے میں مدد کرتی ہے۔ سبسکرائبرز کو ای میل اطلاع موصول ہوگی جب بھی کسی مخصوص پروڈکٹ فیملی یا ڈیولپمنٹ ٹول کی دلچسپی سے متعلق تبدیلیاں، اپ ڈیٹس، نظرثانی یا خرابیاں ہوں گی۔ رجسٹر کرنے کے لیے، پر جائیں۔ www.microchip.com/pcn اور رجسٹریشن کی ہدایات پر عمل کریں۔

کسٹمر سپورٹ
مائیکرو چِپ پروڈکٹس کے صارفین کئی چینلز کے ذریعے مدد حاصل کر سکتے ہیں:

  • تقسیم کار یا نمائندہ
  • مقامی سیلز آفس
  • ایمبیڈڈ سولیوشن انجینئر (ESE)
  • ٹیکنیکل سپورٹ

صارفین کو مدد کے لیے اپنے ڈسٹری بیوٹر، نمائندے یا ESE سے رابطہ کرنا چاہیے۔ مقامی سیلز آفس بھی گاہکوں کی مدد کے لیے دستیاب ہیں۔ سیلز دفاتر اور مقامات کی فہرست اس دستاویز میں شامل ہے۔ کے ذریعے تکنیکی مدد دستیاب ہے۔ webسائٹ پر: www.microchip.com/support
مائیکرو چِپ ڈیوائسز کوڈ پروٹیکشن فیچر
مائیکرو چِپ پروڈکٹس پر کوڈ پروٹیکشن فیچر کی درج ذیل تفصیلات نوٹ کریں:

  • مائیکرو چِپ مصنوعات اپنی مخصوص مائیکرو چِپ ڈیٹا شیٹ میں موجود تصریحات کو پورا کرتی ہیں۔
  • مائیکرو چِپ کا خیال ہے کہ اس کی مصنوعات کا خاندان محفوظ ہے جب اسے مطلوبہ انداز میں، آپریٹنگ تصریحات کے اندر، اور عام حالات میں استعمال کیا جائے۔
  • مائیکروچپ قدروں اور جارحانہ طور پر اپنے دانشورانہ املاک کے حقوق کا تحفظ کرتی ہے۔ مائیکرو چِپ پروڈکٹ کے کوڈ پروٹیکشن فیچرز کی خلاف ورزی کرنے کی کوششیں سختی سے ممنوع ہیں اور ڈیجیٹل ملینیم کاپی رائٹ ایکٹ کی خلاف ورزی کر سکتی ہیں۔
  • نہ تو مائکروچپ اور نہ ہی کوئی دوسرا سیمی کنڈکٹر بنانے والا اس کے کوڈ کی حفاظت کی ضمانت دے سکتا ہے۔ کوڈ پروٹیکشن کا مطلب یہ نہیں ہے کہ ہم اس بات کی ضمانت دے رہے ہیں کہ پروڈکٹ "اٹوٹ ایبل" ہے۔ کوڈ تحفظ مسلسل تیار ہو رہا ہے۔ Microchip ہماری مصنوعات کے کوڈ پروٹیکشن فیچرز کو مسلسل بہتر بنانے کے لیے پرعزم ہے۔

قانونی نوٹس
یہ اشاعت اور اس میں موجود معلومات کو صرف مائیکرو چِپ پروڈکٹس کے ساتھ استعمال کیا جا سکتا ہے، بشمول آپ کی درخواست کے ساتھ مائیکرو چِپ پروڈکٹس کو ڈیزائن، ٹیسٹ اور انٹیگریٹ کرنا۔ کسی دوسرے طریقے سے اس معلومات کا استعمال ان شرائط کی خلاف ورزی کرتا ہے۔ ڈیوائس ایپلیکیشنز سے متعلق معلومات صرف آپ کی سہولت کے لیے فراہم کی جاتی ہیں اور اپ ڈیٹس کے ذریعے اس کی جگہ لے لی جا سکتی ہے۔ یہ یقینی بنانا آپ کی ذمہ داری ہے کہ آپ کی درخواست آپ کی وضاحتوں کے مطابق ہو۔ اضافی سپورٹ کے لیے اپنے مقامی مائیکرو چِپ سیلز آفس سے رابطہ کریں یا اضافی سپورٹ حاصل کریں۔ www.microchip.com/en-us/support/design-help/client-support-services.
یہ معلومات مائیکروچپ "جیسا ہے" کے ذریعہ فراہم کی گئی ہے۔ مائکروچپ کسی بھی طرح کی نمائندگی یا ضمانت نہیں دیتا ہے چاہے وہ ایکسپریس یا مضمر ، تحریری یا زبانی ، قانونی یا کسی اور طرح سے ، معلومات سے متعلق ہے لیکن کسی خاص مقصد کے ل non عدم انفرینڈمنٹ ، مرچنٹیبلٹی ، اور فٹنس کی کسی بھی مضمر وارنٹیوں تک محدود نہیں ہے لیکن اس میں محدود نہیں ہے۔ اس کی حالت، معیار، یا کارکردگی سے متعلق۔ کسی بھی صورت میں مائیکروچپ کسی بھی بالواسطہ، خصوصی، تعزیری، حادثاتی، یا نتیجے میں ہونے والے نقصان، نقصان، لاگت، یا کسی بھی قسم کے اخراجات کے لیے ذمہ دار نہیں ہوگی امکان یا نقصانات کا اندازہ لگایا جا سکتا ہے۔ قانون کی طرف سے اجازت دی گئی مکمل حد تک، معلومات یا اس کے استعمال سے متعلق کسی بھی طرح سے تمام دعووں پر مائیکروچپ کی کل ذمہ داری فیس کی رقم سے زیادہ نہیں ہو گی، اگر آپ کو کسی بھی قسم کی ادائیگی کی گئی ہے۔
لائف سپورٹ اور/یا حفاظتی ایپلی کیشنز میں مائیکرو چِپ ڈیوائسز کا استعمال مکمل طور پر خریدار کے خطرے میں ہے، اور خریدار اس طرح کے استعمال کے نتیجے میں ہونے والے کسی بھی اور تمام نقصانات، لایمز، سوٹ، یا اخراجات سے بے ضرر مائیکرو چِپ کا دفاع، معاوضہ اور اسے رکھنے پر متفق ہے۔ کسی بھی مائیکرو چِپ دانشورانہ املاک کے حقوق کے تحت کوئی لائسنس، واضح طور پر یا دوسری صورت میں نہیں دیا جاتا جب تک کہ دوسری صورت میں بیان نہ کیا جائے۔
ٹریڈ مارکس
مائیکرو چِپ کا نام اور لوگو، مائیکرو چِپ لوگو، اڈاپٹیک، اے وی آر، اے وی آر لوگو، اے وی آر فریکس، بیسٹ ٹائم، بٹ کلاؤڈ، کریپٹو میموری، کریپٹو آر ایف، ڈی ایس پی آئی سی، فلیکس پی ڈبلیو آر، ہیلڈو، آئی جی ایل او، جوک بلوکس، کیلوق، لنکس، لنکس، لنکس، میکل ایکس MediaLB, megaAVR, Microsemi, Microsemi لوگو, MOST, MOST لوگو, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 لوگو, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SST, Logo, Logo , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, اور XMEGA USA اور دیگر ممالک میں Incorporated Microchip Technology کے رجسٹرڈ ٹریڈ مارکس ہیں۔
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermit 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus لوگو, Quiet-World, SmartWorld TimeCesium، TimeHub، TimePictra، TimeProvider، اور ZL امریکہ میں شامل Microchip ٹیکنالوجی کے رجسٹرڈ ٹریڈ مارک ہیں۔
ملحقہ کلید دبانے، AKS، analog-for-the-Digital Age، Any Capacitor، AnyIn، AnyOut، Augmented Switching، BlueSky، BodyCom، Clockstudio، CodeGuard، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication، CryptoAutomotive، CryptoCDEMPanet، CryptoCid متحرک اوسط ملاپ , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-chip Connectivity, JitterBlocker, Knob-Knob-C, Knob-Play, IdealBridge زیادہ سے زیادہView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB سرٹیفائیڈ لوگو, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, PowerMOS 7, PowerMOS , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Syrod Touch , ٹرسٹڈ ٹائم, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan، WiperLock، XpressConnect، اور ZENA USA اور دیگر ممالک میں Incorporated Microchip Technology کے ٹریڈ مارک ہیں۔
ایس کیو ٹی پی امریکہ میں شامل مائیکرو چِپ ٹیکنالوجی کا ایک سروس مارک ہے۔
Adaptec لوگو، فریکوئنسی آن ڈیمانڈ، سیلیکون سٹوریج ٹیکنالوجی، اور Symmcom دیگر ممالک میں Microchip Technology Inc. کے رجسٹرڈ ٹریڈ مارک ہیں۔
GestIC Microchip Technology Germany II GmbH & Co. KG کا رجسٹرڈ ٹریڈ مارک ہے، جو Microchip Technology Inc. کا ذیلی ادارہ ہے، دوسرے ممالک میں۔
یہاں ذکر کردہ دیگر تمام ٹریڈ مارک ان کی متعلقہ کمپنیوں کی ملکیت ہیں۔
2024، Microchip Technology Incorporated اور اس کے ذیلی ادارے۔ جملہ حقوق محفوظ ہیں۔
ISBN: 978-1-6683-0183-8
کوالٹی مینجمنٹ سسٹم
مائیکرو چِپ کے کوالٹی مینجمنٹ سسٹمز کے بارے میں معلومات کے لیے، براہِ کرم ملاحظہ کریں۔ www.microchip.com/quality.
دنیا بھر میں سیلز اور سروس

امریکہ  ایشیا/پیسفک  ایشیا/پیسفک  یوروپ
کارپوریٹ آفس
2355 West Chandler Blvd.
چاندلر، AZ 85224-6199
ٹیلی فون: 480-792-7200
فیکس: 480-792-7277
تکنیکی معاونت: www.microchip.com/support
Web پتہ: www.microchip.com
اٹلانٹا
ڈولتھ، جی اے
ٹیلی فون: 678-957-9614
فیکس: 678-957-1455
آسٹن، TX
ٹیلی فون: 512-257-3370
بوسٹن
ویسٹبورو، ایم اے
ٹیلی فون: 774-760-0087
فیکس: 774-760-0088
شکاگو
Itasca، IL
ٹیلی فون: 630-285-0071
فیکس: 630-285-0075
ڈلاس
ایڈیسن ، ٹی ایکس
ٹیلی فون: 972-818-7423
فیکس: 972-818-2924
ڈیٹرائٹ
نووی، ایم آئی
ٹیلی فون: 248-848-4000
ہیوسٹن، TX
ٹیلی فون: 281-894-5983
انڈیاناپولس
Noblesville, IN
ٹیلی فون: 317-773-8323
فیکس: 317-773-5453
ٹیلی فون: 317-536-2380
لاس اینجلس
مشن ویجو، CA
ٹیلی فون: 949-462-9523
فیکس: 949-462-9608
ٹیلی فون: 951-273-7800
ریلی، این سی
ٹیلی فون: 919-844-7510
نیویارک، نیو یارک
ٹیلی فون: 631-435-6000
سان ہوزے، CA
ٹیلی فون: 408-735-9110
ٹیلی فون: 408-436-4270
کینیڈا - ٹورنٹو
ٹیلی فون: 905-695-1980
فیکس: 905-695-2078
آسٹریلیا - سڈنی
ٹیلی فون: 61-2-9868-6733
چین - بیجنگ
ٹیلی فون: 86-10-8569-7000
چین - چینگڈو
ٹیلی فون: 86-28-8665-5511
چین - چونگ کنگ
ٹیلی فون: 86-23-8980-9588
چین - ڈونگ گوان
ٹیلی فون: 86-769-8702-9880
چین - گوانگزو
ٹیلی فون: 86-20-8755-8029
چین - ہانگجو
ٹیلی فون: 86-571-8792-8115
چین - ہانگ کانگ SAR
ٹیلی فون: 852-2943-5100
چین - نانجنگ
ٹیلی فون: 86-25-8473-2460
چین - چنگ ڈاؤ
ٹیلی فون: 86-532-8502-7355
چین - شنگھائی
ٹیلی فون: 86-21-3326-8000
چین - شینیانگ
ٹیلی فون: 86-24-2334-2829
چین - شینزین
ٹیلی فون: 86-755-8864-2200
چین - سوزو
ٹیلی فون: 86-186-6233-1526
چین - ووہان
ٹیلی فون: 86-27-5980-5300
چین - ژیان
ٹیلی فون: 86-29-8833-7252
چین - زیامین
ٹیلی فون: 86-592-2388138
چین - زوہائی
ٹیلی فون: 86-756-3210040
انڈیا - بنگلور
ٹیلی فون: 91-80-3090-4444
ہندوستان - نئی دہلی
ٹیلی فون: 91-11-4160-8631
بھارت - پونے
ٹیلی فون: 91-20-4121-0141
جاپان - اوساکا
ٹیلی فون: 81-6-6152-7160
جاپان - ٹوکیو
ٹیلی فون: 81-3-6880- 3770
کوریا - ڈیگو
ٹیلی فون: 82-53-744-4301
کوریا - سیول
ٹیلی فون: 82-2-554-7200
ملائیشیا۔ کوالالمپور
ٹیلی فون: 60-3-7651-7906
ملائیشیا - پینانگ
ٹیلی فون: 60-4-227-8870
فلپائن - منیلا
ٹیلی فون: 63-2-634-9065
سنگاپور
ٹیلی فون: 65-6334-8870
تائیوان - ہسن چو
ٹیلی فون: 886-3-577-8366
تائیوان - کاؤسنگ
ٹیلی فون: 886-7-213-7830
تائیوان - تائی پے
ٹیلی فون: 886-2-2508-8600
تھائی لینڈ - بنکاک
ٹیلی فون: 66-2-694-1351
ویتنام - ہو چی منہ
ٹیلی فون: 84-28-5448-2100
آسٹریا - ویلز
ٹیلی فون: 43-7242-2244-39
فیکس: 43-7242-2244-393
ڈنمارک - کوپن ہیگن
ٹیلی فون: 45-4485-5910
فیکس: 45-4485-2829
فن لینڈ - ایسپو
ٹیلی فون: 358-9-4520-820
فرانس - پیرس
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
جرمنی - گارچنگ
ٹیلی فون: 49-8931-9700
جرمنی - ہان
ٹیلی فون: 49-2129-3766400
جرمنی - ہیلبرون
ٹیلی فون: 49-7131-72400
جرمنی - کارلسروہے
ٹیلی فون: 49-721-625370
جرمنی - میونخ
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
جرمنی - روزن ہائیم
ٹیلی فون: 49-8031-354-560
اسرائیل - ہود ہاشارون
ٹیلی فون: 972-9-775-5100
اٹلی - میلان
ٹیلی فون: 39-0331-742611
فیکس: 39-0331-466781
اٹلی - پاڈووا
ٹیلی فون: 39-049-7625286
نیدرلینڈز - ڈرونن
ٹیلی فون: 31-416-690399
فیکس: 31-416-690340
ناروے - ٹرانڈہیم
ٹیلی فون: 47-72884388
پولینڈ - وارسا
ٹیلی فون: 48-22-3325737
رومانیہ - بخارسٹ
Tel: 40-21-407-87-50
اسپین۔ میڈرڈ
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
سویڈن - گوٹنبرگ
Tel: 46-31-704-60-40
سویڈن - اسٹاک ہوم
ٹیلی فون: 46-8-5090-4654
یوکے - ووکنگھم
ٹیلی فون: 44-118-921-5800
فیکس: 44-118-921-5820

مائکروچپ - لوگو

دستاویزات / وسائل

MICROCHIP DS00004807F پولر فائر فیملی FPGA کسٹم فلو [پی ڈی ایف] یوزر گائیڈ
DS00004807F پولر فائر فیملی FPGA کسٹم فلو، DS00004807F، پولر فائر فیملی FPGA کسٹم فلو، فیملی FPGA کسٹم فلو، کسٹم فلو، فلو

حوالہ جات

ایک تبصرہ چھوڑیں۔

آپ کا ای میل پتہ شائع نہیں کیا جائے گا۔ مطلوبہ فیلڈز نشان زد ہیں۔ *