Leabhar-iùil cleachdaiche sruthadh gnàthaichte PolarFire Family FPGA
Libero SoC v2024.2
Ro-ràdh (Faighnich Ceist)
Tha bathar-bog Libero System-on-Chip (SoC) a’ toirt seachad àrainneachd dealbhaidh Field Programmable Gate Array (FPGA) làn-aonaichte. Ach, is dòcha gum bi beagan luchd-cleachdaidh airson innealan co-chur is samhlachaidh treas-phàrtaidh a chleachdadh taobh a-muigh àrainneachd Libero SoC. Faodar Libero a thoirt a-steach don àrainneachd dealbhaidh FPGA a-nis. Thathar a’ moladh Libero SoC a chleachdadh gus sruthadh dealbhaidh FPGA gu lèir a riaghladh.
Tha an stiùireadh cleachdaiche seo a’ toirt cunntas air an t-Sruth Gnàthaichte airson innealan PolarFire agus Teaghlach SoC PolarFire, pròiseas gus Libero a thoirt a-steach mar phàirt den t-sruth dealbhaidh FPGA nas fharsainge. Teaghlaichean Innealan a tha air an Taic® Tha an clàr a leanas a’ liostadh nan teaghlaichean innealan a tha Libero SoC a’ toirt taic dhaibh. Ach, is dòcha nach eil cuid den fhiosrachadh san stiùireadh seo a’ buntainn ach ri teaghlach sònraichte de dh’innealan. Anns a’ chùis seo, tha am fiosrachadh sin air a chomharrachadh gu soilleir.
Clàr 1. Teaghlaichean inneal le taic bho Libero SoC
Teaghlach inneal | Tuairisgeul |
PolarFire® | Bidh FPGAn PolarFire a’ lìbhrigeadh an cumhachd as ìsle sa ghnìomhachas aig dùmhlachdan meadhan-raoin le tèarainteachd agus earbsachd air leth. |
PolarFire SoC | ’S e PolarFire SoC a’ chiad SoC FPGA le cruinneachadh CPU RISC-V dearbhte, co-leanailteach, agus fo-shiostam cuimhne L2 dearbhte a leigeas le tagraidhean Linux® agus fìor-ùine. |
Thairisview (Faighnich Ceist)
Fhad ‘s a tha Libero SoC a’ toirt seachad àrainneachd dealbhaidh deireadh-gu-deireadh làn-amalaichte gus dealbhadh SoC agus FPGA a leasachadh, tha e cuideachd a ’toirt seachad sùbailteachd gus synthesis agus atharrais a ruith le innealan treas-phàrtaidh taobh a-muigh àrainneachd Libero SoC. Ach, feumaidh cuid de cheumannan dealbhaidh fuireach taobh a-staigh àrainneachd Libero SoC.
Tha an clàr a leanas a’ liostadh na prìomh cheumannan ann an sruth dealbhaidh FPGA agus a’ nochdadh na ceumannan air am feumar Libero SoC a chleachdadh.
Clàr 1-1. Sruth dealbhaidh FPGA
Ceum Sruth Dealbhaidh | Feumaidh tu Libero a chleachdadh | Tuairisgeul |
Inntrigeadh Dealbhaidh: HDL | Chan eil | Cleachd inneal deasachaidh / dearbhaidh HDL treas-phàrtaidh taobh a-muigh Libero® SoC ma thogras tu. |
Inntrigeadh Dealbhaidh: Configurators | Tha | Cruthaich a’ chiad phròiseact Libero airson gineadh prìomh phàirtean catalog IP. |
Gineadh casg fèin-ghluasadach PDC / SDC | Chan eil | Feumaidh cuingealachaidhean toraidh HDL gu lèir files agus goireas derive_constraints nuair a thèid a dhèanamh taobh a-muigh Libero SoC, mar a tha air a mhìneachadh ann an Leas-phàipear C—Derive Constraints. |
Samhlachadh | Chan eil | Cleachd inneal treas-phàrtaidh taobh a-muigh Libero SoC, ma thogras tu. Feumaidh luchdachadh sìos leabharlannan atharrais a chaidh a chuir ri chèile ro-làimh airson inneal targaid, simuladair targaid, agus dreach targaid Libero air a chleachdadh airson buileachadh backend. |
Synthesis | Chan eil | Cleachd inneal treas-phàrtaidh taobh a-muigh Libero SoC ma thogras tu. |
Buileachadh Dealbhaidh: Stiùirich Cuingealachaidhean, Cruinnich Liosta-lìn, Cuir is Slighe (faic Thairis)view) | Tha | Cruthaich dàrna pròiseact Libero airson buileachadh backend. |
Ùine agus dearbhadh cumhachd | Tha | Fuirich san dàrna pròiseact Libero. |
Rèitich Dàta Tòiseachaidh Dealbhaidh agus Cuimhneachain | Tha | Cleachd an inneal seo gus diofar sheòrsaichean de chuimhneachain a riaghladh agus dealbhadh tòiseachaidh san inneal. Fuirich san dàrna pròiseact. |
Prògramadh File Ginealach | Tha | Fuirich san dàrna pròiseact. |
Cudromach: Thusa feumaidh tu leabharlannan ro-chruinnichte a luchdachadh sìos a tha rim faighinn aig an Leabharlannan Samhlachaidh Ro-Cho-chruinnichte duilleag gus simulator treas-phàrtaidh a chleachdadh.
Ann an sruthadh FPGA Fabric fìor-ghlan, cuir a-steach an dealbhadh agad a’ cleachdadh HDL no inntrigeadh sgemataigeach agus cuir sin gu dìreach.
chun nan innealan co-chur. Tha an t-sruthadh fhathast air a chumail suas. Tha FPGAan PolarFire agus PolarFire SoC cudromach
blocaichean IP cruaidh seilbhe a dh’ fheumas cleachdadh coraichean rèiteachaidh (SgCores) bhon Libero SoC IP
catalog. Feumar làimhseachadh sònraichte airson blocaichean sam bith anns a bheil gnìomhachd SoC:
- Teine Polar
– PF_UPROM
– SEIRBHEISEAN_SIOSTAM PF
– PF_CCC
– PF CLK DIV
– PF_CRYPTO
– PF_DRI
– PF_INIT_MONITOR
– PF_NGMUX
– PF_OSC
– RAMan (TPSRAM, DPSRAM, URAM)
– PF_SRAM_AHBL_AXI
– PF_XCVR_ERM
– PF_XCVR_REF_CLK
– PF_TX_PLL
– PF_PCIE
– PF_IO
– PF_IOD_CDR
– PF_IOD_CDR_CCC
– PF_IOD_GENERIC_RX
– PF_IOD_GENERIC_TX
– PF_IOD_GENERIC_TX_CCC
– PF_RGMII_TO_GMII
– PF_IOD_OCTAL_DDR
– PF_DDR3
– PF_DDR4
– PF_LPDDR3
– PF_QDR
– PF_CORESMARTBERT
– PF_TAMPER
– PF_TVS, agus mar sin air adhart.
A bharrachd air na SgCores a chaidh a liostadh roimhe, tha mòran IPan bog DirectCore rim faighinn airson teaghlaichean innealan PolarFire agus PolarFire SoC ann an Catalog Libero SoC a bhios a’ cleachdadh goireasan aodach FPGA.
Airson inntrigeadh dealbhaidh, ma chleachdas tu gin de na co-phàirtean roimhe, feumaidh tu Libero SoC a chleachdadh airson pàirt den inntrigeadh dealbhaidh (Rèiteachadh Co-phàirtean), ach faodaidh tu leantainn air adhart leis a’ chòrr den Inntrigeadh Dealbhaidh agad (inntrigeadh HDL, agus mar sin air adhart) taobh a-muigh Libero. Gus sruthadh dealbhaidh FPGA a riaghladh taobh a-muigh Libero, lean na ceumannan a tha air an toirt seachad anns a’ chòrr den stiùireadh seo.
1.1 Cearcall-beatha nam Pàirtean (Faighnich Ceist)
Tha na ceumannan a leanas a’ toirt cunntas air cearcall beatha pàirt SoC agus a’ toirt seachad stiùireadh air mar a làimhsicheas tu an dàta.
- Gin am pàirt a’ cleachdadh a rèiteadair ann an Libero SoC. Bidh seo a’ gineadh nan seòrsaichean dàta a leanas:
– HDL files
— Cuimhne files
– Brosnachadh agus Samhlachadh files
– SDC Co-phàirteach file - Airson HDL files, cuir sa bhad agus aonaich iad sa chòrr den dealbhadh HDL a’ cleachdadh an inneal / pròiseas inntrigidh dealbhaidh taobh a-muigh.
- Cuimhne solair files agus brosnachadh files chun inneal samhlachaidh agad.
- SDC Pàirt Solarachaidh file inneal Derive Constraint airson Gineadh Constraint. Faic Leas-phàipear C—Derive Constraints airson tuilleadh fiosrachaidh.
- Feumaidh tu dàrna pròiseact Libero a chruthachadh, far am bi thu a’ toirt a-steach an liosta lìon iar-cho-chur agus meata-dhàta do phàirtean, agus mar sin a’ crìochnachadh a’ cheangail eadar na chruthaich thu agus na tha thu a’ prògramadh.
1.2 Cruthachadh Pròiseact Libero SoC (Faighnich Ceist)
Feumaidh cuid de cheumannan dealbhaidh a bhith air an ruith taobh a-staigh àrainneachd Libero SoC (Clàr 1-1). Airson na ceumannan seo a ruith, feumaidh tu dà phròiseact Libero SoC a chruthachadh. Tha a’ chiad phròiseact air a chleachdadh airson rèiteachadh agus gineadh phàirtean dealbhaidh, agus tha an dàrna pròiseact airson buileachadh corporra an dealbhadh àrd-ìre.
1.3 Sruth Gnàthaichte (Faighnich Ceist)
Tha an dealbh a leanas a’ sealltainn:
- Faodar Libero SoC a thoirt a-steach mar phàirt den t-sruth dealbhaidh FPGA nas motha leis na h-innealan co-chur is samhlachaidh treas-phàrtaidh taobh a-muigh àrainneachd Libero SoC.
- Diofar cheumannan an sàs san t-sruth, a’ tòiseachadh bho chruthachadh dealbhaidh agus fuaigheal fad na slighe gu prògramadh an inneil.
- An iomlaid dàta (cuir-a-steach agus toraidhean) a dh’fheumas tachairt aig gach ceum den t-sruthadh dealbhaidh.
Gliocas:
- SNVM.cfg, UPROM.cfg
- *.mem file ginealach airson Simulachadh: bidh pa4rtupromgen.exe a’ gabhail UPROM.cfg mar chur-a-steach agus a’ gineadh UPROM.mem.
Seo na ceumannan anns an t-sruth gnàthaichte:
- Rèiteachadh agus gineadh phàirtean:
a. Cruthaich a’ chiad phròiseact Libero (airson a bhith na Phròiseact Iomraidh).
b. Tagh am Prìomh-phàirt bhon Chatalog. Dèan briogadh dùbailte air a’ phrìomh-phàirt gus ainm a thoirt dha agus rèitich am pàirt.
Bidh seo gu fèin-ghluasadach a’ cur dàta co-phàirtean às-mhalairt agus files. Thathas cuideachd a’ cruthachadh Taisbeanaidhean Co-phàirteach. Faic Manifests Co-phàirtean airson mion-fhiosrachadh. Airson tuilleadh fiosrachaidh, faic Configuration Component. - Crìochnaich do dhealbhadh RTL taobh a-muigh Libero:
a. Cruthaich an HDL co-phàirteach files.
b. Àite an HDL files air a liostadh anns na Manifests Component files. - Ginich cuingealachaidhean SDC airson nan co-phàirtean. Cleachd an goireas Derive Constraints gus an cuingealachadh tìm a ghineadh. file(SDC) stèidhichte air:
a. HDL co-phàirteach files
b. Co-phàirt SDC files
c. Cleachdaiche HDL files
Airson tuilleadh fiosrachaidh, faic Leas-phàipear C—Toirt a-mach Cuingealachaidhean. - Inneal co-chur/inneal samhlachaidh:
a. Faigh HDL files, brosnachadh files, agus dàta co-phàirteach bho na h-àiteachan sònraichte mar a chaidh a chomharrachadh anns na Manifests Component.
b. Synthesize agus atharrais air an dealbhadh le innealan treas-phàrtaidh taobh a-muigh Libero SoC. - Cruthaich an dàrna Pròiseact Libero (Buileachaidh) agad.
- Thoir air falbh co-chur bhon t-sreath innealan sruthadh dealbhaidh (Pròiseact > Roghainnean a’ Phròiseict > Sruth Dealbhaidh > cuir às don bhogsa-seic Cuir an comas Co-chur).
- Thoir a-steach stòr an dealbhaidh files (liosta lìon *.vm às dèidh co-chur bhon inneal co-chur):
– Thoir a-steach liosta-lìn *.vm às dèidh co-chur (File> Import> Synthesized Verilog Netlist (VM)).
– Meata-dhàta co-phàirteach *.cfg files airson uPROM agus/no sNVM. - Thoir a-steach co-phàirt bloc Libero SoC sam bith files. Am bloc filefeumaidh s a bhi anns an *.cxz file cruth.
Airson tuilleadh fiosrachaidh air mar a chruthaicheas tu bloc, faic Stiùireadh Cleachdaiche PolarFire Block Flow. - Cuir a-steach na cuingeadan dealbhaidh:
– Cuingealachadh I/O a thoirt a-steach files (Manaidsear Cuingealachaidhean> I / OA Feartan> Import).
– Planadh làir a thoirt a-steach * .pdc files (Manaidsear Cuingealachaidhean> Dealbhadair Làr> Import).
– Cuingealachadh tìm *.sdc a thoirt a-steach files (Manaidsear Cuingealachaidhean> Ùine> Import). Cuir a-steach an SDC file air a chruthachadh tro inneal Derive Constraint.
– Cuingealachadh in-mhalairt *.ndc files (Manaidsear Cuingealachaidhean> NetlistAttributes> Import), ma tha gin ann. - Cuingealachadh file agus comann innealan
– Anns a’ Mhanaidsear Chuingealachaidhean, ceangail an *.pdc files gu àite agus slighe, an *.sdc files gu dearbhaidhean àite agus slighe agus ùine, agus an *.ndc files a chur ri chèile Netlist. - Buileachadh dealbhaidh iomlan
– Cuir agus slighe, dearbhaich an t-àm agus an cumhachd, rèitich dàta is cuimhneachain tòiseachaidh dealbhaidh, agus prògramadh file ginealach. - Dearbhaich an dealbhadh
– Dearbhaich an dealbhadh air FPGA agus dèan dì-bhugachadh mar a dh’fheumar a’ cleachdadh nan innealan dealbhaidh a tha air an toirt seachad leis an t-sreath dealbhaidh Libero SoC.
Rèiteachadh nam Pàirtean (Faighnich Ceist)
Is e a’ chiad cheum san t-sruthadh gnàthaichte na co-phàirtean agad a rèiteachadh le bhith a’ cleachdadh pròiseact iomraidh Libero (ris an canar cuideachd a’ chiad phròiseact Libero ann an Clàr 1-1). Anns na ceumannan às dèidh sin, bidh thu a’ cleachdadh dàta bhon phròiseact iomraidh seo.
Ma tha thu a’ cleachdadh co-phàirtean sam bith a tha air an liostadh na bu thràithe, fo Tharview nad dhealbhadh, dèan na ceumannan a tha air am mìneachadh san roinn seo.
Mura h-eil thu a’ cleachdadh gin de na co-phàirtean gu h-àrd, faodaidh tu do RTL a sgrìobhadh taobh a-muigh Libero agus a thoirt a-steach gu dìreach do na h-innealan Synthesis and Simulation agad. Faodaidh tu an uairsin a dhol air adhart chun roinn iar-synthesis agus dìreach do liosta post-synthesis * .vm a thoirt a-steach don phròiseact buileachaidh Libero mu dheireadh agad (ris an canar cuideachd an dàrna pròiseact Libero ann an Clàr 1-1).
2.1 Rèiteachadh nam pàirtean a’ cleachdadh Libero (Faighnich Ceist)
Às deidh dhut na pàirtean a thaghadh a dh’ fheumar a chleachdadh bhon liosta roimhe, dèan na ceumannan a leanas:
- Cruthaich pròiseact Libero ùr (Cruinneachadh is Ginealach): Tagh an inneal agus an teaghlach air a bheil thu ag amas air an dealbhadh deireannach agad.
- Cleachd aon no barrachd de na coraichean a chaidh ainmeachadh ann an Custom Flow.
a. Cruthaich SmartDesign agus rèitich an cridhe a tha thu ag iarraidh agus cuir sa bhad e anns a ’phàirt SmartDesign.
b. Brosnaich na prìnichean gu lèir chun na h-ìre as àirde.
c. Cruthaich an SmartDesign.
d. Dèan briogadh dùbailte air an inneal Simulate (aon de na roghainnean Ro-Synthesis no Post-Synthesis no Post-Layout) gus an simuladair a chuir an sàs. Faodaidh tu an simuladair fhàgail às deidh dha a bhith air a chleachdadh. Bidh an ceum seo a’ gineadh an atharrais fileriatanach airson do phròiseact.
Molaidh: Thusa feumaidh tu an ceum seo a dhèanamh ma tha thu airson an dealbhadh agad a shamhlachadh taobh a-muigh Libero.
Airson tuilleadh fiosrachaidh, faic Simulating Your Design.
e. Sàbhail do phròiseact—seo do phròiseact fiosrachaidh.
2.2 Taisbeanaidhean Co-phàirteach (Faighnich Ceist)
Nuair a ghineas tu na co-phàirtean agad, seata de files air a chruthachadh airson gach pàirt. Tha an aithisg Component Manifest a’ toirt mion-fhiosrachadh air an t-seata de files a chruthachadh agus a chleachdadh anns gach ceum às deidh sin (Synthesis, Simulation, Firmware Generation, agus mar sin air adhart). Bheir an aithisg seo dhut na h-àiteachan airson a h-uile gin a chaidh a chruthachadh files a dhìth gus a dhol air adhart leis an Custom Flow. Gheibh thu cothrom air a’ cho-phàirt a tha follaiseach ann an raon nan Aithisgean: Briog air Dealbhadh > Aithisgean gus an taba Aithisgean fhosgladh. Anns an taba Aithisgean, chì thu seata de manifest.txt files (thairisview), aon airson gach pàirt a chruthaich thu.
Moladh: Feumaidh tu co-phàirt no modúl a shuidheachadh mar '”freumh”' gus am faic thu manifest a’ cho-phàirt file susbaint anns an taba Aithisgean.
Air neo, gheibh thu cothrom air an aithisg follaiseach fa leth files airson gach prìomh phàirt a chaidh a chruthachadh no pàirt SmartDesign bho /com-pàirt/obair/ / / _manifest.txt neo /com-pàirt/obair/ / _manifest.txt. Faodaidh tu cuideachd faighinn chun chlàr-taice file susbaint gach co-phàirt air a chruthachadh bhon taba Components ùr ann an Libero, far a bheil an file Thathas a’ toirt iomradh air àiteachan a thaobh eòlaire a’ phròiseict.Cuir fòcas air na h-aithisgean Manifest Co-phàirteach a leanas:
- Ma chruthaich thu coraichean ann an SmartDesign, leugh an file _manifest.txt.
- Ma chruthaich thu co-phàirtean airson coraichean, leugh an _manifest.txt.
Feumaidh tu a h-uile aithisg Manifests Component a chleachdadh a bhuineas don dealbhadh agad. Airson example, ma tha SmartDesign aig a’ phròiseact agad le aon no barrachd de phrìomh phàirtean sa bhad agus gu bheil thu am beachd an cleachdadh uile anns an dealbhadh deireannach agad, feumaidh tu taghadh files air an liostadh anns na h-aithisgean Manifests Components de na pàirtean sin uile airson an cleachdadh nad shruth dealbhaidh.
2.3 Eadar-mhìneachadh Manifest Files (Faighnich Ceist)
Nuair a dh'fhosglas tu pàirt follaiseach file, chì thu slighean gu files sa phròiseact Libero agad agus molaidhean air càite san t-sruth dealbhaidh airson an cleachdadh. Is dòcha gum faic thu na seòrsaichean a leanas files ann am follais file:
- Stòr HDL files airson a h-uile inneal Synthesis agus Simulation
- Spreagadh files airson a h-uile inneal Simulation
- Cuingealachadh files
A’ leantainn tha an Co-chomharran Manifest de phrìomh phàirt PolarFire.A h-uile seòrsa de file riatanach sìos an abhainn anns an t-sruth dealbhaidh agad. Tha na h-earrannan a leanas a’ toirt cunntas air aonachadh nan files bhon fhoillseachadh gu do shruth dealbhaidh.
Gineadh Cuingealachaidhean (Faighnich Ceist)
Nuair a bhios tu a’ dèanamh rèiteachadh agus gineadh, dèan cinnteach gun sgrìobh thu / gun cruthaich thu an cuingealachadh SDC / PDC / NDC files airson an dealbhadh an toirt gu innealan Synthesis, Place-and-Route, agus Dearbhaich Ùine.
Cleachd an goireas Derive Constraints taobh a-muigh àrainneachd Libero gus cuingeadan a ghineadh an àite a bhith gan sgrìobhadh le làimh. Gus an goireas Derive Constraint a chleachdadh taobh a-muigh àrainneachd Libero, feumaidh tu:
- HDL neach-cleachdaidh solair, HDL co-phàirteach, agus cuingealachadh SDC co-phàirteach files
- Sònraich am modúl ìre as àirde
- Sònraich an t-àite far am bu chòir an cuingealachadh a chaidh a thoirt a-mach a chruthachadh files
Tha na cuingeadan co-phàirteach SDC rim faighinn fo /com-pàirt/obair/ / / eòlaire às deidh rèiteachadh co-phàirteach agus ginealach.
Airson tuilleadh fiosrachaidh air mar a chruthaicheas tu cuingealachaidhean airson do dhealbhadh, faic Leas-phàipear C—Toirt a-mach Cuingealachaidhean.
A’ co-chur do dhealbhadh (Faighnich Ceist)
Is e aon de na prìomh fheartan aig an Custom Flow leigeil leat co-chur treas-phàrtaidh a chleachdadh.
inneal taobh a-muigh Libero. Tha an sruth gnàthaichte a’ toirt taic do chleachdadh Synopsys SynplifyPro. Gus do chuid a cho-chur ri chèile
pròiseact, cleachd an dòigh-obrach a leanas:
- Cruthaich pròiseact ùr san inneal Synthesis agad, ag amas air an aon teaghlach innealan, bàs, agus pasgan ris a’ phròiseact Libero a chruthaich thu.
a. Thoir a-steach an RTL agad fhèin files mar as àbhaist.
b. Suidhich an toradh Synthesis gu bhith Structural Verilog (.vm).
Molaidhean: Structarail ’S e Verilog (.vm) an aon chruth toraidh co-chur a tha air a thaiceadh ann am PolarFire. - Pàirt a-steach HDL filea-steach don phròiseact Synthesis agad:
a. Airson gach Aithisg Taisbeanaidhean Co-phàirteach: Airson gach file fo stòr HDL files airson a h-uile inneal Synthesis and Simulation, thoir a-steach an file a-steach don Phròiseact Synthesis agad. - Thoir a-steach an file polarfire_syn_comps.v (ma tha thu a’ cleachdadh Synopsys Synplify) bho
Àite stàlaidh>/data/aPA5M chun phròiseact Synthesis agad. - Thoir a-steach an SDC a chaidh a chruthachadh roimhe file tron inneal Derived Constraint (faic an Leas-phàipear)
A—Sample SDC Constraints) a-steach don inneal Synthesis. An casg seo file a’ cuingealachadh an inneal synthesis gus dùnadh ùine a choileanadh le nas lugha oidhirp agus nas lugha de dh’ aithrisean dealbhaidh.
Cudromach:
- Ma tha thu an dùil an aon *.sdc a chleachdadh file Gus Place-and-Route a chuingealachadh aig ìre cur an gnìomh an dealbhaidh, feumaidh tu an *.sdc seo a thoirt a-steach don phròiseact co-chur. Tha seo gus dèanamh cinnteach nach eil mì-cho-fhreagarrachd ainmean nithean dealbhaidh anns an liosta lìon co-chur agus na cuingeadan Place-and-Route aig ìre cur an gnìomh a’ phròiseas dealbhaidh. Mura cuir thu a-steach an *.sdc seo file Anns a’ cheum Synthesis, is dòcha gun fàilig an netlist a chaidh a chruthachadh bho Synthesis anns a’ cheum Place and Route air sgàth mì-cho-fhreagarrachd ann an ainmean nithean dealbhaidh.
a. Cuir a-steach Buadhan Netlist *.ndc, ma tha gin ann, a-steach don inneal Synthesis.
b. Ruith Synthesis. - Tha an liosta-lìn *.vm aig àite toradh an inneil Synthesis agad. file Synthesis post air a chruthachadh. Feumaidh tu an liosta lìon a thoirt a-steach do Phròiseact Buileachaidh Libero gus leantainn air adhart leis a’ phròiseas dealbhaidh.
A’ dèanamh atharrais air an dealbhadh agad (Faighnich Ceist)
Gus an dealbhadh agad a shamhlachadh taobh a-muigh Libero (is e sin, a’ cleachdadh an àrainneachd atharrais agus an simuladair agad fhèin), dèan na ceumannan a leanas:
- Dealbhadh Files:
a. Samhlachadh ro-cho-chur:
• Cuir a-steach an RTL agad a-steach don phròiseact atharrais agad.
• Airson gach Aithisg air Taisbeanaidhean Co-phàirteach.
– Thoir a-steach gach fear file fo stòr HDL files airson a h-uile inneal Synthesis and Simulation a-steach don phròiseact atharrais agad.
• Cuir iad seo ri chèile files a rèir stiùireadh an t-simuladair agad.
b. Samhlachadh iar-synthesis:
• Cuir a-steach an liosta-lìn *.vm iar-cho-chur agad (a chaidh a chruthachadh ann an Synthesizing Your Design) a-steach don phròiseact atharrais agad agus cuir ri chèile e.
c. Samhlachadh às dèidh cruth:
• An toiseach, cuir crìoch air cur an gnìomh an dealbhaidh agad (faic Cur an Gnìomh an Dealbhaidh agad). Dèan cinnteach gu bheil am pròiseact Libero deireannach agad ann an staid às dèidh an leagail.
• Dèan briogadh dùbailte air Cruthaich air ais le notaichean Files ann an uinneag Libero Design Flow. Tha e a 'cruthachadh dà files:
/dealbhaiche/ / _ba.v/vhd /dealbhaiche/
/ _ba.sdf
• Thoir a-steach an dà rud seo files a-steach don inneal atharrais agad. - Brosnachadh agus Rèiteachadh files:
a. Airson gach Aithisg Manifests Co-phàirt:
• Dèan lethbhreac de na h-uile files fo 'n Spreagadh Files airson a h-uile roinn Innealan Simulation gu eòlaire freumh a’ phròiseict Simulation agad.
b. Dèan cinnteach gu bheil Tcl files anns na liostaichean roimhe (ann an ceum 2.a) air an cur gu bàs an toiseach, ro thoiseach an atharrais.
c. UPROM.mem: Ma chleachdas tu cridhe UPROM nad dhealbhadh leis an roghainn Cleachd susbaint airson atharrais air a chomasachadh airson aon neach-dèiligidh stòraidh dàta no barrachd a tha thu airson a shamhlachadh, feumaidh tu am pa4rtupromgen so-ghnìomhaichte (pa4rtupromgen.exe air uinneagan) a chleachdadh gus an UPROM.mem a ghineadh. file. Bidh an gnìomh pa4rtupromgen a’ toirt am faidhle UPROM.cfg file mar chur-a-steach tro sgriobt Tcl file agus a’ toirt a-mach an UPROM.mem file a dhìth airson samhlaidhean. Tha an UPROM.mem seo file feumar lethbhreac a dhèanamh dhan phasgan atharrais mus ruith an atharrais. Tha example bhith a’ sealltainn cleachdadh so-ghnìomhaichte pa4rtupromgen air a thoirt seachad anns na ceumannan a leanas. Tha an UPROM.cfg file ri fhaighinn san eòlaire /com-pàirt/obair/ / sa phròiseact Libero a chleachd thu gus am pàirt UPROM a ghineadh.
d. snvm.mem: Ma chleachdas tu cridhe Seirbheisean an t-Siostaim nad dhealbhadh agus ma shuidhich thu an taba sNVM sa chridhe leis an roghainn Cleachd susbaint airson atharrais air a chomasachadh airson aon neach-dèiligidh no barrachd a tha thu airson atharrais, a snvm.mem file air a chruthachadh gu fèin-ghluasadach gu
an eòlaire /co-phàirt/obair/ / anns a’ phròiseact Libero a chleachd thu gus am pàirt Seirbheisean Siostaim a chruthachadh. Seo snvm.mem file feumar lethbhreac a dhèanamh dhan phasgan atharrais mus ruith an atharrais. - Cruthaich pasgan-obrach agus fo-phasgan leis an ainm simulator fon phasgan-obrach.
Tha am pa4rtupromgen executable an dùil gum bi am fo-phasgan atharrais anns a’ phasgan obrach agus tha an sgriobt *.tcl air a chuir anns an fho-phasgan atharrais. - Dèan lethbhreac den UPROM.cfg file bhon chiad phròiseact Libero a chaidh a chruthachadh airson gineadh phàirtean a-steach don phasgan obrach.
- Cuir na h-òrdughan a leanas ann an sgriobt *.tcl agus cuir e san phasgan atharrais a chaidh a chruthachadh ann an ceum 3.
Sample * .tcl airson innealan PolarFire agus PolarFire Soc Family gus URPOM.mem a ghineadh file
bho UPROM.cfg
suidhich_inneal -teaghlaich -bàsachadh -pkg
suidhich_cuir_a-steach_cfg - slighe
suidhich_sim_mem -sligheFile/UPROM.mem>
gen_sim -use_init meallta
Airson an t-ainm ceart a-staigh airson a chleachdadh airson a’ bhàis agus a’ phacaid, faic an *.prjx file den chiad phròiseact Libero (air a chleachdadh airson gineadh phàirtean).
Feumaidh an argamaid use_init a bhith air a shuidheachadh gu meallta.
Cleachd an àithne set_sim_mem gus an t-slighe chun an toraidh a shònrachadh file UPROM.mem is e sin
air a chruthachadh nuair a thèid an sgriobt a chur an gnìomh file leis a’ pha4rtupromgen so-ghnìomhaichte. - Aig an àithne-prìomh no aig an teirminéal cygwin, rach chun eòlaire-obrach a chaidh a chruthachadh ann an ceum 3.
Cuir an gnìomh an àithne pa4rtupromgen leis an roghainn–script agus cuir thuige an sgriobt *.tcl a chaidh a chruthachadh sa cheum roimhe.
Airson Windows
/dealbhaiche/bin/pa4rtupromgen.exe \
–script./samhlachadh/ .tcl
Airson Linux:
/bin/pa4rtupromgen
–script./samhlachadh/ .tcl - Às dèidh dhut am faidhle pa4rtupromgen a chur an gnìomh gu soirbheachail, dèan cinnteach gu bheil am faidhle UPROM.mem file air a chruthachadh san àite a tha air a shònrachadh san àithne set_sim_mem anns an sgriobt * .tcl.
- Gus an sNVM a shamhlachadh, dèan lethbhreac den snvm.mem file bhon chiad phròiseact Libero agad (air a chleachdadh airson rèiteachadh phàirtean) a-steach don phasgan atharrais àrd-ìre den phròiseact atharrais agad gus atharrais a ruith (taobh a-muigh Libero SoC). Gus atharrais air susbaint UPROM, dèan lethbhreac den UPROM.mem a chaidh a chruthachadh file a-steach don phasgan atharrais àrd-ìre den phròiseact atharrais agad gus atharrais a ruith (taobh a-muigh Libero SoC).
Cudromach: gu Gus gnìomhachd nam Co-phàirtean SoC a shamhlachadh, luchdaich sìos na leabharlannan samhlachaidh PolarFire ro-chruinnichte agus thoir a-steach iad don àrainneachd samhlachaidh agad mar a tha air a mhìneachadh an seo. Airson tuilleadh fiosrachaidh, faic Leas-phàipear B—A’ toirt a-steach Leabharlannan Samhlachaidh a-steach don Àrainneachd Samhlachaidh.
A’ cur an Dealbhaidh agad an gnìomh (Faighnich Ceist)
Às deidh dhut an atharrais Synthesis agus Post-Synthesis a chrìochnachadh san àrainneachd agad, feumaidh tu Libero a chleachdadh a-rithist gus do dhealbhadh a chuir an gnìomh gu corporra, sgrùdadh ùine agus cumhachd a ruith, agus do phrògramadh a ghineadh. file.
- Cruthaich pròiseact Libero ùr airson cur an gnìomh corporra agus cruth an dealbhaidh. Dèan cinnteach gun cleachd thu an aon inneal ris a’ phròiseact iomraidh a chruthaich thu ann an Rèiteachadh nam Pàirtean.
- Às dèidh dhut am pròiseact a chruthachadh, thoir air falbh Synthesis bhon t-sreath innealan san uinneag Design Flow (Project > Project Settings > Design Flow > Uncheck Enable Synthesis).
- Thoir a-steach an iar-cho-chur agad *.vm file a-steach don phròiseact seo, (File > Import > Synthesized Verilog Netlist (VM)).
Moladh: Thathar a’ moladh gun cruthaich thu ceangal ris an seo file, gus ma nì thu ath-shìneadh air an dealbhadh agad, bidh Libero an-còmhnaidh a’ cleachdadh an liosta lìn post-synthesis as ùire.
a. Anns an uinneag Dealbhadh Rangachd, thoir fa-near ainm a’ mhodul freumhach. - Thoir a-steach na cuingeadan a-steach don phròiseact Libero. Cleachd am Manaidsear Cuingeadan gus cuingeadan *.pdc/*.sdc/*.ndc a thoirt a-steach.
a. Import I/O *.pdc cuingeachadh files (Manaidsear Chuingealachaidhean > Buadhan I/O > Import).
b. Import Floorplanning *.pdc srian files (Manaidsear Chuingealachaidhean > Planair Làir >Iompairt).
c. Import *.sdc srian ùine files (Manaidsear Cuingealachaidhean> Ùine> Import). Ma tha gin de na coraichean air an liostadh ann an Overview, dèan cinnteach gun cuir thu a-steach an SDC file air a chruthachadh tro inneal cuibhreachaidh derive.
d. Import *.ndc srian files (Manaidsear Cuingealachaidhean> Feartan Netlist> Import). - Cuingealachaidhean Co-cheangailte Files airson innealan a dhealbhadh.
a. Fosgail Manaidsear nan Cuingealachaidhean (Stiùirich Cuingealachaidhean > Fosgail Stiùirich Cuingealachaidhean View).
Thoir sùil air a’ bhogsa-seic Dearbhadh Àite-is-Slighe is Ùine ri taobh a’ chuingealachaidh. file gus casg a chuir air dòigh file agus ceangal innealan. Ceangail an cuingealachadh *.pdc ri Place-andRoute agus an *.sdc ri gach cuid Àite-is-Slighe agus Dearbhadh Ùine. Ceangail an *.ndc file gus Netlist a chur ri chèile.
Molaidh: Ma tha Tha fàilligeadh ann an Place and Route leis a’ chuingealachadh *.sdc seo. file, an uairsin cuir a-steach an aon *.sdc seo file gus synthesis agus ath-ruith synthesis.
- Briog air Compile Netlist agus an uair sin Cuir agus Slighe gus an ceum cruth a chrìochnachadh.
- Leigidh an inneal Configure Design Initialization Data and Memories leat blocaichean dealbhaidh a thòiseachadh, leithid LSRAM, µSRAM, XCVR (transceivers), agus PCIe a’ cleachdadh dàta a tha air a stòradh ann an cuimhne stòraidh Flash SPI neo-luaineach µPROM, sNVM, no taobh a-muigh neo-sheasmhach. Tha na tabaichean a leanas aig an inneal airson sònrachadh sreath tòiseachaidh an dealbhaidh, sònrachadh nan teachdaichean tòiseachaidh, teachdaichean dàta cleachdaiche a mhìneachadh.
– Taba Tòiseachaidh Dealbhaidh
– taba µPROM
– taba sNVM
– Taba Flash SPI
– Taba RAMan Aodaich
Cleachd na tabaichean san inneal gus an dàta tòiseachaidh dealbhaidh agus na cuimhneachain a rèiteachadh.Às dèidh dhut an rèiteachadh a chrìochnachadh, dèan na ceumannan a leanas gus dàta tòiseachaidh a phrògramadh:
• Gineadh luchd-dèiligidh tòiseachaidh
• Gin no às-phortaich an sruth-bit
• Prògramaich an inneal
Airson fiosrachadh mionaideach air mar a chleachdas tu an inneal seo, faic Stiùireadh Cleachdaiche Sruth Dealbhaidh Libero SoC. Airson tuilleadh fiosrachaidh mu na h-òrdughan Tcl a chleachdar gus diofar tabaichean a rèiteachadh san inneal agus rèiteachadh cuimhne a shònrachadh files (*.cfg), faic Stiùireadh-iomraidh air Òrdughan Tcl. - Cruthaich Prògramadh File bhon phròiseact seo agus cleachd e gus do FPGA a phrògramadh.
Leas-phàipear A—SampCuingealachaidhean SDC (Faighnich Ceist
Bidh Libero SoC a’ gineadh cuingealachaidhean ùine SDC airson cuid de choraichean IP, leithid CCC, OSC, Transceiver agus mar sin air adhart. Le bhith a’ dol seachad air cuingealachaidhean an SDC air innealan dealbhaidh tha sin a’ meudachadh an cothrom coinneachadh ri dùnadh ùine le nas lugha oidhirp agus nas lugha de dh’ aithrisean dealbhaidh. Tha an t-slighe rangachd iomlan bhon eisimpleir àrd-ìre air a thoirt seachad airson a h-uile stuth dealbhaidh air a bheil iomradh anns na cuingeadan.
7.1 Cuingealachaidhean Tìm SDC (Faighnich Ceist)
Ann am pròiseact iomraidh cridhe Libero IP, tha an cuingealachadh SDC àrd-ìre seo file ri fhaighinn bhon Mhanaidsear Cuingealachaidh (Sruth Dealbhaidh> Open Manage Constraint View > Uair > Faigh cuingeachaidhean).
Cudromach: Faic seo file gus na cuingeadan SDC a shuidheachadh ma tha CCC, OSC, Transceiver, agus co-phàirtean eile anns an dealbhadh agad. Atharraich an t-slighe làn-ìreil, ma tha sin riatanach, gus a bhith a rèir ìre an dealbhaidh agad no cleachd an goireas Derive_Constraints agus na ceumannan ann an Leas-phàipear C—Derive Constraints air an SDC aig ìre a’ cho-phàirt file.
Sàbhail an file gu ainm eadar-dhealaichte agus cuir a-steach an SDC file don inneal synthesis, Inneal Àite-is-Slighe, agus Dearbhaidhean Ùine, dìreach mar bhacadh SDC sam bith eile files.
7.1.1 SDC air a thoirt gu buil File (Faighnich Ceist)
# Seo file a chruthachadh stèidhichte air an stòr SDC a leanas files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /draibh/aPA5M/coraichean/cuingealachaidhean/osc_rc160mhz.sdc
# *** Atharrachaidhean sam bith air seo file thèid a chall ma thèid cuingeadan a chaidh a thoirt a-mach ath-ruith. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} - ùine 6.25
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -ainm {REF_CLK_PAD_P} -ùine 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -ainm {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - ùine 8
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] cruthaich_cloc_air_gineadh -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -iomadaich_le 25 -roinn_le 32 -stòr
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ìre 0
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] cruthaich_cloc_ginearaichte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -iomadaich_le 25 -roinn_le 32 -stòr
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ìre 0
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] cruthaich_cloc_ginearaichte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -iomadaich_le 25 -roinn_le 32 -stòr
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ìre 0
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] cruthaich_cloc_ginearaichte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -iomadaich_le 25 -roinn_le 64 -stòr
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ìre 0
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] cruthaich_cloc_air_gineadh -ainm {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_gu_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -roinn_le 2 -stòr
[ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_gu_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ faigh_prìnichean { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_gu_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] suidhich_slighe_meallta -troimhe [ faigh_lìonraidhean { DMA_INITIATOR_inst_0/ARESETN* } ] suidhich_slighe_meallta -bho [ faigh_ceallan { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -gu [ faigh_ceallan { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] suidhich slighe_meallta -bho [ faigh_ceallan { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -gu [ faigh_ceallan { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] suidhich slighe_meallta -troimhe [ faigh_lìonran { FIC0_INITIATOR_inst_0/ARESETN* } ] suidhich slighe_meallta -gu [ faigh_prìnichean { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] suidhich slighe_meallta -bho [ faigh_prìnichean { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] suidhich slighe_meallta -troimhe [ faigh_lìonran { PCIE_INITIATOR_inst_0/ARESETN* } ]
Leas-phàipear B—A’ toirt a-steach leabharlannan samhlachaidh a-steach don àrainneachd samhlachaidh (Faighnich Ceist)
Is e ModelSim ME Pro an inneal-samhlachaidh bunaiteach airson atharrais RTL le Libero SoC.
Tha leabharlannan ro-chruinnichte airson an inneal-samhlachaidh bunaiteach rim faighinn le stàladh Libero aig an eòlaire /Designer/lib/modelsimpro/precompiled/vlog airson teaghlaichean a tha a’ faighinn taic bho®. Tha Libero SoC cuideachd a’ toirt taic do dheasachaidhean simulators treas-phàrtaidh eile de ModelSim, Questasim, VCS, Xcelium.
, Active HDL, agus Riviera Pro. Luchdaich sìos na leabharlannan ro-chruinnichte fa leth bho Libero SoC v12.0 agus nas fhaide air adhart stèidhichte air an simulator agus an dreach aige.
Coltach ri àrainneachd Libero, run.do file feumar a chruthachadh gus atharrais a ruith taobh a-muigh Libero.
Cruthaich run.do sìmplidh file aig a bheil òrdughan airson leabharlann a stèidheachadh airson toraidhean cruinneachaidh, mapadh leabharlainn, cruinneachadh agus atharrais. Lean na ceumannan gus run.do bunaiteach a chruthachadh file.
- Cruthaich leabharlann loidsigeach gus toraidhean co-chruinneachaidh a stòradh a’ cleachdadh an àithne vlib vlib presynth.
- Mapaich ainm na leabharlainn loidigeach gu eòlaire leabharlainn ro-chruinnichte a’ cleachdadh an àithne vmap vmap .
- Tùs a chur ri chèile files—cleachd òrdughan co-chruinneachaidh sònraichte don chànan gus dealbhadh a cho-chruinneachadh files a-steach don eòlaire-obrach.
– vlog airson .v/.sv
– vcom airson .vhd - Luchdaich an dealbhadh airson atharrais a’ cleachdadh an àithne vsim le bhith a’ sònrachadh ainm modúl àrd-ìre sam bith.
- Dèan atharrais air an dealbhadh le bhith a’ cleachdadh an àithne ruith.
Às deidh dhut an dealbhadh a luchdachadh, tha an ùine atharrais air a shuidheachadh gu neoni, agus faodaidh tu a dhol a-steach don àithne ruith gus atharrais a thòiseachadh.
Anns an uinneag tar-sgrìobhaidh simuladair, cuir an gnìomh run.do file mar run.do ruith an atharrais. Sample run.do file mar a leanas.
suidhich ACTELLIBNAME gu sàmhach PolarFire suidhich PROJECT_DIR “W:/Test/basic_test” gu sàmhach ma tha
{[file tha presynth/_info ann]} { mac-talla “INFO: Tha leabharlann atharrais presynth ann” } air neo
{ file cuir às -feachd ro-shealladh vlib ro-shealladh } vmap ro-shealladh ro-shealladh vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth “$
"{PROJECT_DIR}/stimulus/tb.v" vsim -L PolarFire -L presynth -t 1ps presynth.tb cuir tonn /tb/* ris
ruith 1000ns log /tb/* fàgail
Leas-phàipear C—Toirt a-mach Cuingealachaidhean (Faighnich Ceist)
Tha an eàrr-ràdh seo a’ toirt cunntas air òrdughan Derive Constraints Tcl.
9.1 Toirt a-mach Cuingealachaidhean Àitheantan Tcl (Faighnich Ceist)
Cuidichidh an goireas derive_constraints thu gus cuingeadan fhaighinn bhon RTL no an rèiteachadh taobh a-muigh àrainneachd dealbhaidh Libero SoC. Gus cuingeachaidhean a chruthachadh airson do dhealbhadh, feumaidh tu an Cleachdaiche HDL, Component HDL, agus Cuingeadan Co-phàirteach files. Tha co-phàirt SDC a’ cuingealachadh files rim faighinn fo /com-pàirt/obair/ / / eòlaire às deidh rèiteachadh co-phàirteach agus ginealach.
A h-uile cuingealachadh co-phàirtean file air a dhèanamh suas den àithne set_component tcl (sònraichidh e ainm a’ cho-phàirt) agus an liosta de chuingealachaidhean a chaidh a chruthachadh às deidh an rèiteachadh. Tha na cuingeadan air an cruthachadh a rèir an rèiteachaidh agus tha iad sònraichte do gach pàirt.
Example 9-1. Cuingealachadh Co-phàirteach File airson an PF_CCC Core
Seo example cuingealachadh co-phàirteach file airson cridhe PF_CCC:
seata_co-phàirt PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# Corporra Microchip
# Ceann-latha: 2021 Dàmhair 26 04:36:00
# Cloc bunaiteach airson PLL #0
cruthaich_cloc - ùine 10 [ faigh_prìnichean { pll_inst_0 / REF_CLK_0 } ] cruthaich_cloc_air_gineadh - roinn_le 1 - stòr [ faigh_prìnichean { pll_inst_0 / }
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] An seo, tha create_clock agus create_generated_clock nan cuingeadan cloca iomraidh agus toraidh fa leth, a thèid a chruthachadh stèidhichte air an rèiteachadh.
9.1.1 Ag obair le derive_constraints Goireas (Faighnich Ceist)
Faigh cuingeadan a’ dol tron dealbhadh agus sònraich cuingeadan ùra airson gach eisimpleir de cho-phàirt stèidhichte air SDC co-phàirteach a chaidh a thoirt seachad roimhe files. Airson na clocaichean iomraidh CCC, bidh e a’ gluasad air ais tron dealbhadh gus stòr a’ ghleoc iomraidh a lorg. Mas e I/O an stòr, thèid cuingealachadh a’ ghleoc iomraidh a shuidheachadh air an I/O. Mas e toradh CCC a th’ ann no stòr cloc eile (airson example, Transceiver, oscillator), bidh e a’ cleachdadh a’ ghleoc bhon phàirt eile agus ag aithris rabhadh mura h-eil na h-amannan co-ionnan. Bidh Derive cuingeachaidhean cuideachd a’ riarachadh cuingeachaidhean airson cuid de mhacros mar oscillators air-chip ma tha iad agad san RTL agad.
Gus an goireas derive_constraints a chur an gnìomh, feumaidh tu faidhle .tcl file argamaid loidhne-àithne leis an fhiosrachadh a leanas san òrdugh ainmichte.
- Sònraich fiosrachadh an inneil le bhith a’ cleachdadh an fhiosrachaidh san earrann set_device.
- Sònraich slighe chun RTL files a’ cleachdadh an fhiosrachaidh anns an earrainn read_verilog no read_vhdl.
- Suidhich am modúl aig an ìre as àirde a’ cleachdadh an fhiosrachaidh san earrann set_top_level.
- Sònraich slighe chun SDC co-phàirt files a’ cleachdadh an fhiosrachaidh anns an earrainn read_sdc no read_ndc.
- Cur an gnìomh an files a’ cleachdadh an fhiosrachaidh ann an earrann derive_constraints.
- Sònraich slighe gu na cuingeadan a tha air an toirt a-mach às an SDC file a’ cleachdadh an fhiosrachaidh anns an earrainn write_sdc no write_pdc no write_ndc.
Example 9-2. Cur an gnìomh agus Susbaint an derive.tcl File
Tha na leanas mar example argamaid loidhne-àithne gus an goireas derive_constraints a chur an gnìomh.
$ /bin{64}/derive_constraints derive.tcl
Tha susbaint an derive.tcl file:
# Fiosrachadh mun inneal
suidhich_inneal -teaghlach PolarFire -die MPF100T -speed -1
# RTL files
read_verilog -mode system_verilog pròiseact/co-phàirt/obair/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
leugh_verilog -mode siostam_verilog {pròiseact/co-phàirt/obair/txpll0/txpll0.v}
leugh_verilog -mode siostam_verilog {pròiseact/co-phàirt/obair/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
leugh_verilog -mode siostam_verilog {pròiseact/co-phàirt/obair/xcvr0/xcvr0.v}
leugh_vhdl -mode vhdl_2008 {pròiseact/hdl/xcvr1.vhd}
#Co-phàirt SDC files
suidhich_ìre_àrd {xcvr1}
leugh_sdc -component {pròiseact/component/work/txpll0/txpll0_0/}
txpll0_txpll0_0_PF_TX_PLL.sdc}
leugh_sdc -component {pròiseact/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#Cleachd an àithne derive_constraint
derive_constrains
#Toradh SDC/PDC/NDC files
sgrìobh_sdc {pròiseact/cuingealachadh/xcvr1_derived_constraints.sdc}
sgrìobh_pdc {pròiseact/cuingealachadh/fp/xcvr1_derived_constraints.pdc}
9.1.2 suidhich_inneal (Faighnich Ceist)
Tuairisgeul
Sònraich ainm teaghlaich, ainm bàs, agus ìre astair.
set_device -family - bàs - luaths
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
-teaghlach | sreang | Sònraich an t-ainm teaghlaich. Is iad na luachan a dh’ fhaodadh a bhith ann PolarFire®, PolarFire SoC. |
-bàsachadh | sreang | Sònraich ainm a’ bhàis. |
-astar | sreang | Sònraich ìre astair an inneil. Is e STD no -1 na luachan a dh’ fhaodadh a bhith ann. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Paramadair riatanach — tha an dìs a dhìth | Tha an roghainn bàis èigneachail agus feumar a shònrachadh. |
ERR 0005 | Bàs neo-aithnichte 'MPF30' | Chan eil luach -die roghainn ceart. Faic an liosta luachan a dh’ fhaodadh a bhith ann an tuairisgeul an roghainn. |
ERR 0023 | Paramadair—tha luach a dhìth air an dìs | Tha an roghainn die air a shònrachadh gun luach. |
ERR 0023 | Paramadair riatanach — tha an teaghlach a dhìth | Tha an roghainn teaghlaich èigneachail agus feumar a shònrachadh. |
ERR 0004 | Teaghlach neo-aithnichte 'PolarFire®' | Chan eil an roghainn teaghlaich ceart. Faic an liosta luachan a dh’ fhaodadh a bhith ann an tuairisgeul an roghainn. |
leantainn air adhart | ||
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Tha luach a dhìth air an teaghlach - paramadair | Tha an roghainn teaghlaich air a shònrachadh gun luach. |
ERR 0023 | Paramadair riatanach — tha an astar a dhìth | Tha an roghainn astair èigneachail agus feumar a shònrachadh. |
ERR 0007 | Astar neo-aithnichte ' | Chan eil an roghainn luaths ceart. Faic an liosta luachan a dh’ fhaodadh a bhith ann an tuairisgeul an roghainn. |
ERR 0023 | Paramadair—tha luach a dhìth air astar | Tha an roghainn astar air a shònrachadh gun luach. |
Example
set_device -family {PolarFire} -die {MPF300T_ES} -speed -1
set_device -family SmartFusion 2 -die M2S090T -speed -1
9.1.3 leugh_verilog (Faighnich Ceist)
Tuairisgeul
Leugh Verilog file a’ cleachdadh Verific.
leugh_verilog [ -lib ] [-modh ]fileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
-lib | sreang | Sònraich an leabharlann anns a bheil na modalan a thèid a chur ris an leabharlann. |
-mòd | sreang | Sònraich an inbhe Verilog. Is e na luachan a dh’ fhaodadh a bhith ann verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. Tha luachan neo-mhothachail air cùisean. 'S e verilog_2k a th' ann an bunaiteach. |
fileainm | sreang | Verilog file ainm. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Tha luach a dhìth air paramadair—lib | Tha an roghainn lib air a shònrachadh gun luach. |
ERR 0023 | Paramadair—tha luach a dhìth ann am modh | Tha an roghainn modh air a shònrachadh gun luach. |
ERR 0015 | Modh neo-aithnichte' ' | Chan eil fios dè am modh verilog a chaidh a shònrachadh. Faic an liosta de mhodhan verilog a dh’ fhaodadh a bhith ann an tuairisgeul roghainn modh. |
ERR 0023 | Paramadair riatanach file tha an t-ainm a dhìth | Gun verilog file slighe air a thoirt seachad. |
ERR 0016 | Dh'fhàillig air sgàth parser Verific | Mearachd co-chòrdaidh ann an verilog fileChithear parsair Verific anns a’ chonsól os cionn na teachdaireachd mearachd. |
ERR 0012 | chan eil set_device air a ghairm | Chan eil fiosrachadh an uidheim air a shònrachadh. Cleachd àithne set_device airson cunntas a thoirt air an inneal. |
Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -mode system_verilog_mfcu design.v
9.1.4 leugh_vhdl (Faighnich Ceist)
Tuairisgeul
Cuir VHDL ris file a-steach don liosta VHDL files.
leugh_vhdl [ -lib ] [-modh ]fileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
-lib | — | Sònraich an leabharlann anns am feumar an susbaint a chur ris. |
-mòd | — | A’ sònrachadh inbhe VHDL. 'S e VHDL_93 an àbhaist. Is e na luachan a dh’ fhaodadh a bhith ann vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. Tha luachan neo-mhothachail air cùisean. |
fileainm | — | VHDL file ainm. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Tha luach a dhìth air paramadair—lib | Tha an roghainn lib air a shònrachadh gun luach. |
ERR 0023 | Paramadair—tha luach a dhìth ann am modh | Tha an roghainn modh air a shònrachadh gun luach. |
ERR 0018 | Modh neo-aithnichte' ' | Chan eil fios dè am modh VHDL a chaidh a shònrachadh. Faic an liosta de mhodhan VHDL a dh’ fhaodadh a bhith ann an—tuairisgeul roghainn modh. |
ERR 0023 | Paramadair riatanach file tha an t-ainm a dhìth | Gun VHDL file slighe air a thoirt seachad. |
ERR 0019 | Cha ghabh clàradh invalid_path.v file | An VHDL ainmichte file chan eil e ann no chan eil cead leughaidh aige. |
ERR 0012 | chan eil set_device air a ghairm | Chan eil fiosrachadh an uidheim air a shònrachadh. Cleachd àithne set_device airson cunntas a thoirt air an inneal. |
Example
read_vhdl -mode vhdl_2008 osc2dfn.vhd
leugh_vhdl {hdl/top.vhd}
9.1.5 suidhich_ìre_àrd (Faighnich Ceist)
Tuairisgeul
Sònraich ainm a’ mhodal àrd-ìre ann an RTL.
set_top_level [ -lib ]
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
-lib | sreang | An leabharlann airson am modúl no an eintiteas aig an ìre as àirde a lorg (Roghainneil). |
ainm | sreang | Ainm a’ mhodail no an eintiteas aig an ìre as àirde. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Tha am paramadair riatanach aig an ìre as àirde a dhìth | Tha an roghainn ìre as àirde èigneachail agus feumar a shònrachadh. |
ERR 0023 | Tha luach a dhìth air paramadair—lib | Tha an roghainn lib air a shònrachadh às aonais luachan. |
ERR 0014 | Cha b' urrainn dhuinn an ìre as àirde a lorg anns an leabharlann | Chan eil am modúl àrd-ìre a chaidh a shònrachadh air a mhìneachadh anns an leabharlann a chaidh a thoirt seachad. Gus a’ mhearachd seo a chàradh, feumar ainm a’ mhodúil no na leabharlainn as àirde a cheartachadh. |
ERR 0017 | Dh’fhàillig mion-sgrùdadh | Mearachd ann am pròiseas mìneachadh RTL. Chithear an teachdaireachd mearachd bhon chonsól. |
Example
set_top_level {mullach}
set_top_level -lib hdl gu h-àrd
9.1.6 read_sdc (Faighnich Ceist)
Tuairisgeul
Leugh SDC file a-steach don stòr-dàta co-phàirtean.
read_sdc -componentfileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
-co-phàirt | — | Is e bratach èigneachail a tha seo airson àithne read_sdc nuair a gheibh sinn cuingeachaidhean. |
fileainm | sreang | An t-slighe gu SDC file. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Paramadair riatanach file tha an t-ainm a dhìth. | An roghainn èigneachail file chan eil ainm air a shònrachadh. |
ERR 0000 | SDC file <fileChan eil _path> ri leughadh. | SDC ainmichte file chan eil cead leughaidh aige. |
ERR 0001 | Cha ghabh fhosgladhfile_slighe> file. | An SDC file chan eil ann. Feumar an t-slighe a cheartachadh. |
ERR 0008 | Tha an àithne set_component a dhìth annfile_slighe> file | Am pàirt shònraichte de SDC file chan eil e a’ sònrachadh a’ cho-phàirt. |
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0009 | <List of errors from sdc file> | An SDC file tha òrdughan sdc ceàrr ann. Airson example,
nuair a tha mearachd ann an cuingealachadh set_multicycle_path: Mearachd fhad ’s a bha an àithne read_sdc ga chur an gnìomh: ann anfile_slighe> file: Mearachd san àithne set_multicycle_path : Paramadair neo-aithnichte [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (Faighnich Ceist)
Tuairisgeul
Leugh NDC file a-steach don stòr-dàta co-phàirtean.
read_ndc -componentfileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
-co-phàirt | — | Is e bratach èigneachail a tha seo airson àithne read_ndc nuair a gheibh sinn cuingeachaidhean. |
fileainm | sreang | An t-slighe chun an NDC file. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0001 | Cha ghabh fhosgladhfile_slighe> file | An NDC file chan eil ann. Feumar an t-slighe a cheartachadh. |
ERR 0023 | Paramadair riatanach—Tha AtclParamO_ a dhìth. | An roghainn èigneachail filechan eil ainm air a shònrachadh. |
ERR 0023 | Paramadair riatanach—tha am pàirt a dhìth. | Tha an roghainn co-phàirt èigneachail agus feumar a shònrachadh. |
ERR 0000 | NDC file 'file_path>' chan eil e ri leughadh. | An NDC ainmichte file chan eil cead leughaidh aige. |
Example
read_ndc -component {component/work/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (Faighnich Ceist)
Tuairisgeul
SDC co-phàirt sa bhad files a-steach don stòr-dàta ìre dealbhaidh.
derive_constrains
Argumaidean
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0013 | Chan eil an ìre as àirde air a mhìneachadh | Tha seo a’ ciallachadh nach eil am modúl no an eintiteas aig an ìre as àirde air a shònrachadh. Gus an gairm seo a chàradh, cuir a-mach an an àithne set_top_level ron àithne derive_constraints. |
Example
derive_constrains
9.1.9 write_sdc (Faighnich Ceist)
Tuairisgeul
A’ sgrìobhadh cuingealachadh file ann an cruth SDC.
sgrìobh_sdcfileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
<fileainm> | sreang | An t-slighe gu SDC file thèid a ghineadh. Is e roghainn èigneachail a tha seo. Ma tha an file ann, bithidh e air ath-sgrìobhadh. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0003 | Cha ghabh fhosgladhfile frith-rathad> file. | File chan eil an t-slighe ceart. Dèan cinnteach a bheil na clàran phàrant ann. |
ERR 0002 | SDC file 'file slighe>' nach gabh a sgrìobhadh. | SDC ainmichte file chan eil cead sgrìobhaidh aige. |
ERR 0023 | Paramadair riatanach file tha an t-ainm a dhìth. | An SDC file tha slighe na roghainn èigneachail agus feumar a shònrachadh. |
Example
write_sdc “de thoradh.sdc”
9.1.10 write_pdc (Faighnich Ceist)
Tuairisgeul
Sgrìobhadh cuingeachaidhean corporra (Derive Constraints a-mhàin).
sgrìobh_pdcfileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
<fileainm> | sreang | An t-slighe gu PDC file thèid a ghineadh. Is e roghainn èigneachail a tha seo. Ma tha an file slighe ann, thèid a sgrìobhadh thairis. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachdan mearachd | Tuairisgeul |
ERR 0003 | Cha ghabh fhosgladhfile frith-rathad> file | Tha an file chan eil an t-slighe ceart. Dèan cinnteach a bheil na clàran phàrant ann. |
ERR 0002 | PDC file 'file Chan eil slighe>' ri sgrìobhadh. | Am PDC sònraichte file chan eil cead sgrìobhaidh aige. |
ERR 0023 | Paramadair riatanach file tha an t-ainm a dhìth | Am PDC file tha slighe na roghainn èigneachail agus feumar a shònrachadh. |
Example
write_pdc “de thoradh.pdc”
9.1.11 write_ndc (Faighnich Ceist)
Tuairisgeul
A’ sgrìobhadh chuingealachaidhean NDC ann an a file.
sgrìobh_ndcfileainm>
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
fileainm | sreang | An t-slighe chun an NDC file thèid a ghineadh. Is e roghainn èigneachail a tha seo. Ma tha an file ann, bithidh e air ath-sgrìobhadh. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachdan mearachd | Tuairisgeul |
ERR 0003 | Cha ghabh fhosgladhfile_slighe> file. | File chan eil an t-slighe ceart. Chan eil clàran phàrantan ann. |
ERR 0002 | NDC file 'file_path>' chan eil e comasach a sgrìobhadh. | An NDC ainmichte file chan eil cead sgrìobhaidh aige. |
ERR 0023 | Tha am paramadair riatanach _AtclParamO_ a dhìth. | An NDC file tha slighe na roghainn èigneachail agus feumar a shònrachadh. |
Example
write_ndc “Derived.ndc”
9.1.12 add_include_path (Faighnich Ceist)
Tuairisgeul
A’ sònrachadh slighe gu rannsachadh a’ toirt a-steach files nuair a leughas tu RTL files.
cuir_include_path
Argumaidean
Paramadair | Seòrsa | Tuairisgeul |
eòlaire | sreang | A’ sònrachadh slighe gu rannsachadh a’ toirt a-steach files nuair a leughas tu RTL files. Tha an roghainn seo riatanach. |
Seòrsa Tilleadh | Tuairisgeul |
0 | Shoirbhich leis an àithne. |
Seòrsa Tilleadh | Tuairisgeul |
1 | Dh'fhàillig an àithne. Tha mearachd ann. Chì thu an teachdaireachd mearachd sa chonsail. |
Liosta nam mearachdan
Còd na mearachd | Teachdaireachd mearachd | Tuairisgeul |
ERR 0023 | Tha am paramadair riatanach a’ gabhail a-steach slighe a dhìth. | Tha an roghainn eòlaire èigneachail agus feumar a thoirt seachad. |
Nota: Ma tha mura h-eil slighe an eòlaire ceart, thèid add_include_path a thoirt seachad gun mhearachd.
Ach, fàilligidh na h-òrdughan read_verilog/read_vhd air sgàth parser Verific.
Example
add_include_path part/work/COREABC0/COREABC0_0/rtl/vlog/core
Eachdraidh an Ath-sgrùdaidh (Faighnich Ceist)
Tha eachdraidh an ath-sgrùdaidh a’ toirt cunntas air na h-atharrachaidhean a chaidh a chur an gnìomh sa phàipear. Tha na h-atharrachaidhean air an liostadh le ath-sgrùdadh, a’ tòiseachadh leis an fhoillseachadh as ùire.
Ath-sgrùdadh | Ceann-latha | Tuairisgeul |
F | 08/2024 | Tha na h-atharrachaidhean a leanas air an dèanamh san ath-sgrùdadh seo: • Earrann ùraichte de Leas-phàipear B—A’ toirt a-steach Leabharlannan Samhlachaidh a-steach don Àrainneachd Samhlachaidh. |
E | 08/2024 | Tha na h-atharrachaidhean a leanas air an dèanamh san ath-sgrùdadh seo: • Earrann air ùrachadh Thairisview. • Earrann ùraichte SDC a chaidh a thoirt a-mach File. • Earrann ùraichte de Leas-phàipear B—A’ toirt a-steach Leabharlannan Samhlachaidh a-steach don Àrainneachd Samhlachaidh. |
D | 02/2024 | Tha an sgrìobhainn seo air fhoillseachadh le Libero 2024.1 SoC Design Suite gun atharrachaidhean bho v2023.2. Earrann ùraichte Ag obair le derive_constraints Utility |
C | 08/2023 | Tha an sgrìobhainn seo air fhoillseachadh le Libero 2023.2 SoC Design Suite gun atharrachaidhean bho v2023.1. |
B | 04/2023 | Tha an sgrìobhainn seo air fhoillseachadh le Libero 2023.1 SoC Design Suite gun atharrachaidhean bho v2022.3. |
A | 12/2022 | Ath-sgrùdadh Tòiseachaidh. |
Taic Microchip FPGA
Bidh buidheann toraidh Microchip FPGA a’ toirt taic do na toraidhean aca le diofar sheirbheisean taice, a’ gabhail a-steach Seirbheis teachdaiche, Ionad Taic Teicnigeach Luchd-cleachdaidh, a weblàraich, agus oifisean reic air feadh an t-saoghail.
Thathas a’ moladh do luchd-ceannach tadhal air goireasan air-loidhne Microchip mus cuir iad fios gu taic oir tha e glè choltach gun deach na ceistean aca a fhreagairt mu thràth.
Cuir fios gu Ionad Taic Teicnigeach tron ionad weblàrach aig www.microchip.com/support. Thoir iomradh air àireamh Pàirt inneal FPGA, tagh roinn cùis iomchaidh, agus luchdaich suas dealbhadh files fhad ‘s a tha iad a’ cruthachadh cùis taic theicnigeach.
Cuir fios gu Seirbheis Luchd-cleachdaidh airson taic toraidh neo-theicnigeach, leithid prìsean toraidh, ùrachadh toraidh, ùrachadh fiosrachadh, inbhe òrduigh, agus cead.
- Bho Ameireaga a Tuath, cuir fòn gu 800.262.1060
- Bhon chòrr den t-saoghal, cuir fòn gu 650.318.4460
- Facs, bho àite sam bith san t-saoghal, 650.318.8044
Fiosrachadh Microchip
Am Microchip Weblàrach
Bidh Microchip a’ toirt seachad taic air-loidhne tro ar weblàrach aig www.microchip.com/. Seo weblàrach ga chleachdadh airson dèanamh files agus fiosrachadh ri fhaighinn gu furasta do luchd-ceannach. Am measg cuid den t-susbaint a tha ri fhaighinn tha:
- Taic Bathar - Duilleagan dàta agus mearachdan, notaichean tagraidh agus sample prògraman, goireasan dealbhaidh, stiùireadh luchd-cleachdaidh agus sgrìobhainnean taic bathar-cruaidh, fiosan bathar-bog as ùire agus bathar-bog tasglainn
- Taic Teicnigeach Coitcheann - Ceistean Bitheanta (Ceistean Bitheanta), iarrtasan taic theicnigeach, buidhnean deasbaid air-loidhne, liosta bhall de phrògram com-pàirtiche dealbhaidh microchip
- Gnìomhachas Microchip - Taghaidh bathar agus stiùireadh òrdachaidh, fiosan naidheachd Microchip as ùire, liosta de cho-labhairtean agus thachartasan, liostaichean oifisean reic Microchip, luchd-sgaoilidh agus riochdairean factaraidh
Seirbheis Fiosrachaidh Atharrachadh Bathar
Bidh seirbheis fios atharrachadh toraidh Microchip a’ cuideachadh le bhith a’ cumail luchd-ceannach gnàthach air toraidhean Microchip. Gheibh luchd-aontachaidh fios post-d nuair a bhios atharrachaidhean, ùrachaidhean, ath-sgrùdaidhean no mearachdan ann co-cheangailte ri teaghlach toraidh ainmichte no inneal leasachaidh inntinneach. Gus clàradh, rachaibh gu www.microchip.com/pcn agus lean an stiùireadh clàraidh.
Taic Luchd-cleachdaidh
Faodaidh luchd-cleachdaidh stuthan Microchip cuideachadh fhaighinn tro ghrunn shianalan:
- Neach-cuairteachaidh no Riochdaire
- Oifis reic ionadail
- Einnseanair Fuasglaidhean Embedded (ESE)
- Taic Teicnigeach
Bu chòir do luchd-ceannach fios a chuir chun neach-cuairteachaidh, riochdaire no ESE aca airson taic. Tha oifisean reic ionadail rim faighinn cuideachd gus luchd-ceannach a chuideachadh. Tha liosta de dh’ oifisean reic agus àiteachan anns an sgrìobhainn seo. Tha taic theicnigeach ri fhaighinn tron làrach-lìn weblàrach aig: www.microchip.com/support
Feart Dìon Còd Innealan Microchip
Thoir an aire don fhiosrachadh a leanas mun fheart dìon còd air toraidhean Microchip:
- Bidh toraidhean microchip a’ coinneachadh ris na sònrachaidhean a tha anns an duilleag dàta microchip sònraichte aca.
- Tha microchip den bheachd gu bheil an teaghlach de thoraidhean aige tèarainte nuair a thèid a chleachdadh san dòigh a tha san amharc, taobh a-staigh sònrachaidhean obrachaidh, agus fo chumhachan àbhaisteach.
- Bidh microchip a’ cur luach air agus gu làidir a’ dìon a chòraichean seilbh inntleachdail. Thathas a’ toirmeasg teann air oidhirpean gus feartan dìon còd toradh Microchip a bhriseadh agus dh’ fhaodadh iad a dhol an aghaidh Achd Dlighe-sgrìobhaidh Digital Millennium.
- Chan urrainn dha Microchip no neach-dèanamh semiconductor sam bith eile gealltainn tèarainteachd a chòd. Chan eil dìon còd a’ ciallachadh gu bheil sinn a’ gealltainn gu bheil an toradh “do-chreidsinneach”. Tha dìon còd an-còmhnaidh ag atharrachadh. Tha microchip dealasach a thaobh a bhith a’ leasachadh feartan dìon còd ar toraidhean gu leantainneach.
Sanas laghail
Faodar am foillseachadh seo agus am fiosrachadh an seo a chleachdadh a-mhàin le toraidhean Microchip, a’ toirt a-steach dealbhadh, deuchainn agus amalachadh toraidhean Microchip leis an tagradh agad. Tha cleachdadh an fhiosrachaidh seo ann an dòigh sam bith eile a’ briseadh nan teirmean sin. Chan eil fiosrachadh mu thagraidhean inneal air a thoirt seachad ach airson do ghoireasachd agus dh’ fhaodadh gun tèid ùrachaidhean a chuir na àite. Tha e an urra riut dèanamh cinnteach gu bheil an tagradh agad a rèir do shònrachaidhean. Cuir fios chun oifis reic Microchip ionadail agad airson taic a bharrachd no, faigh taic a bharrachd aig www.microchip.com/en-us/support/design-help/client-support-services.
THA AM FIOSRACHADH SEO AIR A THABHAIRT AIRSON MICROCHIP “MAR A THA”. CHAN EIL MICROCHIP ATHARRACHADH no barantas de sheòrsa sam bith, ge bith co-dhiù a tha e soilleir no ciallach, sgrìobhte no beòil, reachdail no eile, co-cheangailte ris an fhiosrachadh a’ toirt a-steach ach gun a bhith cuibhrichte ri barantas sam bith a tha an-sàs, AIRSON IONADACHD, AIRSON ATH-SGRÙDADH, AIRSON ATH-SGRÙDADH, AIRSON FIOSRACHADH. BARANTAS Co-cheangailte r'a staid, CÀILEACHD, NO COILEANADH. Cha bhi MICROCHIP ann an suidheachadh sam bith cunntachail airson call neo-dhìreach, sònraichte, peanasach, tachartach, no iarmhartach, milleadh, cosgais, no cosgais de sheòrsa sam bith co-dhiù a bha co-cheangailte ris an fhiosrachadh no an cleachdadh, mar a dh’ adhbhraich e, ged a dh’ adhbhraich e, ged a dh’ fhuiling e. THA POSIBILITY NO THE DAMAGES FORESEEEEABLE. CHUN AN FEUMAIDH SINN A THA A CEADAR AIRSON AN lagha, CHAN EIL DLEASTANAS IOMLAN MICROCHIP AIR A H-UILE CÒMHNAIDHEAN ANN AN DÒIGH sam bith co-cheangailte ris an fhiosrachadh no an cleachdadh a bhitheas e nas àirde na sùim nan cìsean, ma tha gin ann, THA thu air Pàigh dìreach GU MICROCHIP AIRSON AN FIOSRACHAIDH.
Tha cleachdadh innealan Microchip ann an tagraidhean taic-beatha agus/no sàbhailteachd gu tur aig cunnart an neach-ceannach, agus tha an neach-ceannach ag aontachadh Microchip a dhìon, a dhìoladh agus a chumail gun chron bho mhilleadh, tagraidhean, cùisean-lagha no cosgaisean sam bith a thig bhon chleachdadh sin. Chan eil ceadan sam bith air an toirt seachad, gu neo-dhìreach no air dhòigh eile, fo chòraichean seilbhe inntleachdail Microchip mura h-eilear ag ràdh a chaochladh.
Comharran-malairt
Ainm agus suaicheantas Microchip, suaicheantas Microchip, Adaptec, AVR, suaicheantas AVR, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, suaicheantas Microsemi, MOST, suaicheantas MOST, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, suaicheantas PIC32, PolarFire, Dealbhadair Prochip, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, Suaicheantas SST, SuperFlash, Symmetricom , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, agus XMEGA nan comharran-malairt clàraichte de Microchip Technology Incorporated anns na SA agus dùthchannan eile.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, suaicheantas ProASIC Plus, Quiet-Wire, SmartFusion, SyncWorld, Tha TimeCesium, TimeHub, TimePictra, TimeProvider, agus ZL nan comharran-malairt clàraichte de Microchip Technology Incorporated anns na SA
Susbaint iuchair ri thaobh, AKS, Aois Analog-airson-an-Didseatach, Capacitor sam bith, AnyIn, AnyOut, Atharrachadh Meudaichte, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net maids, , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, ICaT, Prògramadh Sreathach In-Circuit, ICSP, INICnet, Co-shìnte Inntleachdail, IntelliMOS, Ceangal Eadar-Chip, JitterBlocker, Knob-on-Display, maxC MarginptoLink, maxView, memBrain, Mindi, MiWi, MPASM, MPF, suaicheantas le teisteanas MPLAB, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Gineadh Còd Omniscient, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS 7, PowerSmart, PureSilicon , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, SynchroPHY, Seasmhachd Iomlan , Ùine earbsach, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewTha Span, WiperLock, XpressConnect, agus ZENA nan comharran-malairt de Microchip Technology Incorporated anns na SA agus dùthchannan eile.
Tha SQTP na chomharra seirbheis de Microchip Technology Incorporated anns na SA
Tha suaicheantas Adaptec, Frequency on Demand, Silicon Storage Technology, agus Symmcom nan comharran-malairt clàraichte aig Microchip Technology Inc. ann an dùthchannan eile.
Tha GestIC na chomharra-malairt clàraichte de Microchip Technology Germany II GmbH & Co. KG, fo-bhuidheann de Microchip Technology Inc., ann an dùthchannan eile.
Buinidh a h-uile comharra-malairt eile a tha air ainmeachadh an seo leis na companaidhean aca fhèin.
2024, Microchip Technology Incorporated agus na fo-chompanaidhean aige. Gach còir glèidhte.
ISBN: 978-1-6683-0183-8
Siostam Riaghladh Càileachd
Airson fiosrachadh a thaobh Siostaman Riaghladh Càileachd Microchip, tadhal air www.microchip.com/quality.
Reic is seirbheis air feadh an t-saoghail
AMERICAS | ASIA/PACIFIC | ASIA/PACIFIC | EORAIP |
Oifis Chorporra 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Fòn: 480-792-7200 Facs: 480-792-7277 Taic Teicnigeach: www.microchip.com/support Web Seòladh: www.microchip.com Atlantaig Duluth, GA Fòn: 678-957-9614 Facs: 678-957-1455 Austin, TX Fòn: 512-257-3370 Boston Westborough, MA Fòn: 774-760-0087 Facs: 774-760-0088 Chicago Itasca, IL Fòn: 630-285-0071 Facs: 630-285-0075 Dallas Cuirison, TX Fòn: 972-818-7423 Facs: 972-818-2924 Detroit Novi, MI Fòn: 248-848-4000 Houston, TX Fòn: 281-894-5983 Indianapolis Noblesville, IN Fòn: 317-773-8323 Facs: 317-773-5453 Fòn: 317-536-2380 Los Angeles Misean Viejo, CA Fòn: 949-462-9523 Facs: 949-462-9608 Fòn: 951-273-7800 Raleigh, NC Fòn: 919-844-7510 Eabhraig Nuadh, NY Fòn: 631-435-6000 San Jose, CA Fòn: 408-735-9110 Fòn: 408-436-4270 Canada - Toronto Fòn: 905-695-1980 Facs: 905-695-2078 |
Astràilia - Sydney Fòn: 61-2-9868-6733 Sìona - Beijing Fòn: 86-10-8569-7000 Sìona - Chengdu Fòn: 86-28-8665-5511 Sìona - Chongqing Fòn: 86-23-8980-9588 Sìona - Dongguan Fòn: 86-769-8702-9880 Sìona - Guangzhou Fòn: 86-20-8755-8029 Sìona - Hangzhou Fòn: 86-571-8792-8115 Sìona - Hong Kong SAR Fòn: 852-2943-5100 Sìona - Nanjing Fòn: 86-25-8473-2460 Sìona - Qingdao Fòn: 86-532-8502-7355 Sìona - Shanghai Fòn: 86-21-3326-8000 Sìona - Shenyang Fòn: 86-24-2334-2829 Sìona - Shenzhen Fòn: 86-755-8864-2200 Sìona - Suzhou Fòn: 86-186-6233-1526 Sìona - Wuhan Fòn: 86-27-5980-5300 Sìona - Xian Fòn: 86-29-8833-7252 Sìona - Xiamen Fòn: 86-592-2388138 Sìona - Zhuhai Fòn: 86-756-3210040 |
Na h-Innseachan - Bangalore Fòn: 91-80-3090-4444 Na h-Innseachan - New Delhi Fòn: 91-11-4160-8631 Na h-Innseachan - Pune Fòn: 91-20-4121-0141 Iapan - Osaka Fòn: 81-6-6152-7160 Iapan - Tokyo Fòn: 81-3-6880- 3770 Coirèa - Daegu Fòn: 82-53-744-4301 Coirèa - Seoul Fòn: 82-2-554-7200 Malaysia - Kuala Lumpur Fòn: 60-3-7651-7906 Malaysia - Penang Fòn: 60-4-227-8870 Na Philippines - Manila Fòn: 63-2-634-9065 Singapore Fòn: 65-6334-8870 Taiwan - Hsin Chu Fòn: 886-3-577-8366 Taiwan - Kaohsiung Fòn: 886-7-213-7830 Taiwan - Taipei Fòn: 886-2-2508-8600 Thailand - Bangkok Fòn: 66-2-694-1351 Bhietnam - Ho Chi Minh Fòn: 84-28-5448-2100 |
An Ostair - Wels Fòn: 43-7242-2244-39 Facs: 43-7242-2244-393 An Danmhairg - Copenhagen Fòn: 45-4485-5910 Facs: 45-4485-2829 An Fhionnlainn - Espoo Fòn: 358-9-4520-820 An Fhraing - Paris Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 A 'Ghearmailt - Garching Fòn: 49-8931-9700 A' Ghearmailt - Haan Fòn: 49-2129-3766400 A' Ghearmailt - Heilbronn Fòn: 49-7131-72400 A' Ghearmailt - Karlsruhe Fòn: 49-721-625370 A' Ghearmailt - Munich Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 A' Ghearmailt - Rosenheim Fòn: 49-8031-354-560 Israel - Hod Hasharon Fòn: 972-9-775-5100 An Eadailt - Milan Fòn: 39-0331-742611 Facs: 39-0331-466781 An Eadailt - Padova Fòn: 39-049-7625286 An Òlaind - Drunen Fòn: 31-416-690399 Facs: 31-416-690340 Nirribhidh - Trondheim Fòn: 47-72884388 A' Phòlainn - Warsaw Fòn: 48-22-3325737 Romania - Bucharest Tel: 40-21-407-87-50 An Spàinn - Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 An t-Suain - Gothenberg Tel: 46-31-704-60-40 An t-Suain - Stockholm Fòn: 46-8-5090-4654 RA - Wokingham Fòn: 44-118-921-5800 Facs: 44-118-921-5820 |
Sgrìobhainnean/Goireasan
![]() |
MICROCHIP DS00004807F Teaghlach PolarFire FPGA Sruth gnàthaichte [pdfStiùireadh Cleachdaiche DS00004807F Teaghlach PolarFire Sruth Custom FPGA, DS00004807F, Sruth Custom FPGA Teaghlach PolarFire, Sruth Custom FPGA Teaghlaich, sruthadh gnàthaichte, sruthadh |