পোলারফায়ার ফ্যামিলি এফপিজিএ কাস্টম ফ্লো ব্যবহারকারী নির্দেশিকা
লাইবেরো এসওসি v2024.2
ভূমিকা (একটি প্রশ্ন জিজ্ঞাসা করুন)
Libero System-on-Chip (SoC) সফ্টওয়্যারটি একটি সম্পূর্ণরূপে সমন্বিত ফিল্ড প্রোগ্রামেবল গেট অ্যারে (FPGA) ডিজাইন পরিবেশ প্রদান করে। তবে, কিছু ব্যবহারকারী Libero SoC পরিবেশের বাইরে তৃতীয় পক্ষের সংশ্লেষণ এবং সিমুলেশন সরঞ্জাম ব্যবহার করতে চাইতে পারেন। Libero এখন FPGA ডিজাইন পরিবেশে সংহত করা যেতে পারে। সম্পূর্ণ FPGA ডিজাইন প্রবাহ পরিচালনা করার জন্য Libero SoC ব্যবহার করার পরামর্শ দেওয়া হচ্ছে।
এই ব্যবহারকারী নির্দেশিকাটি PolarFire এবং PolarFire SoC ফ্যামিলি ডিভাইসের জন্য কাস্টম ফ্লো বর্ণনা করে, যা Libero কে বৃহত্তর FPGA ডিজাইন প্রবাহের অংশ হিসেবে সংহত করার একটি প্রক্রিয়া। সমর্থিত ডিভাইস পরিবার® নিম্নলিখিত টেবিলে Libero SoC সমর্থন করে এমন ডিভাইস পরিবারগুলির তালিকা রয়েছে। তবে, এই নির্দেশিকার কিছু তথ্য শুধুমাত্র ডিভাইসের একটি নির্দিষ্ট পরিবারের ক্ষেত্রে প্রযোজ্য হতে পারে। এই ক্ষেত্রে, এই ধরনের তথ্য স্পষ্টভাবে চিহ্নিত করা হয়েছে।
সারণী ১. Libero SoC দ্বারা সমর্থিত ডিভাইস পরিবারগুলি
ডিভাইস পরিবার | বর্ণনা |
পোলারফায়ার® | পোলারফায়ার এফপিজিএগুলি ব্যতিক্রমী নিরাপত্তা এবং নির্ভরযোগ্যতার সাথে মাঝারি-পরিসরের ঘনত্বে শিল্পের সর্বনিম্ন শক্তি সরবরাহ করে। |
পোলারফায়ার SoC | PolarFire SoC হল প্রথম SoC FPGA যার একটি নির্ধারক, সুসংগত RISC-V CPU ক্লাস্টার এবং একটি নির্ধারক L2 মেমোরি সাবসিস্টেম রয়েছে যা Linux® এবং রিয়েল-টাইম অ্যাপ্লিকেশনগুলিকে সক্ষম করে। |
ওভারview (একটি প্রশ্ন জিজ্ঞাসা করুন)
Libero SoC SoC এবং FPGA ডিজাইন তৈরির জন্য সম্পূর্ণরূপে সমন্বিত এন্ড-টু-এন্ড ডিজাইন পরিবেশ প্রদান করে, তবে এটি Libero SoC পরিবেশের বাইরে তৃতীয় পক্ষের সরঞ্জামগুলির সাথে সংশ্লেষণ এবং সিমুলেশন চালানোর নমনীয়তাও প্রদান করে। তবে, কিছু নকশা পদক্ষেপ Libero SoC পরিবেশের মধ্যে থাকতে হবে।
নিম্নলিখিত সারণীতে FPGA ডিজাইন প্রবাহের প্রধান ধাপগুলি তালিকাভুক্ত করা হয়েছে এবং Libero SoC ব্যবহার করার জন্য কোন ধাপগুলি ব্যবহার করতে হবে তা নির্দেশ করা হয়েছে।
সারণি ১-১। FPGA ডিজাইন প্রবাহ
ডিজাইন ফ্লো ধাপ | Libero ব্যবহার করতে হবে | বর্ণনা |
ডিজাইন এন্ট্রি: এইচডিএল | না | ইচ্ছা করলে Libero® SoC এর বাইরে তৃতীয় পক্ষের HDL এডিটর/চেকার টুল ব্যবহার করুন। |
ডিজাইন এন্ট্রি: কনফিগারেটর | হ্যাঁ | আইপি ক্যাটালগ কোর কম্পোনেন্ট জেনারেশনের জন্য প্রথম লাইবেরো প্রকল্প তৈরি করুন। |
স্বয়ংক্রিয় PDC/SDC সীমাবদ্ধতা তৈরি | না | প্রাপ্ত সীমাবদ্ধতার জন্য সমস্ত HDL প্রয়োজন files এবং একটি derive_constraints ইউটিলিটি যখন Libero SoC এর বাইরে সঞ্চালিত হয়, যেমনটি পরিশিষ্ট C—Derive Constraints-এ বর্ণিত হয়েছে। |
সিমুলেশন | না | ইচ্ছা করলে Libero SoC এর বাইরে তৃতীয় পক্ষের টুল ব্যবহার করুন। টার্গেট ডিভাইস, টার্গেট সিমুলেটর এবং ব্যাকএন্ড বাস্তবায়নের জন্য ব্যবহৃত টার্গেট Libero সংস্করণের জন্য প্রি-কম্পাইল করা সিমুলেশন লাইব্রেরি ডাউনলোড করতে হবে। |
সংশ্লেষণ | না | ইচ্ছা করলে Libero SoC এর বাইরে তৃতীয় পক্ষের টুল ব্যবহার করুন। |
নকশা বাস্তবায়ন: সীমাবদ্ধতা পরিচালনা, নেটলিস্ট কম্পাইল, স্থান-এবং-রুট (ওভার দেখুন)view) | হ্যাঁ | ব্যাকএন্ড বাস্তবায়নের জন্য দ্বিতীয় লাইবেরো প্রকল্প তৈরি করুন। |
টাইমিং এবং পাওয়ার ভেরিফিকেশন | হ্যাঁ | দ্বিতীয় লাইবেরো প্রকল্পে থাকুন। |
ডিজাইন ইনিশিয়ালাইজেশন ডেটা এবং মেমোরি কনফিগার করুন | হ্যাঁ | ডিভাইসে বিভিন্ন ধরণের স্মৃতি পরিচালনা এবং ডিজাইন ইনিশিয়ালাইজেশন করতে এই টুলটি ব্যবহার করুন। দ্বিতীয় প্রজেক্টে থাকুন। |
প্রোগ্রামিং File প্রজন্ম | হ্যাঁ | দ্বিতীয় প্রকল্পে থাকুন। |
গুরুত্বপূর্ণ: আপনি প্রাক-সংকলিত লাইব্রেরিগুলি ডাউনলোড করতে হবে যা এখানে পাওয়া যায় প্রি-কম্পাইলড সিমুলেশন লাইব্রেরি তৃতীয় পক্ষের সিমুলেটর ব্যবহার করার জন্য পৃষ্ঠা।
একটি বিশুদ্ধ ফ্যাব্রিক FPGA প্রবাহে, HDL অথবা স্কিম্যাটিক এন্ট্রি ব্যবহার করে আপনার নকশাটি প্রবেশ করান এবং সরাসরি তা পাস করুন।
সংশ্লেষণ সরঞ্জামগুলিতে। প্রবাহটি এখনও সমর্থিত। PolarFire এবং PolarFire SoC FPGA গুলিতে উল্লেখযোগ্য
Libero SoC IP থেকে কনফিগারেশন কোর (SgCores) ব্যবহারের জন্য মালিকানাধীন হার্ড আইপি ব্লক
ক্যাটালগ। SoC কার্যকারিতা ধারণকারী যেকোনো ব্লকের জন্য বিশেষ হ্যান্ডলিং প্রয়োজন:
- পোলারফায়ার
– পিএফ_ইউপিআরএম
– পিএফ_সিস্টেম_সার্ভিসেস
– পিএফ_সিসিসি
– পিএফ সিএলকে ডিআইভি
– পিএফ_ক্রিপ্টো
– পিএফ_ডিআরআই
– PF_INIT_MONITOR
– পিএফ_এনজিএমইউএক্স
– পিএফ_ওএসসি
- র্যাম (TPSRAM, DPSRAM, URAM)
– পিএফ_এসআরএএম_এএইচবিএল_এক্সআই
– পিএফ_এক্সসিভিআর_ইআরএম
– পিএফ_এক্সসিভিআর_আরইএফ_সিএলকে
– পিএফ_টিএক্স_পিএলএল
– পিএফ_পিসিআইই
– পিএফ_আইও
– পিএফ_আইওডি_সিডিআর
– পিএফ_আইওডি_সিডিআর_সিসিসি
– পিএফ_আইওডি_জেনারিক_আরএক্স
– পিএফ_আইওডি_জেনারিক_টিএক্স
– পিএফ_আইওডি_জেনারিক_টিএক্স_সিসিসি
– পিএফ_আরজিএমআইআই_টিও_জিএমআইআই
– পিএফ_আইওডি_অক্টাল_ডিডিআর
– পিএফ_ডিডিআর৩
– পিএফ_ডিডিআর৩
– পিএফ_এলপিডিডিআর৩
– পিএফ_কিউডিআর
– পিএফ_কোরেসমার্টবার্ট
– পিএফ_টিAMPER
– PF_TVS, ইত্যাদি।
পূর্ববর্তী তালিকাভুক্ত SgCores ছাড়াও, Libero SoC ক্যাটালগে PolarFire এবং PolarFire SoC ডিভাইস পরিবারের জন্য অনেক DirectCore সফট আইপি পাওয়া যায় যা FPGA ফ্যাব্রিক রিসোর্স ব্যবহার করে।
ডিজাইন এন্ট্রির জন্য, যদি আপনি পূর্ববর্তী যেকোনো একটি উপাদান ব্যবহার করেন, তাহলে আপনাকে ডিজাইন এন্ট্রির (কম্পোনেন্ট কনফিগারেশন) অংশের জন্য Libero SoC ব্যবহার করতে হবে, তবে আপনি Libero এর বাইরে আপনার বাকি ডিজাইন এন্ট্রি (HDL এন্ট্রি, ইত্যাদি) চালিয়ে যেতে পারেন। Libero এর বাইরে FPGA ডিজাইন প্রবাহ পরিচালনা করতে, এই নির্দেশিকার বাকি অংশে প্রদত্ত পদক্ষেপগুলি অনুসরণ করুন।
১.১ উপাদান জীবনচক্র (একটি প্রশ্ন জিজ্ঞাসা করুন)
নিম্নলিখিত ধাপগুলি একটি SoC উপাদানের জীবনচক্র বর্ণনা করে এবং ডেটা কীভাবে পরিচালনা করতে হয় তার নির্দেশাবলী প্রদান করে।
- Libero SoC-তে এর কনফিগারেটর ব্যবহার করে কম্পোনেন্টটি তৈরি করুন। এটি নিম্নলিখিত ধরণের ডেটা তৈরি করে:
– এইচডিএল files
- স্মৃতি files
- উদ্দীপনা এবং সিমুলেশন files
- কম্পোনেন্ট এসডিসি file - এইচডিএল এর জন্য files, এক্সটার্নাল ডিজাইন এন্ট্রি টুল/প্রসেস ব্যবহার করে HDL ডিজাইনের বাকি অংশে ইন্সট্যান্টিয়েট এবং ইন্টিগ্রেট করুন।
- সরবরাহ মেমরি files এবং উদ্দীপক fileআপনার সিমুলেশন টুলে।
- সরবরাহ উপাদান SDC file কনস্ট্রেইন্ট জেনারেশনের জন্য ডেরিভ কনস্ট্রেইন্ট টুল। আরও বিস্তারিত জানার জন্য পরিশিষ্ট সি—ডেরিভ কনস্ট্রেইন্টস দেখুন।
- আপনাকে অবশ্যই একটি দ্বিতীয় Libero প্রকল্প তৈরি করতে হবে, যেখানে আপনি পোস্ট-সিনথেসিস নেটলিস্ট এবং আপনার কম্পোনেন্ট মেটাডেটা আমদানি করবেন, এইভাবে আপনি যা তৈরি করেছেন এবং আপনি যা প্রোগ্রাম করছেন তার মধ্যে সংযোগ সম্পন্ন করবেন।
১.২ লাইবেরো এসওসি প্রকল্প তৈরি (একটি প্রশ্ন জিজ্ঞাসা করুন)
Libero SoC পরিবেশের ভিতরে কিছু নকশা ধাপ চালানো আবশ্যক (সারণী 1-1)। এই ধাপগুলি চালানোর জন্য, আপনাকে দুটি Libero SoC প্রকল্প তৈরি করতে হবে। প্রথম প্রকল্পটি ডিজাইন কম্পোনেন্ট কনফিগারেশন এবং জেনারেশনের জন্য ব্যবহৃত হয়, এবং দ্বিতীয় প্রকল্পটি শীর্ষ-স্তরের নকশার ভৌত বাস্তবায়নের জন্য।
১.৩ কাস্টম ফ্লো (একটি প্রশ্ন জিজ্ঞাসা করুন)
নিম্নলিখিত চিত্রটি দেখায়:
- Libero SoC কে Libero SoC পরিবেশের বাইরে তৃতীয় পক্ষের সংশ্লেষণ এবং সিমুলেশন সরঞ্জামগুলির সাথে বৃহত্তর FPGA ডিজাইন প্রবাহের অংশ হিসাবে একীভূত করা যেতে পারে।
- নকশা তৈরি এবং সেলাই থেকে শুরু করে ডিভাইসটি প্রোগ্রামিং পর্যন্ত বিভিন্ন ধাপ জড়িত।
- প্রতিটি ডিজাইন প্রবাহ ধাপে যে ডেটা এক্সচেঞ্জ (ইনপুট এবং আউটপুট) অবশ্যই ঘটতে হবে।
টিপ:
- SNVM.cfg, UPROM.cfg
- *.মেম file সিমুলেশনের জন্য জেনারেশন: pa4rtupromgen.exe UPROM.cfg কে ইনপুট হিসেবে নেয় এবং UPROM.mem জেনারেট করে।
কাস্টম প্রবাহের ধাপগুলি নিম্নরূপ:
- কম্পোনেন্ট কনফিগারেশন এবং জেনারেশন:
ক. প্রথম একটি লাইবেরো প্রকল্প তৈরি করুন (রেফারেন্স প্রকল্প হিসেবে কাজ করার জন্য)।
খ. ক্যাটালগ থেকে কোর নির্বাচন করুন। কোরটির উপর ডাবল ক্লিক করে এটিকে একটি কম্পোনেন্টের নাম দিন এবং কম্পোনেন্টটি কনফিগার করুন।
এটি স্বয়ংক্রিয়ভাবে কম্পোনেন্ট ডেটা রপ্তানি করে এবং files. একটি কম্পোনেন্ট ম্যানিফেস্টও তৈরি করা হয়। বিস্তারিত জানার জন্য কম্পোনেন্ট ম্যানিফেস্ট দেখুন। আরও বিস্তারিত জানার জন্য, কম্পোনেন্ট কনফিগারেশন দেখুন। - Libero এর বাইরে আপনার RTL ডিজাইন সম্পূর্ণ করুন:
ক. HDL উপাদানটি ইনস্ট্যান্ট করুন files.
খ. এইচডিএল-এর অবস্থান files কম্পোনেন্ট ম্যানিফেস্টে তালিকাভুক্ত করা হয়েছে files. - কম্পোনেন্টগুলির জন্য SDC সীমাবদ্ধতা তৈরি করুন। টাইমিং সীমাবদ্ধতা তৈরি করতে Derive Constraints ইউটিলিটি ব্যবহার করুন। file(SDC) এর উপর ভিত্তি করে:
ক. কম্পোনেন্ট এইচডিএল files
খ. কম্পোনেন্ট এসডিসি files
গ. ব্যবহারকারী এইচডিএল files
আরও বিস্তারিত জানার জন্য, পরিশিষ্ট গ—ডেরাইভ সীমাবদ্ধতা দেখুন। - সংশ্লেষণ টুল/সিমুলেশন টুল:
ক. এইচডিএল পান files, উদ্দীপক files, এবং কম্পোনেন্ট ম্যানিফেস্টে উল্লিখিত নির্দিষ্ট স্থান থেকে কম্পোনেন্ট ডেটা।
খ. Libero SoC-এর বাইরের তৃতীয় পক্ষের সরঞ্জামগুলির সাহায্যে নকশাটি সংশ্লেষিত এবং অনুকরণ করুন। - আপনার দ্বিতীয় (বাস্তবায়ন) লাইবেরো প্রকল্প তৈরি করুন।
- ডিজাইন ফ্লো টুল চেইন থেকে সিন্থেসিস সরান (প্রকল্প > প্রকল্প সেটিংস > ডিজাইন ফ্লো > সংশ্লেষণ সক্ষম করুন চেক বক্সটি সাফ করুন)।
- ডিজাইন সোর্স আমদানি করুন files (সংশ্লেষণ টুল থেকে পোস্ট-সিনথেসিস *.vm নেটলিস্ট):
– সংশ্লেষণ-পরবর্তী *.vm নেটলিস্ট আমদানি করুন (File>আমদানি>সংশ্লেষিত ভেরিলগ নেটলিস্ট (ভিএম))।
– কম্পোনেন্ট মেটাডেটা *.cfg fileuPROM এবং/অথবা sNVM এর জন্য s। - যেকোনো Libero SoC ব্লক কম্পোনেন্ট আমদানি করুন fileব্লক files অবশ্যই *.cxz-এ থাকতে হবে file বিন্যাস
ব্লক তৈরি করার পদ্ধতি সম্পর্কে আরও তথ্যের জন্য, দেখুন পোলারফায়ার ব্লক ফ্লো ব্যবহারকারী নির্দেশিকা. - নকশা সীমাবদ্ধতা আমদানি করুন:
- আমদানি I/O সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > I/OAttributes > আমদানি)।
– ফ্লোরপ্ল্যানিং আমদানি করুন *.pdc files (সীমাবদ্ধতা ব্যবস্থাপক > ফ্লোর প্ল্যানার > আমদানি)।
- আমদানি *.sdc সময় সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > সময় >আমদানি)। SDC আমদানি করুন file ডেরাইভ কনস্ট্রেইন্ট টুলের মাধ্যমে তৈরি করা হয়েছে।
- আমদানি *.ndc সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > NetlistAttributes > Import), যদি থাকে। - সীমাবদ্ধতা file এবং টুল অ্যাসোসিয়েশন
– কনস্ট্রেইন্ট ম্যানেজারে, *.pdc সংযুক্ত করুন fileস্থান এবং রুট করার জন্য s, *.sdc fileস্থান, রুট এবং সময় যাচাইকরণের জন্য, এবং *.ndc fileনেটলিস্ট কম্পাইল করতে। - সম্পূর্ণ নকশা বাস্তবায়ন
- স্থান এবং রুট, সময় এবং শক্তি যাচাই, নকশা প্রাথমিককরণ ডেটা এবং স্মৃতি কনফিগার করা, এবং প্রোগ্রামিং file প্রজন্ম - নকশা যাচাই করুন
– Libero SoC ডিজাইন স্যুটের সাথে প্রদত্ত ডিজাইন টুল ব্যবহার করে FPGA-তে ডিজাইন যাচাই করুন এবং প্রয়োজনে ডিবাগ করুন।
কম্পোনেন্ট কনফিগারেশন (একটি প্রশ্ন জিজ্ঞাসা করুন)
কাস্টম প্রবাহের প্রথম ধাপ হল একটি Libero রেফারেন্স প্রকল্প (যাকে টেবিল 1-1-এ প্রথম Libero প্রকল্পও বলা হয়) ব্যবহার করে আপনার উপাদানগুলি কনফিগার করা। পরবর্তী ধাপগুলিতে, আপনি এই রেফারেন্স প্রকল্প থেকে ডেটা ব্যবহার করবেন।
যদি আপনি পূর্বে তালিকাভুক্ত কোনও উপাদান ব্যবহার করেন, তাহলে ওভারের অধীনেview আপনার নকশায়, এই বিভাগে বর্ণিত ধাপগুলি সম্পাদন করুন।
যদি আপনি উপরের কোনও উপাদান ব্যবহার না করেন, তাহলে আপনি Libero-এর বাইরে আপনার RTL লিখতে পারেন এবং সরাসরি আপনার Synthesis এবং Simulation টুলে আমদানি করতে পারেন। এরপর আপনি post-synthesis বিভাগে যেতে পারেন এবং শুধুমাত্র আপনার post-synthesis *.vm netlist আপনার চূড়ান্ত Libero বাস্তবায়ন প্রকল্পে (যাকে টেবিল 1-1-এ দ্বিতীয় Libero প্রকল্পও বলা হয়) আমদানি করতে পারেন।
২.১ Libero ব্যবহার করে কম্পোনেন্ট কনফিগারেশন (একটি প্রশ্ন জিজ্ঞাসা করুন)
পূর্ববর্তী তালিকা থেকে ব্যবহারযোগ্য উপাদানগুলি নির্বাচন করার পরে, নিম্নলিখিত পদক্ষেপগুলি সম্পাদন করুন:
- একটি নতুন Libero প্রকল্প তৈরি করুন (কোর কনফিগারেশন এবং জেনারেশন): আপনার চূড়ান্ত নকশাটি যে ডিভাইস এবং পরিবারকে লক্ষ্য করে তৈরি করবেন তা নির্বাচন করুন।
- কাস্টম ফ্লোতে উল্লিখিত এক বা একাধিক কোর ব্যবহার করুন।
ক. একটি স্মার্টডিজাইন তৈরি করুন এবং পছন্দসই কোরটি কনফিগার করুন এবং স্মার্টডিজাইন কম্পোনেন্টে এটি ইন্সট্যান্ট করুন।
খ. সমস্ত পিনকে উপরের স্তরে উন্নীত করুন।
গ. স্মার্টডিজাইন তৈরি করুন।
ঘ. সিমুলেটরটি চালু করতে সিমুলেট টুলটিতে (প্রি-সিনথেসিস, পোস্ট-সিনথেসিস অথবা পোস্ট-লেআউট বিকল্পগুলির যেকোনো একটি) ডাবল ক্লিক করুন। সিমুলেটরটি চালু হওয়ার পরে আপনি এটি থেকে বেরিয়ে আসতে পারেন। এই ধাপটি সিমুলেশন তৈরি করে। fileআপনার প্রকল্পের জন্য প্রয়োজনীয়।
পরামর্শ: আপনি Libero এর বাইরে আপনার নকশা অনুকরণ করতে চাইলে এই ধাপটি অবশ্যই সম্পাদন করতে হবে।
আরও তথ্যের জন্য, আপনার নকশা অনুকরণ দেখুন।
ঙ। আপনার প্রকল্পটি সংরক্ষণ করুন—এটি আপনার রেফারেন্স প্রকল্প।
২.২ কম্পোনেন্ট ম্যানিফেস্ট (একটি প্রশ্ন জিজ্ঞাসা করুন)
যখন আপনি আপনার উপাদান তৈরি করেন, তখন একটি সেট fileপ্রতিটি উপাদানের জন্য s তৈরি করা হয়। উপাদান ম্যানিফেস্ট রিপোর্টে এর সেটের বিস্তারিত বিবরণ দেওয়া হয়েছে fileপ্রতিটি পরবর্তী ধাপে (সংশ্লেষণ, সিমুলেশন, ফার্মওয়্যার জেনারেশন, ইত্যাদি) তৈরি এবং ব্যবহৃত হয়। এই প্রতিবেদনটি আপনাকে সমস্ত জেনারেট করা fileকাস্টম ফ্লোতে এগিয়ে যাওয়ার জন্য s প্রয়োজন। আপনি Reports এলাকায় কম্পোনেন্ট ম্যানিফেস্ট অ্যাক্সেস করতে পারেন: Reports ট্যাব খুলতে Design > Reports এ ক্লিক করুন। Reports ট্যাবে, আপনি manifest.txt এর একটি সেট দেখতে পাবেন। files (ওভারview), আপনার তৈরি প্রতিটি উপাদানের জন্য একটি।
টিপস: কম্পোনেন্ট ম্যানিফেস্ট দেখতে আপনাকে অবশ্যই একটি কম্পোনেন্ট বা মডিউলকে '"root" হিসেবে সেট করতে হবে। file রিপোর্ট ট্যাবে থাকা বিষয়বস্তু।
বিকল্পভাবে, আপনি পৃথক ম্যানিফেস্ট রিপোর্ট অ্যাক্সেস করতে পারেন fileপ্রতিটি মূল উপাদানের জন্য অথবা স্মার্টডিজাইন উপাদানের জন্য /উপাদান/কাজ/ / / _manifest.txt অথবা /উপাদান/কাজ/ / _manifest.txt. আপনি ম্যানিফেস্টটিও অ্যাক্সেস করতে পারেন file Libero-তে নতুন Components ট্যাব থেকে তৈরি প্রতিটি কম্পোনেন্টের বিষয়বস্তু, যেখানে file প্রকল্প ডিরেক্টরিতে স্থানগুলি উল্লেখ করা হয়েছে।নিম্নলিখিত কম্পোনেন্ট ম্যানিফেস্ট রিপোর্টগুলিতে মনোযোগ দিন:
- যদি আপনি একটি স্মার্টডিজাইনের মধ্যে কোরগুলি ইন্সট্যান্টিয়েট করে থাকেন, তাহলে পড়ুন file _ম্যানিফেস্ট.txt.
- যদি আপনি কোরের জন্য উপাদান তৈরি করে থাকেন, তাহলে পড়ুন _ম্যানিফেস্ট.txt.
আপনার ডিজাইনের ক্ষেত্রে প্রযোজ্য সকল কম্পোনেন্ট ম্যানিফেস্ট রিপোর্ট ব্যবহার করতে হবে। উদাহরণস্বরূপampহ্যাঁ, যদি আপনার প্রকল্পে এক বা একাধিক মূল উপাদান সহ একটি স্মার্টডিজাইন থাকে এবং আপনি আপনার চূড়ান্ত নকশায় সেগুলি ব্যবহার করতে চান, তাহলে আপনাকে অবশ্যই নির্বাচন করতে হবে fileআপনার ডিজাইন প্রবাহে ব্যবহারের জন্য কম্পোনেন্ট ম্যানিফেস্ট রিপোর্টে তালিকাভুক্ত সমস্ত উপাদান।
২.৩ ব্যাখ্যামূলক ম্যানিফেস্ট Files (একটি প্রশ্ন জিজ্ঞাসা করুন)
যখন আপনি একটি কম্পোনেন্ট ম্যানিফেস্ট খুলবেন file, তুমি পথ দেখতে পাচ্ছো fileআপনার Libero প্রকল্পে s এবং ডিজাইন প্রবাহে কোথায় সেগুলি ব্যবহার করতে হবে তার নির্দেশিকা। আপনি নিম্নলিখিত ধরণের দেখতে পাবেন fileএকটি ম্যানিফেস্টে file:
- এইচডিএল উৎস fileসমস্ত সংশ্লেষণ এবং সিমুলেশন সরঞ্জামের জন্য s
- উদ্দীপক fileসকল সিমুলেশন টুলের জন্য
- সীমাবদ্ধতা files
পোলারফায়ার কোর কম্পোনেন্টের কম্পোনেন্ট ম্যানিফেস্ট নিচে দেওয়া হল।প্রতিটি ধরণের file আপনার নকশা প্রবাহে নিম্ন প্রবাহে প্রয়োজনীয়। নিম্নলিখিত বিভাগগুলি এর একীকরণ বর্ণনা করে fileম্যানিফেস্ট থেকে আপনার ডিজাইন প্রবাহে s।
সীমাবদ্ধতা তৈরি (একটি প্রশ্ন জিজ্ঞাসা করুন)
কনফিগারেশন এবং জেনারেশন করার সময়, SDC/PDC/NDC কনস্ট্রেইন্ট লিখতে/জেনারেট করতে ভুলবেন না। fileডিজাইনের জন্য সিন্থেসিস, প্লেস-এন্ড-রুট এবং ভেরিফাই টাইমিং টুলগুলিতে পাস করার জন্য।
Libero পরিবেশের বাইরে Derive Constraint ইউটিলিটি ব্যবহার করে ম্যানুয়ালি লেখার পরিবর্তে সীমাবদ্ধতা তৈরি করুন। Libero পরিবেশের বাইরে Derive Constraint ইউটিলিটি ব্যবহার করতে, আপনাকে অবশ্যই:
- ব্যবহারকারী HDL, কম্পোনেন্ট HDL, এবং কম্পোনেন্ট SDC সীমাবদ্ধতা সরবরাহ করুন files
- শীর্ষ স্তরের মডিউলটি নির্দিষ্ট করুন
- প্রাপ্ত সীমাবদ্ধতা তৈরি করার স্থানটি নির্দিষ্ট করুন। files
SDC উপাদানের সীমাবদ্ধতাগুলি নিম্নলিখিত অধীনে উপলব্ধ /উপাদান/কাজ/ / / কম্পোনেন্ট কনফিগারেশন এবং জেনারেশনের পরে ডিরেক্টরি।
আপনার ডিজাইনের জন্য কীভাবে সীমাবদ্ধতা তৈরি করবেন সে সম্পর্কে আরও তথ্যের জন্য, পরিশিষ্ট C—ডেরাইভ সীমাবদ্ধতা দেখুন।
আপনার নকশা সংশ্লেষণ করা (একটি প্রশ্ন জিজ্ঞাসা করুন)
কাস্টম ফ্লোর একটি প্রাথমিক বৈশিষ্ট্য হল আপনাকে তৃতীয় পক্ষের সংশ্লেষণ ব্যবহার করার অনুমতি দেওয়া
Libero এর বাইরের টুল। কাস্টম ফ্লো Synopsys SynplifyPro ব্যবহার সমর্থন করে। আপনার সংশ্লেষণ করতে
প্রকল্পের জন্য, নিম্নলিখিত পদ্ধতিটি ব্যবহার করুন:
- আপনার তৈরি Libero প্রকল্পের মতো একই ডিভাইস পরিবার, ডাই এবং প্যাকেজকে লক্ষ্য করে আপনার সিনথেসিস সরঞ্জামে একটি নতুন প্রকল্প তৈরি করুন।
ক. আপনার নিজস্ব RTL আমদানি করুন fileতুমি যেমনটা সাধারণত করো।
খ. সিনথেসিস আউটপুটকে স্ট্রাকচারাল ভেরিলগ (.vm) হিসেবে সেট করুন।
টিপ: কাঠামোগত PolarFire-এ Verilog (.vm) হল একমাত্র সমর্থিত সংশ্লেষণ আউটপুট ফর্ম্যাট। - কম্পোনেন্ট এইচডিএল আমদানি করুন fileআপনার সংশ্লেষণ প্রকল্পে s:
ক. প্রতিটি কম্পোনেন্ট ম্যানিফেস্ট রিপোর্টের জন্য: প্রতিটির জন্য file HDL উৎসের অধীনে fileসমস্ত সংশ্লেষণ এবং সিমুলেশন সরঞ্জামের জন্য, আমদানি করুন file তোমার সংশ্লেষণ প্রকল্পে। - আমদানি করুন file polarfire_syn_comps.v (যদি Synopsys Synplify ব্যবহার করেন) থেকে
আপনার সিন্থেসিস প্রকল্পে ইনস্টলেশন location>/data/aPA5M। - পূর্বে তৈরি করা SDC আমদানি করুন file ডেরাইভড কনস্ট্রেইন্ট টুলের মাধ্যমে (পরিশিষ্ট দেখুন)
ক—সample SDC সীমাবদ্ধতা) সংশ্লেষণ সরঞ্জামে। এই সীমাবদ্ধতা file কম প্রচেষ্টা এবং কম ডিজাইন পুনরাবৃত্তির মাধ্যমে সময়সীমা বন্ধ করতে সংশ্লেষণ সরঞ্জামকে সীমাবদ্ধ করে।
গুরুত্বপূর্ণ:
- যদি আপনি একই *.sdc ব্যবহার করার পরিকল্পনা করেন file নকশা বাস্তবায়ন পর্যায়ে স্থান-এবং-রুট সীমাবদ্ধ করার জন্য, আপনাকে এই *.sdc সংশ্লেষণ প্রকল্পে আমদানি করতে হবে। এটি নিশ্চিত করার জন্য যে সংশ্লেষিত নেটলিস্ট এবং নকশা প্রক্রিয়ার বাস্তবায়ন পর্যায়ে স্থান-এবং-রুট সীমাবদ্ধতাগুলিতে কোনও নকশা অবজেক্টের নামের অমিল নেই। যদি আপনি এই *.sdc অন্তর্ভুক্ত না করেন file সংশ্লেষণ ধাপে, নকশা বস্তুর নামের অমিলের কারণে সংশ্লেষণ থেকে তৈরি নেটলিস্ট স্থান এবং রুট ধাপে ব্যর্থ হতে পারে।
ক. সিনথেসিস টুলে নেটলিস্ট অ্যাট্রিবিউট *.ndc, যদি থাকে, আমদানি করুন।
খ. সংশ্লেষণ চালান। - আপনার সিন্থেসিস টুল আউটপুটের অবস্থানে *.vm নেটলিস্ট রয়েছে file সিন্থেসিসের পরে তৈরি। ডিজাইন প্রক্রিয়া চালিয়ে যাওয়ার জন্য আপনাকে নেটলিস্টটি লাইবেরো বাস্তবায়ন প্রকল্পে আমদানি করতে হবে।
আপনার নকশা অনুকরণ করা (একটি প্রশ্ন জিজ্ঞাসা করুন)
Libero-এর বাইরে আপনার নকশা অনুকরণ করতে (অর্থাৎ, আপনার নিজস্ব সিমুলেশন পরিবেশ এবং সিমুলেটর ব্যবহার করে), নিম্নলিখিত পদক্ষেপগুলি সম্পাদন করুন:
- ডিজাইন Files:
ক. প্রাক-সংশ্লেষণ সিমুলেশন:
• আপনার সিমুলেশন প্রকল্পে আপনার RTL আমদানি করুন।
• প্রতিটি কম্পোনেন্ট ম্যানিফেস্ট রিপোর্টের জন্য।
- প্রতিটি আমদানি করুন file HDL উৎসের অধীনে fileআপনার সিমুলেশন প্রকল্পে সমস্ত সংশ্লেষণ এবং সিমুলেশন সরঞ্জামের জন্য।
• এগুলো কম্পাইল করুন fileআপনার সিমুলেটরের নির্দেশ অনুসারে।
খ. সংশ্লেষণ-পরবর্তী সিমুলেশন:
• আপনার সিমুলেশন প্রকল্পে আপনার পোস্ট-সিনথেসিস *.vm নেটলিস্ট (সিনথেসাইজিং ইওর ডিজাইনে তৈরি) আমদানি করুন এবং এটি কম্পাইল করুন।
গ. পোস্ট-লেআউট সিমুলেশন:
• প্রথমে, আপনার নকশা বাস্তবায়ন সম্পূর্ণ করুন (আপনার নকশা বাস্তবায়ন দেখুন)। নিশ্চিত করুন যে আপনার চূড়ান্ত লাইবেরো প্রকল্পটি লেআউট-পরবর্তী অবস্থায় আছে।
• Generate BackAnnotated-এ ডাবল-ক্লিক করুন Fileলাইবেরো ডিজাইন ফ্লো উইন্ডোতে s। এটি দুটি তৈরি করে files:
/ডিজাইনার/ / _ba.v/vhd সম্পর্কে /ডিজাইনার/
/ _ba.sdf সম্পর্কে
• এই দুটিই আমদানি করুন fileআপনার সিমুলেশন টুলে। - উদ্দীপনা এবং কনফিগারেশন files:
ক. প্রতিটি কম্পোনেন্ট ম্যানিফেস্ট রিপোর্টের জন্য:
• সব কপি করুন fileউদ্দীপনার অধীনে Fileআপনার সিমুলেশন প্রকল্পের রুট ডিরেক্টরিতে সমস্ত সিমুলেশন টুলস বিভাগের জন্য।
খ. নিশ্চিত করুন যে যেকোনো Tcl fileপূর্ববর্তী তালিকার (ধাপ ২.ক) গুলি প্রথমে সিমুলেশন শুরুর আগে কার্যকর করা হয়।
গ. UPROM.mem: যদি আপনি আপনার ডিজাইনে UPROM কোর ব্যবহার করেন এবং "Use content for simulation" বিকল্পটি ব্যবহার করেন, যা আপনি এক বা একাধিক ডেটা স্টোরেজ ক্লায়েন্টের জন্য সক্ষম করেছেন যা আপনি সিমুলেট করতে চান, তাহলে আপনাকে UPROM.mem তৈরি করতে এক্সিকিউটেবল pa4rtupromgen (উইন্ডোজে pa4rtupromgen.exe) ব্যবহার করতে হবে। file. pa4rtupromgen এক্সিকিউটেবল UPROM.cfg নেয় file একটি Tcl স্ক্রিপ্টের মাধ্যমে ইনপুট হিসেবে file এবং UPROM.mem আউটপুট করে file সিমুলেশনের জন্য প্রয়োজন। এই UPROM.mem file সিমুলেশন চালানোর আগে সিমুলেশন ফোল্ডারে কপি করতে হবে। একটি প্রাক্তনampনিম্নলিখিত ধাপগুলিতে pa4rtupromgen এক্সিকিউটেবল ব্যবহার দেখানো হয়েছে। UPROM.cfg file ডিরেক্টরিতে পাওয়া যাচ্ছে /উপাদান/কাজ/ / Libero প্রকল্পে যা আপনি UPROM উপাদান তৈরি করতে ব্যবহার করেছিলেন।
d. snvm.mem: যদি আপনি আপনার ডিজাইনে সিস্টেম সার্ভিসেস কোর ব্যবহার করেন এবং কোরে sNVM ট্যাবটি "Use content for simulation" বিকল্পটি দিয়ে কনফিগার করেন যা আপনি এক বা একাধিক ক্লায়েন্টের জন্য সিমুলেট করতে চান, তাহলে একটি snvm.mem file স্বয়ংক্রিয়ভাবে তৈরি হয়
ডিরেক্টরিটি /উপাদান/কাজ/ / Libero প্রকল্পে যা আপনি সিস্টেম সার্ভিসেস কম্পোনেন্ট তৈরি করতে ব্যবহার করেছিলেন। এই snvm.mem file সিমুলেশন চালানোর আগে সিমুলেশন ফোল্ডারে কপি করতে হবে। - ওয়ার্কিং ফোল্ডারের অধীনে একটি ওয়ার্কিং ফোল্ডার এবং সিমুলেশন নামে একটি সাব-ফোল্ডার তৈরি করুন।
pa4rtupromgen এক্সিকিউটেবলটি ওয়ার্কিং ফোল্ডারে সিমুলেশন সাব ফোল্ডারের উপস্থিতি আশা করে এবং *.tcl স্ক্রিপ্টটি সিমুলেশন সাব ফোল্ডারে স্থাপন করা হয়। - UPROM.cfg কপি করুন file কম্পোনেন্ট জেনারেশনের জন্য তৈরি প্রথম লাইবেরো প্রকল্প থেকে ওয়ার্কিং ফোল্ডারে।
- নিম্নলিখিত কমান্ডগুলি একটি *.tcl স্ক্রিপ্টে পেস্ট করুন এবং ধাপ 3 এ তৈরি সিমুলেশন ফোল্ডারে রাখুন।
SampURPOM.mem তৈরি করার জন্য PolarFire এবং PolarFire Soc Family ডিভাইসগুলির জন্য le *.tcl file
UPROM.cfg থেকে
সেট_ডিভাইস -ফ্যাম -মৃত্যু -পিকেজি
সেট_ইনপুট_সিএফজি -পথ
সেট_সিম_মেম -পথFile/UPROM.mem>
gen_sim -use_init মিথ্যা
ডাই এবং প্যাকেজের জন্য সঠিক অভ্যন্তরীণ নামের জন্য, *.prjx দেখুন। file প্রথম লাইবেরো প্রকল্পের (উপাদান তৈরির জন্য ব্যবহৃত)।
use_init আর্গুমেন্টটি অবশ্যই মিথ্যাতে সেট করতে হবে।
আউটপুটের পথ নির্দিষ্ট করতে set_sim_mem কমান্ডটি ব্যবহার করুন। file UPROM.mem অর্থাৎ
স্ক্রিপ্ট কার্যকর করার পরে তৈরি হয় file pa4rtupromgen এক্সিকিউটেবল সহ। - কমান্ড প্রম্পট অথবা সাইগউইন টার্মিনালে, ধাপ ৩-এ তৈরি ওয়ার্কিং ডিরেক্টরিতে যান।
–script বিকল্পটি ব্যবহার করে pa4rtupromgen কমান্ডটি কার্যকর করুন এবং পূর্ববর্তী ধাপে তৈরি *.tcl স্ক্রিপ্টটি এতে পাস করুন।
উইন্ডোজের জন্য
/designer/bin/pa4rtupromgen.exe \
–স্ক্রিপ্ট./সিমুলেশন/ .tcl সম্পর্কে
লিনাক্সের জন্য:
/bin/pa4rtupromgen
–স্ক্রিপ্ট./সিমুলেশন/ .tcl সম্পর্কে - pa4rtupromgen এক্সিকিউটেবল সফলভাবে কার্যকর করার পর, পরীক্ষা করুন যে UPROM.mem file *.tcl স্ক্রিপ্টে set_sim_mem কমান্ডে নির্দিষ্ট স্থানে তৈরি করা হয়।
- sNVM সিমুলেট করতে, snvm.mem কপি করুন file আপনার প্রথম Libero প্রজেক্ট (কম্পোনেন্ট কনফিগারেশনের জন্য ব্যবহৃত) থেকে আপনার সিমুলেশন প্রজেক্টের শীর্ষ স্তরের সিমুলেশন ফোল্ডারে সিমুলেশন চালানোর জন্য (Libero SoC এর বাইরে)। UPROM কন্টেন্ট সিমুলেট করতে, জেনারেট করা UPROM.mem কপি করুন। file সিমুলেশন চালানোর জন্য আপনার সিমুলেশন প্রকল্পের শীর্ষ স্তরের সিমুলেশন ফোল্ডারে (Libero SoC এর বাইরে)।
গুরুত্বপূর্ণ: প্রতি SoC কম্পোনেন্টের কার্যকারিতা সিমুলেট করুন, প্রি-কম্পাইল করা PolarFire সিমুলেশন লাইব্রেরিগুলি ডাউনলোড করুন এবং এখানে বর্ণিত পদ্ধতিতে আপনার সিমুলেশন পরিবেশে আমদানি করুন। আরও বিস্তারিত জানার জন্য, পরিশিষ্ট B—সিমুলেশন পরিবেশে সিমুলেশন লাইব্রেরি আমদানি দেখুন।
আপনার নকশা বাস্তবায়ন (একটি প্রশ্ন জিজ্ঞাসা করুন)
আপনার পরিবেশে সংশ্লেষণ এবং পোস্ট-সংশ্লেষণ সিমুলেশন সম্পন্ন করার পর, আপনার নকশা, রান টাইমিং এবং পাওয়ার বিশ্লেষণ বাস্তবে বাস্তবায়ন করতে এবং আপনার প্রোগ্রামিং তৈরি করতে আপনাকে আবার Libero ব্যবহার করতে হবে। file.
- ডিজাইনের ভৌত বাস্তবায়ন এবং বিন্যাসের জন্য একটি নতুন Libero প্রকল্প তৈরি করুন। কম্পোনেন্ট কনফিগারেশনে আপনার তৈরি রেফারেন্স প্রকল্পের মতো একই ডিভাইসটি লক্ষ্য করুন।
- প্রকল্প তৈরির পরে, ডিজাইন ফ্লো উইন্ডোতে (প্রকল্প > প্রকল্প সেটিংস > নকশা প্রবাহ > সংশ্লেষণ সক্ষম করুন আনচেক করুন) টুল চেইন থেকে সংশ্লেষণটি সরিয়ে ফেলুন।
- আপনার পোস্ট-সিনথেসিস *.vm আমদানি করুন file এই প্রকল্পে, (File > আমদানি > সংশ্লেষিত ভেরিলগ নেটলিস্ট (VM))।
পরামর্শ: এটির একটি লিঙ্ক তৈরি করার পরামর্শ দেওয়া হচ্ছে file, যাতে আপনি যদি আপনার নকশা পুনঃসংশ্লেষণ করেন, তাহলে Libero সর্বদা সর্বশেষ পোস্ট-সিনথেসিস নেটলিস্ট ব্যবহার করে।
ক. ডিজাইন হায়ারার্কি উইন্ডোতে, রুট মডিউলের নামটি নোট করুন। - Libero প্রজেক্টে সীমাবদ্ধতাগুলি আমদানি করুন। *.pdc/*.sdc/*.ndc সীমাবদ্ধতাগুলি আমদানি করতে সীমাবদ্ধতা পরিচালক ব্যবহার করুন।
a. আমদানি I/O *.pdc সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > I/O বৈশিষ্ট্য > আমদানি)।
খ. আমদানি ফ্লোরপ্ল্যানিং *.পিডিসি সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > ফ্লোর প্ল্যানার >আমদানি)।
গ. আমদানি *.sdc সময় সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > সময় > আমদানি)। যদি আপনার ডিজাইনে ওভারে তালিকাভুক্ত কোনও কোর থাকেview, SDC আমদানি নিশ্চিত করুন file ডেরাইভ কনস্ট্রেইন্ট টুলের মাধ্যমে তৈরি।
ঘ. আমদানি *.ndc সীমাবদ্ধতা files (সীমাবদ্ধতা ব্যবস্থাপক > নেটলিস্ট বৈশিষ্ট্য > আমদানি)। - সহযোগী সীমাবদ্ধতা Fileসরঞ্জাম ডিজাইন করার জন্য।
ক. সীমাবদ্ধতা ব্যবস্থাপক খুলুন (সীমাবদ্ধতা পরিচালনা করুন > সীমাবদ্ধতা পরিচালনা করুন খুলুন) View).
সীমাবদ্ধতার পাশে স্থান-এবং-রুট এবং সময় যাচাইকরণ চেক বাক্সটি চেক করুন। file সীমাবদ্ধতা স্থাপন করা file এবং টুল অ্যাসোসিয়েশন। *.pdc সীমাবদ্ধতাকে Place-andRoute-এর সাথে এবং *.sdc-কে Place-and-Route এবং Timing Verification-এর সাথে সংযুক্ত করুন। *.ndc-কে সংযুক্ত করুন file নেটলিস্ট কম্পাইল করতে।
পরামর্শ: যদি এই *.sdc সীমাবদ্ধতার সাথে স্থান এবং রুট ব্যর্থ হয় file, তারপর এই একই *.sdc আমদানি করুন file সংশ্লেষণ এবং পুনঃচালিত সংশ্লেষণ।
- লেআউট ধাপটি সম্পূর্ণ করতে কম্পাইল নেটলিস্ট এবং তারপর প্লেস এবং রুট ক্লিক করুন।
- কনফিগার ডিজাইন ইনিশিয়ালাইজেশন ডেটা অ্যান্ড মেমোরিজ টুল আপনাকে ননভোলাটাইল µPROM, sNVM, অথবা এক্সটার্নাল SPI ফ্ল্যাশ স্টোরেজ মেমোরিতে সংরক্ষিত ডেটা ব্যবহার করে LSRAM, µSRAM, XCVR (ট্রান্সসিভার) এবং PCIe এর মতো ডিজাইন ব্লকগুলিকে ইনিশিয়ালাইজ করতে দেয়। ডিজাইন ইনিশিয়ালাইজেশন সিকোয়েন্সের স্পেসিফিকেশন, ইনিশিয়ালাইজেশন ক্লায়েন্টের স্পেসিফিকেশন, ইউজার ডেটা ক্লায়েন্ট নির্ধারণের জন্য টুলটিতে নিম্নলিখিত ট্যাব রয়েছে।
- ডিজাইন ইনিশিয়ালাইজেশন ট্যাব
– µPROM ট্যাব
- sNVM ট্যাব
- SPI ফ্ল্যাশ ট্যাব
- ফ্যাব্রিক র্যাম ট্যাব
ডিজাইন ইনিশিয়ালাইজেশন ডেটা এবং স্মৃতি কনফিগার করতে টুলের ট্যাবগুলি ব্যবহার করুন।কনফিগারেশন সম্পন্ন করার পরে, প্রাথমিককরণ ডেটা প্রোগ্রাম করার জন্য নিম্নলিখিত পদক্ষেপগুলি সম্পাদন করুন:
• ইনিশিয়ালাইজেশন ক্লায়েন্ট তৈরি করুন
• বিটস্ট্রিম তৈরি বা রপ্তানি করুন
• ডিভাইসটি প্রোগ্রাম করুন
এই টুলটি কীভাবে ব্যবহার করবেন সে সম্পর্কে বিস্তারিত তথ্যের জন্য, Libero SoC ডিজাইন ফ্লো ব্যবহারকারী নির্দেশিকা দেখুন। টুলে বিভিন্ন ট্যাব কনফিগার করতে এবং মেমরি কনফিগারেশন নির্দিষ্ট করতে ব্যবহৃত Tcl কমান্ড সম্পর্কে আরও তথ্যের জন্য files (*.cfg), দেখুন Tcl কমান্ড রেফারেন্স গাইড. - একটি প্রোগ্রামিং তৈরি করুন File এই প্রকল্প থেকে সংগ্রহ করুন এবং আপনার FPGA প্রোগ্রাম করার জন্য এটি ব্যবহার করুন।
পরিশিষ্ট A—Sample SDC সীমাবদ্ধতা (একটি প্রশ্ন জিজ্ঞাসা করুন
Libero SoC নির্দিষ্ট IP কোরের জন্য SDC টাইমিং সীমাবদ্ধতা তৈরি করে, যেমন CCC, OSC, ট্রান্সসিভার ইত্যাদি। SDC সীমাবদ্ধতাগুলি ডিজাইন টুলগুলিতে স্থানান্তর করলে কম প্রচেষ্টা এবং কম ডিজাইন পুনরাবৃত্তির মাধ্যমে টাইমিং ক্লোজার পূরণের সম্ভাবনা বৃদ্ধি পায়। সীমাবদ্ধতায় উল্লেখিত সমস্ত ডিজাইন অবজেক্টের জন্য শীর্ষ-স্তরের ইনস্ট্যান্স থেকে সম্পূর্ণ শ্রেণিবদ্ধ পথ দেওয়া হয়।
৭.১ এসডিসি সময়ের সীমাবদ্ধতা (একটি প্রশ্ন জিজ্ঞাসা করুন)
লাইবেরো আইপি কোর রেফারেন্স প্রকল্পে, এই শীর্ষ-স্তরের SDC সীমাবদ্ধতা file কনস্ট্রেইন্ট ম্যানেজার (ডিজাইন ফ্লো > ওপেন ম্যানেজ কনস্ট্রেইন্ট) থেকে পাওয়া যায়। View > সময় > সীমাবদ্ধতা অর্জন)।
গুরুত্বপূর্ণ: দেখুন এই file আপনার ডিজাইনে CCC, OSC, ট্রান্সসিভার এবং অন্যান্য উপাদান থাকলে SDC সীমাবদ্ধতা সেট করতে। প্রয়োজনে সম্পূর্ণ শ্রেণিবিন্যাসের পথ পরিবর্তন করুন, যাতে আপনার ডিজাইনের শ্রেণিবিন্যাসের সাথে মেলে অথবা Derive_Constraints ইউটিলিটি এবং পরিশিষ্ট C-এর ধাপগুলি ব্যবহার করুন—কম্পোনেন্ট স্তর SDC-তে Derive Constraints file.
সংরক্ষণ করুন file অন্য নামে এবং SDC আমদানি করুন file সংশ্লেষণ সরঞ্জাম, স্থান-এবং-রুট সরঞ্জাম এবং সময় যাচাইকরণের জন্য, ঠিক অন্য যেকোনো SDC সীমাবদ্ধতার মতো files.
৭.১.১ প্রাপ্ত এসডিসি File (একটি প্রশ্ন জিজ্ঞাসা করুন)
# এই file নিম্নলিখিত SDC উৎসের উপর ভিত্তি করে তৈরি করা হয়েছিল files:
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
ট্রান্সমিট_পিএলএল/ট্রান্সমিট_পিএলএল_0/ট্রান্সমিট_পিএলএল_ট্রান্সমিট_পিএলএল_0_পিএফ_টিএক্স_পিএলএল.এসডিসি
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
ICICLE_MSS/ICICLE_MSS.sdc সম্পর্কে
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /ড্রাইভ/আইসিকল_কিট_রেফ_ডেস/আইসিকল-কিট-রেফারেন্স-ডিজাইন-মাস্টার/এমপিএফএস_আইসিআইসিএলই/কম্পোনেন্ট/ওয়ার্ক/
পিসিআইই_আইনিটিএটর/পিসিআইই_আইনিটিএটর_০/পিসিআইই_আইনিটিএটর.এসডিসি
# /ড্রাইভ/aPA5M/কোর/সীমাবদ্ধতা/osc_rc160mhz.sdc
# *** এতে কোন পরিবর্তন file যদি ডেরিভেটিভ কনস্ট্রেইন্টমেন্টগুলি পুনরায় চালানো হয় তবে এটি হারিয়ে যাবে। ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -পিরিয়ড 6.25
[ পিন_পেতে { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -পিরিয়ড ১০ [get_ports {REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_10/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - সময়কাল ৮
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -২৫ দ্বারা_গুণ -৩২ দ্বারা_ভাগ -উৎস
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ফেজ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -২৫ দ্বারা_গুণ -৩২ দ্বারা_ভাগ -উৎস
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ফেজ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -২৫ দ্বারা_গুণ -৩২ দ্বারা_ভাগ -উৎস
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ফেজ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -২৫ দ্বারা_গুণ -৩২ দ্বারা_ভাগ -উৎস
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ফেজ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 দ্বারা_ভাগ করুন -উৎস
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ]
পরিশিষ্ট খ—সিমুলেশন পরিবেশে সিমুলেশন লাইব্রেরি আমদানি করা (একটি প্রশ্ন জিজ্ঞাসা করুন)
Libero SoC সহ RTL সিমুলেশনের জন্য ডিফল্ট সিমুলেটর হল ModelSim ME Pro।
ডিফল্ট সিমুলেটরের জন্য প্রাক-সংকলিত লাইব্রেরিগুলি ডিরেক্টরিতে Libero ইনস্টলেশনের সাথে উপলব্ধ। /Designer/lib/modelsimpro/precompiled/vlog for® সমর্থিত পরিবার। Libero SoC মডেলসিম, কোয়েস্টাসিম, ভিসিএস, এক্সসেলিয়ামের অন্যান্য তৃতীয় পক্ষের সিমুলেটর সংস্করণগুলিকেও সমর্থন করে।
, অ্যাক্টিভ এইচডিএল, এবং রিভেরা প্রো। সংশ্লিষ্ট প্রাক-সংকলিত লাইব্রেরিগুলি থেকে ডাউনলোড করুন Libero SoC v12.0 এবং পরবর্তী সিমুলেটর এবং এর সংস্করণের উপর ভিত্তি করে।
Libero পরিবেশের অনুরূপ, run.do file Libero এর বাইরে সিমুলেশন চালানোর জন্য তৈরি করতে হবে।
একটি সহজ run.do তৈরি করুন file যার মধ্যে সংকলন ফলাফল, লাইব্রেরি ম্যাপিং, সংকলন এবং সিমুলেশনের জন্য লাইব্রেরি স্থাপনের কমান্ড রয়েছে। একটি মৌলিক run.do তৈরি করতে ধাপগুলি অনুসরণ করুন file.
- vlib কমান্ড vlib presynth ব্যবহার করে সংকলনের ফলাফল সংরক্ষণের জন্য একটি লজিক্যাল লাইব্রেরি তৈরি করুন।
- vmap কমান্ড ব্যবহার করে লজিক্যাল লাইব্রেরির নামটি প্রাক-সংকলিত লাইব্রেরি ডিরেক্টরিতে ম্যাপ করুন vmap .
- উৎস কম্পাইল করুন files—ডিজাইন কম্পাইল করার জন্য ভাষা-নির্দিষ্ট কম্পাইলার কমান্ড ব্যবহার করুন fileওয়ার্কিং ডিরেক্টরিতে s।
– .v/.sv এর জন্য ভ্লগ
– .vhd এর জন্য vcom - যেকোনো শীর্ষ-স্তরের মডিউলের নাম উল্লেখ করে vsim কমান্ড ব্যবহার করে সিমুলেশনের জন্য নকশাটি লোড করুন।
- রান কমান্ড ব্যবহার করে নকশাটি সিমুলেট করুন।
ডিজাইন লোড করার পর, সিমুলেশন সময় শূন্যে সেট করা হয়, এবং আপনি সিমুলেশন শুরু করতে রান কমান্ডটি প্রবেশ করতে পারেন।
সিমুলেটর ট্রান্সক্রিপ্ট উইন্ডোতে, run.do এক্সিকিউট করুন file রান হিসেবে সিমুলেশনটি চালান। Sample run.do file নিম্নরূপ
চুপচাপ ACTELLIBNAME PolarFire সেট করুন চুপচাপ PROJECT_DIR “W:/Test/basic_test” সেট করুন যদি
{[file exists presynth/_info]} { echo “INFO: সিমুলেশন লাইব্রেরি presynth exists” } অন্যথায়
{ file মুছে ফেলুন -ফোর্স প্রেসিনথ ভিলিব প্রেসিনথ } ভিম্যাপ প্রেসিনথ প্রেসিনথ ভিম্যাপ পোলারফায়ার
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” ভ্লগ -sv -ওয়ার্ক প্রিসিন্থ
“${PROJECT_DIR}/hdl/top.v” ভ্লগ “+incdir+${PROJECT_DIR}/stimulus” -sv -ওয়ার্ক প্রেসিনথ “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb তরঙ্গ যোগ করুন /tb/*
1000ns লগ /tb/* প্রস্থান চালান
পরিশিষ্ট গ—ডেরাইভ সীমাবদ্ধতা (একটি প্রশ্ন জিজ্ঞাসা করুন)
এই পরিশিষ্টে Derive Constraints Tcl কমান্ডগুলি বর্ণনা করা হয়েছে।
৯.১ সীমাবদ্ধতা থেকে প্রাপ্ত Tcl কমান্ড (একটি প্রশ্ন জিজ্ঞাসা করুন)
derive_constraints ইউটিলিটি আপনাকে Libero SoC ডিজাইন পরিবেশের বাইরে RTL অথবা কনফিগারেটর থেকে সীমাবদ্ধতা বের করতে সাহায্য করে। আপনার ডিজাইনের জন্য সীমাবদ্ধতা তৈরি করতে, আপনার User HDL, Component HDL এবং Component Constraints প্রয়োজন। fileSDC উপাদানের সীমাবদ্ধতা fileগুলি এর অধীনে পাওয়া যায় /উপাদান/কাজ/ / / কম্পোনেন্ট কনফিগারেশন এবং জেনারেশনের পরে ডিরেক্টরি।
প্রতিটি উপাদানের সীমাবদ্ধতা file set_component tcl কমান্ড (কম্পোনেন্টের নাম উল্লেখ করে) এবং কনফিগারেশনের পরে তৈরি হওয়া সীমাবদ্ধতার তালিকা নিয়ে গঠিত। সীমাবদ্ধতাগুলি কনফিগারেশনের উপর ভিত্তি করে তৈরি করা হয় এবং প্রতিটি উপাদানের জন্য নির্দিষ্ট।
Exampলে ৯-১। কম্পোনেন্ট সীমাবদ্ধতা File PF_CCC কোরের জন্য
এখানে একজন প্রাক্তনampউপাদান সীমাবদ্ধতার মাত্রা file PF_CCC কোরের জন্য:
সেট_কম্পোনেন্ট PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# মাইক্রোচিপ কর্পোরেশন।
# তারিখ: ২০২১-অক্টোবর-২৬ ০৪:৩৬:০০
# PLL #0 এর জন্য বেস ক্লক
create_clock -পিরিয়ড ১০ [ get_pins { plll_inst_10/REF_CLK_0 } ] create_generated_clock -divide_by 0 -source [ get_pins { plll_inst_1/
REF_CLK_0 } ] -phase 0 [ get_pins { plll_inst_0/OUT0 } ] এখানে, create_clock এবং create_generated_clock যথাক্রমে রেফারেন্স এবং আউটপুট ক্লক সীমাবদ্ধতা, যা কনফিগারেশনের উপর ভিত্তি করে তৈরি করা হয়।
৯.১.১ derive_constraints ইউটিলিটি নিয়ে কাজ করা (একটি প্রশ্ন জিজ্ঞাসা করুন)
পূর্বে প্রদত্ত কম্পোনেন্ট SDC-এর উপর ভিত্তি করে ডিজাইনের মধ্য দিয়ে সীমাবদ্ধতা বের করুন এবং প্রতিটি কম্পোনেন্টের জন্য নতুন সীমাবদ্ধতা বরাদ্দ করুন। files. CCC রেফারেন্স ঘড়ির জন্য, এটি রেফারেন্স ঘড়ির উৎস খুঁজে বের করার জন্য নকশার মাধ্যমে ফিরে আসে। যদি উৎসটি একটি I/O হয়, তাহলে রেফারেন্স ঘড়ির সীমাবদ্ধতা I/O-তে সেট করা হবে। যদি এটি একটি CCC আউটপুট বা অন্য কোনও ঘড়ির উৎস হয় (যেমনample, ট্রান্সসিভার, অসিলেটর), এটি অন্য কম্পোনেন্ট থেকে ঘড়ি ব্যবহার করে এবং যদি ব্যবধানগুলি মেলে না তবে একটি সতর্কতা রিপোর্ট করে। ডেরিভ কনস্ট্রেইন্টস কিছু ম্যাক্রোর জন্যও কনস্ট্রেইন্টস বরাদ্দ করবে যেমন অন-চিপ অসিলেটর যদি আপনার RTL-এ থাকে।
derive_constraints ইউটিলিটি কার্যকর করতে, আপনাকে একটি .tcl সরবরাহ করতে হবে file নির্দিষ্ট ক্রমে নিম্নলিখিত তথ্য সহ কমান্ড-লাইন আর্গুমেন্ট।
- set_device বিভাগের তথ্য ব্যবহার করে ডিভাইসের তথ্য নির্দিষ্ট করুন।
- RTL-এর পথ নির্দিষ্ট করুন fileread_verilog অথবা read_vhdl বিভাগের তথ্য ব্যবহার করে।
- set_top_level বিভাগের তথ্য ব্যবহার করে শীর্ষ স্তরের মডিউল সেট করুন।
- SDC কম্পোনেন্টের পথ নির্দিষ্ট করুন fileread_sdc অথবা read_ndc বিভাগের তথ্য ব্যবহার করে।
- চালান filederive_constraints বিভাগের তথ্য ব্যবহার করে।
- SDC থেকে প্রাপ্ত সীমাবদ্ধতার পথ নির্দিষ্ট করুন file write_sdc অথবা write_pdc অথবা write_ndc বিভাগের তথ্য ব্যবহার করে।
Example 9-2. derive.tcl এর সম্পাদন এবং বিষয়বস্তু File
নিম্নলিখিত একটি প্রাক্তনampderive_constraints ইউটিলিটি কার্যকর করার জন্য কমান্ড-লাইন আর্গুমেন্ট।
$ /bin{64}/derive_constraints derive.tcl
derive.tcl এর বিষয়বস্তু file:
# ডিভাইসের তথ্য
set_device -পরিবার PolarFire -die MPF100T -গতি -1
# আরটিএল files
read_verilog -মোড system_verilog প্রকল্প/উপাদান/কাজ/txpll0/
অনুসরণ
রিড_ভেরিলগ -মোড সিস্টেম_ভেরিলগ {প্রকল্প/উপাদান/কাজ/txpll0/txpll0.v}
read_verilog -মোড system_verilog {প্রকল্প/উপাদান/কাজ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
রিড_ভেরিলগ -মোড সিস্টেম_ভেরিলগ {প্রকল্প/উপাদান/কাজ/xcvr0/xcvr0.v}
রিড_ভিএইচডিএল -মোড ভিএইচডিএল_২০০৮ {প্রকল্প/এইচডিএল/এক্সসিভিআর১.ভিএইচডি}
#উপাদান এসডিসি files
সেট_টপ_লেভেল {xcvr1}
read_sdc - কম্পোনেন্ট {প্রকল্প/কম্পোনেন্ট/কাজ/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc - কম্পোনেন্ট {প্রকল্প/কম্পোনেন্ট/কাজ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint কমান্ড ব্যবহার করুন
ডেরাইভ_কনস্ট্রেন্টস
#SDC/PDC/NDC ফলাফল files
write_sdc {প্রকল্প/সীমাবদ্ধতা/xcvr1_derived_সীমাবদ্ধতা.sdc}
write_pdc {প্রকল্প/সীমাবদ্ধতা/fp/xcvr1_derived_সীমাবদ্ধতা.pdc}
৯.১.২ সেট_ডিভাইস (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
পরিবারের নাম, মৃত্যুর নাম এবং গতির গ্রেড উল্লেখ করুন।
সেট_ডিভাইস -পরিবার -মৃত্যু -গতি
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
-পরিবার | স্ট্রিং | পরিবারের নাম উল্লেখ করুন। সম্ভাব্য মানগুলি হল PolarFire®, PolarFire SoC। |
-মৃত্যু | স্ট্রিং | ডাইয়ের নাম উল্লেখ করুন। |
-গতি | স্ট্রিং | ডিভাইসের গতির গ্রেড উল্লেখ করুন। সম্ভাব্য মান হল STD অথবা -1। |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্রয়োজনীয় প্যারামিটার—ডাই অনুপস্থিত | ডাই বিকল্পটি বাধ্যতামূলক এবং অবশ্যই নির্দিষ্ট করতে হবে। |
ERR0005 | অজানা 'MPF30' | -die অপশনের মান সঠিক নয়। অপশনের বর্ণনায় সম্ভাব্য মানগুলির তালিকা দেখুন। |
ERR0023 | প্যারামিটার—ডাই-তে মান নেই | ডাই বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0023 | প্রয়োজনীয় প্যারামিটার—পরিবার অনুপস্থিত | পারিবারিক বিকল্পটি বাধ্যতামূলক এবং অবশ্যই নির্দিষ্ট করতে হবে। |
ERR0004 | অজানা পরিবার 'পোলারফায়ার®' | পারিবারিক বিকল্পটি সঠিক নয়। বিকল্পের বর্ণনায় সম্ভাব্য মানগুলির তালিকা দেখুন। |
………… অব্যাহত | ||
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্যারামিটার—পরিবারের মান অনুপস্থিত | পারিবারিক বিকল্পটি কোনও মূল্য ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0023 | প্রয়োজনীয় প্যারামিটার—গতি অনুপস্থিত | গতির বিকল্পটি বাধ্যতামূলক এবং অবশ্যই নির্দিষ্ট করতে হবে। |
ERR0007 | অজানা গতি ' ' | গতি বিকল্পটি সঠিক নয়। বিকল্পের বর্ণনায় সম্ভাব্য মানগুলির তালিকা দেখুন। |
ERR0023 | প্যারামিটার—গতির মান নেই | গতি বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
Example
সেট_ডিভাইস -পরিবার {পোলারফায়ার} -ডাই {MPF300T_ES} -গতি -1
set_device -family SmartFusion 2 -die M2S090T -speed -1
৯.১.৩ রিড_ভেরিলগ (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
একটি ভেরিলোগ পড়ুন file ভেরিফিক ব্যবহার করে।
রিড_ভেরিলগ [-লিব ] [-মোড ]fileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
-লিব | স্ট্রিং | লাইব্রেরিতে যোগ করার জন্য মডিউলগুলি ধারণকারী লাইব্রেরিটি নির্দিষ্ট করুন। |
-মোড | স্ট্রিং | Verilog স্ট্যান্ডার্ডটি নির্দিষ্ট করুন। সম্ভাব্য মানগুলি হল verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu। মানগুলি কেস-সংবেদনশীল নয়। ডিফল্ট হল verilog_2k। |
fileনাম | স্ট্রিং | ভেরিলগ file নাম |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্যারামিটার—lib-এ মান নেই | lib বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0023 | প্যারামিটার—মোডে মান নেই | মোড বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0015 | অজানা মোড ' ' | নির্দিষ্ট ভেরিলগ মোডটি অজানা। সম্ভাব্য ভেরিলগ মোড ইন—মোড বিকল্পের বিবরণের তালিকা দেখুন। |
ERR0023 | প্রয়োজনীয় প্যারামিটার file নাম অনুপস্থিত। | কোনও ভেরিলগ নেই file পথ প্রদান করা হয়। |
ERR0016 | ভেরিফিকের পার্সারের কারণে ব্যর্থ হয়েছে | ভেরিলগে সিনট্যাক্স ত্রুটি file। ত্রুটি বার্তার উপরে কনসোলে ভেরিফিকের পার্সার লক্ষ্য করা যাবে। |
ERR0012 | set_device বলা হয় না | ডিভাইসের তথ্য নির্দিষ্ট করা নেই। ডিভাইসটি বর্ণনা করতে set_device কমান্ড ব্যবহার করুন। |
Example
read_verilog -মোড system_verilog {কম্পোনেন্ট/ওয়ার্ক/টপ/টপ.ভি}
read_verilog -মোড system_verilog_mfcu design.v
৯.১.৪ রিড_ভিএইচডিএল (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
একটি VHDL যোগ করুন file ভিএইচডিএলের তালিকায় files.
read_vhdl [-lib] ] [-মোড ]fileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
-লিব | — | কোন লাইব্রেরিতে কন্টেন্ট যোগ করতে হবে তা উল্লেখ করুন। |
-মোড | — | VHDL মান নির্দিষ্ট করে। ডিফল্ট হল VHDL_93। সম্ভাব্য মানগুলি হল vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl। মানগুলি কেস-সংবেদনশীল নয়। |
fileনাম | — | ভিএইচডিএল file নাম |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্যারামিটার—lib-এ মান নেই | lib বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0023 | প্যারামিটার—মোডে মান নেই | মোড বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0018 | অজানা মোড ' ' | নির্দিষ্ট VHDL মোডটি অজানা। সম্ভাব্য VHDL মোড ইন—মোড বিকল্পের বিবরণের তালিকা দেখুন। |
ERR0023 | প্রয়োজনীয় প্যারামিটার file নাম অনুপস্থিত। | কোন ভিএইচডিএল নেই file পথ প্রদান করা হয়। |
ERR0019 | invalid_path.v নিবন্ধন করতে অক্ষম file | নির্দিষ্ট VHDL file বিদ্যমান নেই অথবা পড়ার অনুমতি নেই। |
ERR0012 | set_device বলা হয় না | ডিভাইসের তথ্য নির্দিষ্ট করা নেই। ডিভাইসটি বর্ণনা করতে set_device কমান্ড ব্যবহার করুন। |
Example
read_vhdl -মোড vhdl_2008 osc2dfn.vhd
রিড_ভিএইচডিএল {এইচডিএল/টপ.ভিএইচডি}
৯.১.৫ সেট_টপ_লেভেল (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
RTL-তে শীর্ষ-স্তরের মডিউলের নাম উল্লেখ করুন।
সেট_টপ_লেভেল [-লিব ]
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
-লিব | স্ট্রিং | শীর্ষ-স্তরের মডিউল বা সত্তা অনুসন্ধানের জন্য লাইব্রেরি (ঐচ্ছিক)। |
নাম | স্ট্রিং | শীর্ষ-স্তরের মডিউল বা সত্তার নাম। |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্রয়োজনীয় প্যারামিটার শীর্ষ স্তর অনুপস্থিত | শীর্ষ স্তরের বিকল্পটি বাধ্যতামূলক এবং নির্দিষ্ট করতে হবে। |
ERR0023 | প্যারামিটার—lib-এ মান নেই | lib বিকল্পটি মান ছাড়াই নির্দিষ্ট করা হয়েছে। |
ERR0014 | শীর্ষ স্তর খুঁজে পাওয়া যাচ্ছে না লাইব্রেরিতে | নির্দিষ্ট শীর্ষ-স্তরের মডিউলটি প্রদত্ত লাইব্রেরিতে সংজ্ঞায়িত করা হয়নি। এই ত্রুটিটি ঠিক করার জন্য, শীর্ষ মডিউল বা লাইব্রেরির নামটি সংশোধন করতে হবে। |
ERR0017 | বিস্তারিত ব্যাখ্যা ব্যর্থ হয়েছে | RTL সম্প্রসারণ প্রক্রিয়ায় ত্রুটি। কনসোল থেকে ত্রুটি বার্তাটি লক্ষ্য করা যায়। |
Example
সেট_টপ_লেভেল {শীর্ষ}
set_top_level -lib এইচডিএল টপ
৯.১.৬ read_sdc (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
একটি SDC পড়ুন file কম্পোনেন্ট ডাটাবেসে।
read_sdc - কম্পোনেন্টfileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
-উপাদান | — | যখন আমরা সীমাবদ্ধতা অর্জন করি তখন read_sdc কমান্ডের জন্য এটি একটি বাধ্যতামূলক পতাকা। |
fileনাম | স্ট্রিং | SDC-তে যাওয়ার পথ file. |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্রয়োজনীয় প্যারামিটার file নাম অনুপস্থিত। | বাধ্যতামূলক বিকল্প file নাম নির্দিষ্ট করা নেই। |
ERR0000 | এসডিসি file <file_path> পঠনযোগ্য নয়। | নির্দিষ্ট SDC file পড়ার অনুমতি নেই। |
ERR0001 | খুলতে অক্ষমfile_পথ> file. | এসডিসি file এর অস্তিত্ব নেই। পথটি সংশোধন করতে হবে। |
ERR0008 | set_component কমান্ডটি অনুপস্থিতfile_পথ> file | SDC-এর নির্দিষ্ট উপাদান file উপাদানটি নির্দিষ্ট করে না। |
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0009 | <List of errors from sdc file> | এসডিসি file ভুল sdc কমান্ড রয়েছে। উদাহরণস্বরূপampলে,
যখন set_multicycle_path সীমাবদ্ধতায় একটি ত্রুটি থাকে: read_sdc কমান্ড কার্যকর করার সময় ত্রুটি: infile_পথ> file: set_multicycle_path কমান্ডে ত্রুটি: অজানা প্যারামিটার [get_cells {reg_a}]। |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
৯.১.৭ read_ndc (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
একটি এনডিসি পড়ুন file কম্পোনেন্ট ডাটাবেসে।
read_ndc - কম্পোনেন্টfileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
-উপাদান | — | যখন আমরা সীমাবদ্ধতা অর্জন করি তখন read_ndc কমান্ডের জন্য এটি একটি বাধ্যতামূলক ফ্ল্যাগ। |
fileনাম | স্ট্রিং | এনডিসিতে যাওয়ার পথ file. |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0001 | খুলতে অক্ষমfile_পথ> file | এনডিসি file এর অস্তিত্ব নেই। পথটি সংশোধন করতে হবে। |
ERR0023 | প্রয়োজনীয় প্যারামিটার—AtclParamO_ অনুপস্থিত। | বাধ্যতামূলক বিকল্প fileনাম নির্দিষ্ট করা নেই। |
ERR0023 | প্রয়োজনীয় প্যারামিটার—উপাদানটি অনুপস্থিত। | কম্পোনেন্ট বিকল্পটি বাধ্যতামূলক এবং নির্দিষ্ট করতে হবে। |
ERR0000 | এনডিসি file 'file_path>' পঠনযোগ্য নয়। | নির্দিষ্ট এনডিসি file পড়ার অনুমতি নেই। |
Example
read_ndc - কম্পোনেন্ট {কম্পোনেন্ট/ওয়ার্ক/ccc1/ccc1_0/ccc_comp.ndc}
৯.১.৮ derive_constraints (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
কম্পোনেন্ট SDC ইন্সট্যান্টিয়েট করুন fileডিজাইন-স্তরের ডাটাবেসে।
ডেরাইভ_কনস্ট্রেন্টস
যুক্তি
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0013 | শীর্ষ-স্তর সংজ্ঞায়িত নয় | এর মানে হল যে শীর্ষ-স্তরের মডিউল বা সত্তা নির্দিষ্ট করা নেই। এই কলটি ঠিক করতে, ইস্যু করুন derive_constraints কমান্ডের আগে set_top_level কমান্ড। |
Example
ডেরাইভ_কনস্ট্রেন্টস
৯.১.৯ write_sdc (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
একটি সীমাবদ্ধতা লেখে file SDC ফর্ম্যাটে।
লিখুন_এসডিসিfileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
<fileনাম> | স্ট্রিং | SDC-তে যাওয়ার পথ file তৈরি করা হবে। এটি একটি বাধ্যতামূলক বিকল্প। যদি file বিদ্যমান, এটি ওভাররাইট করা হবে। |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0003 | খুলতে অক্ষমfile পথ> file. | File পাথটি সঠিক নয়। প্যারেন্ট ডিরেক্টরিগুলি বিদ্যমান কিনা তা পরীক্ষা করুন। |
ERR0002 | এসডিসি file 'file path>' লেখা যায় না। | নির্দিষ্ট SDC file লেখার অনুমতি নেই। |
ERR0023 | প্রয়োজনীয় প্যারামিটার file নাম অনুপস্থিত। | এসডিসি file পথ একটি বাধ্যতামূলক বিকল্প এবং নির্দিষ্ট করা আবশ্যক। |
Example
write_sdc “derived.sdc”
৯.১.১০ write_pdc (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
শারীরিক সীমাবদ্ধতা (শুধুমাত্র ডেরাইভ সীমাবদ্ধতা) লেখে।
লিখুন_পিডিসিfileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
<fileনাম> | স্ট্রিং | পিডিসিতে যাওয়ার পথ file তৈরি করা হবে। এটি একটি বাধ্যতামূলক বিকল্প। যদি file পাথ বিদ্যমান থাকলে, এটি ওভাররাইট করা হবে। |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0003 | খুলতে অক্ষমfile পথ> file | দ file পাথটি সঠিক নয়। প্যারেন্ট ডিরেক্টরিগুলি বিদ্যমান কিনা তা পরীক্ষা করুন। |
ERR0002 | পিডিসি file 'file path>' লেখা যায় না। | নির্দিষ্ট পিডিসি file লেখার অনুমতি নেই। |
ERR0023 | প্রয়োজনীয় প্যারামিটার file নাম অনুপস্থিত। | পিডিসি file পথ একটি বাধ্যতামূলক বিকল্প এবং নির্দিষ্ট করা আবশ্যক। |
Example
write_pdc “derived.pdc”
৯.১.১১ write_ndc (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
NDC সীমাবদ্ধতাগুলিকে a তে লেখে file.
লিখুন_এনডিসিfileনাম>
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
fileনাম | স্ট্রিং | এনডিসিতে যাওয়ার পথ file তৈরি করা হবে। এটি একটি বাধ্যতামূলক বিকল্প। যদি file বিদ্যমান, এটি ওভাররাইট করা হবে। |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0003 | খুলতে অক্ষমfile_পথ> file. | File পাথটি সঠিক নয়। প্যারেন্ট ডিরেক্টরিগুলির অস্তিত্ব নেই। |
ERR0002 | এনডিসি file 'file_path>' লেখা যায় না। | নির্দিষ্ট এনডিসি file লেখার অনুমতি নেই। |
ERR0023 | প্রয়োজনীয় প্যারামিটার _AtclParamO_ অনুপস্থিত। | এনডিসি file পথ একটি বাধ্যতামূলক বিকল্প এবং নির্দিষ্ট করা আবশ্যক। |
Example
write_ndc “derived.ndc”
৯.১.১২ add_include_path (একটি প্রশ্ন জিজ্ঞাসা করুন)
বর্ণনা
অনুসন্ধানের জন্য একটি পথ নির্দিষ্ট করে যার মধ্যে রয়েছে fileRTL পড়ার সময় files.
যোগ_অন্তর্ভুক্ত_পথ
যুক্তি
প্যারামিটার | টাইপ | বর্ণনা |
ডিরেক্টরি | স্ট্রিং | অনুসন্ধানের জন্য একটি পথ নির্দিষ্ট করে যার মধ্যে রয়েছে fileRTL পড়ার সময় files. এই বিকল্পটি বাধ্যতামূলক। |
রিটার্ন টাইপ | বর্ণনা |
0 | কমান্ড সফল হয়েছে। |
রিটার্ন টাইপ | বর্ণনা |
1 | কমান্ড ব্যর্থ হয়েছে। একটি ত্রুটি আছে। আপনি কনসোলে ত্রুটি বার্তাটি দেখতে পারেন। |
ত্রুটির তালিকা
ত্রুটি কোড | ত্রুটি বার্তা | বর্ণনা |
ERR0023 | প্রয়োজনীয় প্যারামিটার ইনক্লুড পাথ অনুপস্থিত। | ডিরেক্টরি বিকল্পটি বাধ্যতামূলক এবং অবশ্যই প্রদান করতে হবে। |
দ্রষ্টব্য: যদি ডিরেক্টরি পাথটি সঠিক নয়, তাহলে add_include_path কোনও ত্রুটি ছাড়াই পাস করা হবে।
তবে, Verific এর পার্সারের কারণে read_verilog/read_vhd কমান্ডগুলি ব্যর্থ হবে।
Example
যোগ_অন্তর্ভুক্ত_পথ উপাদান/কাজ/COREABC0/COREABC0_0/rtl/vlog/কোর
পরিবর্ধন ও পরিবর্তন তালিকা (একটি প্রশ্ন জিজ্ঞাসা করুন)
পুনর্বিবেচনার ইতিহাস নথিতে বাস্তবায়িত পরিবর্তনগুলি বর্ণনা করে। পরিবর্তনগুলি সংশোধনের মাধ্যমে তালিকাভুক্ত করা হয়েছে, সবচেয়ে বর্তমান প্রকাশনা থেকে শুরু করে।
রিভিশন | তারিখ | বর্ণনা |
F | 08/2024 | এই সংশোধনে নিম্নলিখিত পরিবর্তনগুলি করা হয়েছে: • আপডেট করা বিভাগ পরিশিষ্ট B—সিমুলেশন পরিবেশে সিমুলেশন লাইব্রেরি আমদানি করা। |
E | 08/2024 | এই সংশোধনে নিম্নলিখিত পরিবর্তনগুলি করা হয়েছে: • আপডেট করা বিভাগ ওভারview. • আপডেট করা বিভাগ ডেরাইভড SDC File. • আপডেট করা বিভাগ পরিশিষ্ট B—সিমুলেশন পরিবেশে সিমুলেশন লাইব্রেরি আমদানি করা। |
D | 02/2024 | এই ডকুমেন্টটি Libero 2024.1 SoC ডিজাইন স্যুটের সাথে প্রকাশিত হয়েছে, v2023.2 থেকে কোনও পরিবর্তন ছাড়াই। আপডেট করা বিভাগ derive_constraints ইউটিলিটি নিয়ে কাজ করা |
C | 08/2023 | এই ডকুমেন্টটি Libero 2023.2 SoC ডিজাইন স্যুটের সাথে প্রকাশিত হয়েছে, v2023.1 থেকে কোনও পরিবর্তন ছাড়াই। |
B | 04/2023 | এই ডকুমেন্টটি Libero 2023.1 SoC ডিজাইন স্যুটের সাথে প্রকাশিত হয়েছে, v2022.3 থেকে কোনও পরিবর্তন ছাড়াই। |
A | 12/2022 | প্রাথমিক রিভিশন। |
মাইক্রোচিপ FPGA সমর্থন
মাইক্রোচিপ এফপিজিএ পণ্য গোষ্ঠী গ্রাহক পরিষেবা, গ্রাহক প্রযুক্তিগত সহায়তা কেন্দ্র, একটি সহ বিভিন্ন সহায়তা পরিষেবাগুলির সাথে তার পণ্যগুলিকে সমর্থন করে। webসাইট, এবং বিশ্বব্যাপী বিক্রয় অফিস।
গ্রাহকদের সহায়তার সাথে যোগাযোগ করার আগে মাইক্রোচিপ অনলাইন সংস্থানগুলি দেখার পরামর্শ দেওয়া হচ্ছে কারণ সম্ভবত তাদের প্রশ্নের উত্তর দেওয়া হয়েছে।
এর মাধ্যমে প্রযুক্তিগত সহায়তা কেন্দ্রের সাথে যোগাযোগ করুন webসাইটে www.microchip.com/support. FPGA ডিভাইস পার্ট নম্বর উল্লেখ করুন, উপযুক্ত কেস বিভাগ নির্বাচন করুন এবং ডিজাইন আপলোড করুন fileএকটি প্রযুক্তিগত সহায়তা মামলা তৈরি করার সময়।
অ-প্রযুক্তিগত পণ্য সহায়তার জন্য গ্রাহক পরিষেবার সাথে যোগাযোগ করুন, যেমন পণ্যের মূল্য নির্ধারণ, পণ্য আপগ্রেড, আপডেট তথ্য, অর্ডার স্থিতি এবং অনুমোদন।
- উত্তর আমেরিকা থেকে, 800.262.1060 এ কল করুন
- বাকি বিশ্ব থেকে, 650.318.4460 নম্বরে কল করুন
- ফ্যাক্স, বিশ্বের যেকোনো স্থান থেকে, 650.318.8044
মাইক্রোচিপ তথ্য
মাইক্রোচিপ Webসাইট
মাইক্রোচিপ আমাদের মাধ্যমে অনলাইন সহায়তা প্রদান করে webসাইটে www.microchip.com/. এই webসাইট তৈরি করতে ব্যবহার করা হয় fileএবং গ্রাহকদের কাছে সহজলভ্য তথ্য। উপলব্ধ কিছু সামগ্রীর মধ্যে রয়েছে:
- পণ্য সমর্থন – ডেটা শীট এবং ত্রুটি, অ্যাপ্লিকেশন নোট এবং এসample প্রোগ্রাম, নকশা সম্পদ, ব্যবহারকারীর গাইড এবং হার্ডওয়্যার সমর্থন নথি, সর্বশেষ সফ্টওয়্যার রিলিজ এবং সংরক্ষণাগার সফ্টওয়্যার
- সাধারণ প্রযুক্তিগত সহায়তা - প্রায়শই জিজ্ঞাসিত প্রশ্ন (FAQs), প্রযুক্তিগত সহায়তার অনুরোধ, অনলাইন আলোচনা গোষ্ঠী, মাইক্রোচিপ ডিজাইন পার্টনার প্রোগ্রাম সদস্য তালিকা
- মাইক্রোচিপ ব্যবসা - পণ্য নির্বাচক এবং অর্ডার গাইড, সর্বশেষ মাইক্রোচিপ প্রেস রিলিজ, সেমিনার এবং ইভেন্টের তালিকা, মাইক্রোচিপ বিক্রয় অফিসের তালিকা, পরিবেশক এবং কারখানার প্রতিনিধি
পণ্য পরিবর্তন বিজ্ঞপ্তি পরিষেবা
মাইক্রোচিপের পণ্য পরিবর্তনের বিজ্ঞপ্তি পরিষেবা গ্রাহকদের মাইক্রোচিপ পণ্যে বর্তমান রাখতে সাহায্য করে। কোনো নির্দিষ্ট পণ্য পরিবার বা আগ্রহের উন্নয়ন টুলের সাথে সম্পর্কিত পরিবর্তন, আপডেট, সংশোধন বা ত্রুটি-বিচ্যুতি হলেই গ্রাহকরা ইমেল বিজ্ঞপ্তি পাবেন। নিবন্ধন করতে, যান www.microchip.com/pcn এবং নিবন্ধন নির্দেশাবলী অনুসরণ করুন.
কাস্টমার সাপোর্ট
মাইক্রোচিপ পণ্যের ব্যবহারকারীরা বিভিন্ন চ্যানেলের মাধ্যমে সহায়তা পেতে পারেন:
- পরিবেশক বা প্রতিনিধি
- স্থানীয় বিক্রয় অফিস
- এমবেডেড সলিউশন ইঞ্জিনিয়ার (ইএসই)
- প্রযুক্তিগত সহায়তা
সমর্থনের জন্য গ্রাহকদের তাদের পরিবেশক, প্রতিনিধি বা ESE এর সাথে যোগাযোগ করা উচিত। গ্রাহকদের সাহায্য করার জন্য স্থানীয় বিক্রয় অফিসগুলিও উপলব্ধ। বিক্রয় অফিস এবং অবস্থানের একটি তালিকা এই নথিতে অন্তর্ভুক্ত করা হয়েছে। এর মাধ্যমে প্রযুক্তিগত সহায়তা পাওয়া যায় webসাইটে: www.microchip.com/support
মাইক্রোচিপ ডিভাইস কোড সুরক্ষা বৈশিষ্ট্য
মাইক্রোচিপ পণ্যগুলিতে কোড সুরক্ষা বৈশিষ্ট্যের নিম্নলিখিত বিবরণগুলি নোট করুন:
- মাইক্রোচিপ পণ্যগুলি তাদের নির্দিষ্ট মাইক্রোচিপ ডেটা শীটে থাকা বৈশিষ্ট্যগুলি পূরণ করে।
- মাইক্রোচিপ বিশ্বাস করে যে তার পণ্যের পরিবার নিরাপদ থাকে যখন উদ্দেশ্যমূলকভাবে, অপারেটিং স্পেসিফিকেশনের মধ্যে এবং স্বাভাবিক অবস্থায় ব্যবহার করা হয়।
- মাইক্রোচিপ মূল্যবোধ এবং আক্রমনাত্মকভাবে এর মেধা সম্পত্তি অধিকার রক্ষা করে। মাইক্রোচিপ পণ্যের কোড সুরক্ষা বৈশিষ্ট্য লঙ্ঘনের প্রচেষ্টা কঠোরভাবে নিষিদ্ধ এবং ডিজিটাল মিলেনিয়াম কপিরাইট আইন লঙ্ঘন করতে পারে।
- মাইক্রোচিপ বা অন্য কোন সেমিকন্ডাক্টর প্রস্তুতকারক এর কোডের নিরাপত্তার নিশ্চয়তা দিতে পারে না। কোড সুরক্ষার অর্থ এই নয় যে আমরা পণ্যটিকে "অবিচ্ছেদ" বলে গ্যারান্টি দিচ্ছি। কোড সুরক্ষা ক্রমাগত বিকশিত হচ্ছে। মাইক্রোচিপ আমাদের পণ্যগুলির কোড সুরক্ষা বৈশিষ্ট্যগুলি ক্রমাগত উন্নত করতে প্রতিশ্রুতিবদ্ধ।
আইনি নোটিশ
এই প্রকাশনা এবং এখানে থাকা তথ্যগুলি শুধুমাত্র মাইক্রোচিপ পণ্যগুলির সাথে ব্যবহার করা যেতে পারে, যার মধ্যে আপনার অ্যাপ্লিকেশনের সাথে মাইক্রোচিপ পণ্যগুলি ডিজাইন, পরীক্ষা এবং সংহত করা সহ। অন্য কোনো উপায়ে এই তথ্য ব্যবহার এই শর্তাবলী লঙ্ঘন. ডিভাইস অ্যাপ্লিকেশন সংক্রান্ত তথ্য শুধুমাত্র আপনার সুবিধার জন্য প্রদান করা হয় এবং আপডেট দ্বারা বাতিল করা হতে পারে। আপনার আবেদন আপনার স্পেসিফিকেশনের সাথে মেলে তা নিশ্চিত করা আপনার দায়িত্ব। অতিরিক্ত সহায়তার জন্য আপনার স্থানীয় মাইক্রোচিপ বিক্রয় অফিসে যোগাযোগ করুন বা অতিরিক্ত সহায়তা পান এখানে www.microchip.com/en-us/support/design-help/client-support-services.
এই তথ্যটি মাইক্রোচিপ "যেমন আছে" দ্বারা সরবরাহ করা হয়েছে৷ MICROCHIP কোনো প্রকারের কোনো উপস্থাপনা বা ওয়ারেন্টি দেয় না তা প্রকাশ বা উহ্য, লিখিত বা মৌখিক, সংবিধিবদ্ধ বা অন্যথায়, তথ্যের সাথে সম্পর্কিত কিন্তু সীমাবদ্ধ নয়। বিশেষ উদ্দেশ্য বা ওয়্যারেন্টির জন্য মালিকানাধীনতা এবং উপযুক্ততা এর শর্ত, গুণমান বা কর্মক্ষমতা সম্পর্কিত। কোনো অবস্থাতেই মাইক্রোচিপ কোনো পরোক্ষ, বিশেষ, শাস্তিমূলক, আকস্মিক, বা ফলস্বরূপ ক্ষতি, ক্ষয়ক্ষতি, খরচ বা যেকোনো ধরনের খরচের জন্য দায়ী হবে না ইক্রোচিপকে এ বিষয়ে পরামর্শ দেওয়া হয়েছে সম্ভাবনা বা ক্ষয়ক্ষতি পূর্বাভাসযোগ্য। আইন দ্বারা অনুমোদিত সম্পূর্ণ সীমা পর্যন্ত, তথ্য বা এর ব্যবহার সম্পর্কিত যেকোনও উপায়ে সমস্ত দাবির উপর মাইক্রোচিপের সম্পূর্ণ দায়বদ্ধতা আপনার অর্থের অতিরিক্ত অর্থের পরিমাণের বেশি হবে না। তথ্য।
লাইফ সাপোর্ট এবং/অথবা নিরাপত্তা অ্যাপ্লিকেশনে মাইক্রোচিপ ডিভাইসের ব্যবহার সম্পূর্ণরূপে ক্রেতার নিজের ঝুঁকিতে, এবং ক্রেতা এই ধরনের ব্যবহারের ফলে সৃষ্ট যেকোনো এবং সমস্ত ক্ষতি, মামলা, মামলা বা খরচ থেকে মাইক্রোচিপকে রক্ষা করতে, ক্ষতিপূরণ দিতে এবং ক্ষতিহীন রাখতে সম্মত হন। অন্যথায় উল্লেখ না করা হলে মাইক্রোচিপ বৌদ্ধিক সম্পত্তি অধিকারের অধীনে কোনও লাইসেন্স, পরোক্ষভাবে বা অন্যথায়, প্রদান করা হয় না।
ট্রেডমার্ক
মাইক্রোচিপের নাম এবং লোগো, মাইক্রোচিপ লোগো, অ্যাডাপ্টেক, এভিআর, এভিআর লোগো, এভিআর ফ্রিকস, বেসটাইম, বিটক্লাউড, ক্রিপ্টোমেমরি, ক্রিপ্টোআরএফ, ডিএসপিআইসি, ফ্লেক্সপিডব্লিউআর, হেলডো, ইগ্লু, জুকব্লক্স, কিলোক, লিংক, ম্যাক, লিংক, লিংক, ম্যাক, লিংক MediaLB, megaAVR, Microsemi, Microsemi লোগো, MOST, MOST লোগো, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 লোগো, PolarFire, Prochip ডিজাইনার, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SST, SST, লোগো, লোগো , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, এবং XMEGA হল মার্কিন যুক্তরাষ্ট্র এবং অন্যান্য দেশে নিযুক্ত মাইক্রোচিপ প্রযুক্তির নিবন্ধিত ট্রেডমার্ক।
AgileSwitch, ClockWorks, দ্য এমবেডেড কন্ট্রোল সলিউশন কোম্পানি, EtherSynch, Flashtec, হাইপার স্পিড কন্ট্রোল, হাইপারলাইট লোড, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus লোগো, Quiet-World, স্মার্টফোন TimeCesium, TimeHub, TimePictra, TimeProvider, এবং ZL হল মার্কিন যুক্তরাষ্ট্রে নিযুক্ত মাইক্রোচিপ প্রযুক্তির নিবন্ধিত ট্রেডমার্ক
সংলগ্ন কী দমন, AKS, এনালগ-ফর-দ্য-ডিজিটাল বয়স, যেকোনো ক্যাপাসিটর, যেকোনো ইন, যেকোনো আউট, অগমেন্টেড সুইচিং, ব্লুস্কাই, বডিকম, ক্লকস্টুডিও, কোডগার্ড, ক্রিপ্টো প্রমাণীকরণ, ক্রিপ্টোঅটোমোটিভ, ক্রিপ্টো সিডিপিআইএমপিডস, ক্রিপ্টোঅটোমোটিভ, ক্রিপ্টোঅটোমোটিভ গতিশীল গড় ম্যাচিং , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, ইন-সার্কিট সিরিয়াল প্রোগ্রামিং, ICSP, INICnet, ইন্টেলিজেন্ট সমান্তরাল, IntelliMOS, ইন্টার-চিপ কানেক্টিভিটি, JitterBlocker, Knob-Cnob-Cnob-Conplay, আন্তঃ-চিপ কানেক্টিভিটি সর্বোচ্চView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB সার্টিফাইড লোগো, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, সর্বজ্ঞ কোড জেনারেশন, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, PowerMOS 7, PowerMOS , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, সিরিয়াল Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Syrod Touch , বিশ্বস্ত সময়, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, Viewস্প্যান, ওয়াইপারলক, এক্সপ্রেস কানেক্ট, এবং জেএনএ হল মার্কিন যুক্তরাষ্ট্র এবং অন্যান্য দেশে সংযুক্ত মাইক্রোচিপ প্রযুক্তির ট্রেডমার্ক।
SQTP হল মার্কিন যুক্তরাষ্ট্রে নিযুক্ত মাইক্রোচিপ প্রযুক্তির একটি পরিষেবা চিহ্ন
অ্যাডাপ্টেক লোগো, ফ্রিকোয়েন্সি অন ডিমান্ড, সিলিকন স্টোরেজ টেকনোলজি এবং সিমকম অন্যান্য দেশে মাইক্রোচিপ টেকনোলজি ইনকর্পোরেটেডের নিবন্ধিত ট্রেডমার্ক।
GestIC হল মাইক্রোচিপ টেকনোলজি জার্মানি II GmbH & Co. KG-এর একটি নিবন্ধিত ট্রেডমার্ক, অন্যান্য দেশে মাইক্রোচিপ টেকনোলজি ইনকর্পোরেটেডের একটি সহযোগী প্রতিষ্ঠান।
এখানে উল্লিখিত অন্যান্য সমস্ত ট্রেডমার্ক তাদের নিজ নিজ কোম্পানির সম্পত্তি.
২০২১, মাইক্রোচিপ টেকনোলজি ইনকর্পোরেটেড এবং এর সহযোগী প্রতিষ্ঠান। সর্বস্বত্ব সংরক্ষিত।
ISBN: 978-1-6683-0183-8
গুণমান ব্যবস্থাপনা সিস্টেম
মাইক্রোচিপের কোয়ালিটি ম্যানেজমেন্ট সিস্টেম সম্পর্কিত তথ্যের জন্য, অনুগ্রহ করে দেখুন www.microchip.com/quality.
বিশ্বব্যাপী বিক্রয় এবং পরিষেবা
আমেরিকা | এশিয়া/প্যাসিফিক | এশিয়া/প্যাসিফিক | ইউরোপ |
কর্পোরেট অফিস 2355 West Chandler Blvd. চ্যান্ডলার, AZ 85224-6199 টেলিফোন: 480-792-7200 ফ্যাক্স: 480-792-7277 প্রযুক্তিগত সহায়তা: www.microchip.com/support Web ঠিকানা: www.microchip.com আটলান্টা ডুলুথ, জিএ টেলিফোন: 678-957-9614 ফ্যাক্স: 678-957-1455 অস্টিন, TX টেলিফোন: 512-257-3370 বোস্টন ওয়েস্টবরো, এমএ টেলিফোন: 774-760-0087 ফ্যাক্স: 774-760-0088 শিকাগো ইটাস্কা, আইএল টেলিফোন: 630-285-0071 ফ্যাক্স: 630-285-0075 ডালাস অ্যাডিসন, TX টেলিফোন: 972-818-7423 ফ্যাক্স: 972-818-2924 ডেট্রয়েট নোভি, এমআই টেলিফোন: 248-848-4000 হিউস্টন, TX টেলিফোন: 281-894-5983 ইন্ডিয়ানাপলিস Noblesville, IN টেলিফোন: 317-773-8323 ফ্যাক্স: 317-773-5453 টেলিফোন: 317-536-2380 লস এঞ্জেলেস মিশন ভিজো, CA টেলিফোন: 949-462-9523 ফ্যাক্স: 949-462-9608 টেলিফোন: 951-273-7800 রেলি, এনসি টেলিফোন: 919-844-7510 নিউ ইয়র্ক, এনওয়াই টেলিফোন: 631-435-6000 সান জোসে, CA টেলিফোন: 408-735-9110 টেলিফোন: 408-436-4270 কানাডা - টরন্টো টেলিফোন: 905-695-1980 ফ্যাক্স: 905-695-2078 |
অস্ট্রেলিয়া - সিডনি টেলিফোন: 61-2-9868-6733 চীন - বেইজিং টেলিফোন: 86-10-8569-7000 চীন - চেংদু টেলিফোন: 86-28-8665-5511 চীন - চংকিং টেলিফোন: 86-23-8980-9588 চীন - ডংগুয়ান টেলিফোন: 86-769-8702-9880 চীন - গুয়াংজু টেলিফোন: 86-20-8755-8029 চীন - হ্যাংজু টেলিফোন: 86-571-8792-8115 চীন - হংকং SAR টেলিফোন: 852-2943-5100 চীন - নানজিং টেলিফোন: 86-25-8473-2460 চীন - কিংডাও টেলিফোন: 86-532-8502-7355 চীন - সাংহাই টেলিফোন: 86-21-3326-8000 চীন-শেনিয়াং টেলিফোন: 86-24-2334-2829 চীন - শেনজেন টেলিফোন: 86-755-8864-2200 চীন - সুজু টেলিফোন: 86-186-6233-1526 চীন - উহান টেলিফোন: 86-27-5980-5300 চীন - জিয়ান টেলিফোন: 86-29-8833-7252 চীন - জিয়ামেন টেলিফোন: 86-592-2388138 চীন - ঝুহাই টেলিফোন: 86-756-3210040 |
ভারত - ব্যাঙ্গালোর টেলিফোন: 91-80-3090-4444 ভারত - নয়াদিল্লি টেলিফোন: 91-11-4160-8631 ভারত - পুনে টেলিফোন: 91-20-4121-0141 জাপান - ওসাকা টেলিফোন: 81-6-6152-7160 জাপান - টোকিও টেলিফোন: 81-3-6880- 3770 কোরিয়া - ডেগু টেলিফোন: 82-53-744-4301 কোরিয়া - সিউল টেলিফোন: 82-2-554-7200 মালয়েশিয়া - কুয়ালালামপুর টেলিফোন: 60-3-7651-7906 মালয়েশিয়া - পেনাং টেলিফোন: 60-4-227-8870 ফিলিপাইন - ম্যানিলা টেলিফোন: 63-2-634-9065 সিঙ্গাপুর টেলিফোন: 65-6334-8870 তাইওয়ান - সিন চু টেলিফোন: 886-3-577-8366 তাইওয়ান - কাওশিউং টেলিফোন: 886-7-213-7830 তাইওয়ান - তাইপেই টেলিফোন: 886-2-2508-8600 থাইল্যান্ড-ব্যাংকক টেলিফোন: 66-2-694-1351 ভিয়েতনাম - হো চি মিন টেলিফোন: 84-28-5448-2100 |
অস্ট্রিয়া - ওয়েলস টেলিফোন: 43-7242-2244-39 ফ্যাক্স: 43-7242-2244-393 ডেনমার্ক-কোপেনহেগেন টেলিফোন: 45-4485-5910 ফ্যাক্স: 45-4485-2829 ফিনল্যান্ড - এসপু টেলিফোন: 358-9-4520-820 ফ্রান্স - প্যারিস Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 জার্মানি - গার্চিং টেলিফোন: 49-8931-9700 জার্মানি - হান টেলিফোন: 49-2129-3766400 জার্মানি - হেইলব্রন টেলিফোন: 49-7131-72400 জার্মানি - কার্লসরুহে টেলিফোন: 49-721-625370 জার্মানি - মিউনিখ Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 জার্মানি - রোজেনহেইম টেলিফোন: 49-8031-354-560 ইসরাইল - হোড হাশারন টেলিফোন: 972-9-775-5100 ইতালি - মিলান টেলিফোন: 39-0331-742611 ফ্যাক্স: 39-0331-466781 ইতালি - পাডোভা টেলিফোন: 39-049-7625286 নেদারল্যান্ডস - ড্রুনেন টেলিফোন: 31-416-690399 ফ্যাক্স: 31-416-690340 নরওয়ে - ট্রনহাইম টেলিফোন: 47-72884388 পোল্যান্ড - ওয়ারশ টেলিফোন: 48-22-3325737 রোমানিয়া - বুখারেস্ট Tel: 40-21-407-87-50 স্পেন - মাদ্রিদ Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 সুইডেন - গোথেনবার্গ Tel: 46-31-704-60-40 সুইডেন-স্টকহোম টেলিফোন: 46-8-5090-4654 ইউকে - ওকিংহাম টেলিফোন: 44-118-921-5800 ফ্যাক্স: 44-118-921-5820 |
দলিল/সম্পদ
![]() |
মাইক্রোচিপ DS00004807F পোলারফায়ার ফ্যামিলি FPGA কাস্টম ফ্লো [পিডিএফ] ব্যবহারকারীর নির্দেশিকা DS00004807F পোলারফায়ার ফ্যামিলি এফপিজিএ কাস্টম ফ্লো, DS00004807F, পোলারফায়ার ফ্যামিলি এফপিজিএ কাস্টম ফ্লো, ফ্যামিলি এফপিজিএ কাস্টম ফ্লো, কাস্টম ফ্লো, ফ্লো |