PolarFire Ailesi FPGA Özel Akış Kullanıcı Kılavuzu
Libero SoC v2024.2
Giriiş (Bir Soru Sorun)
Libero System-on-Chip (SoC) yazılımı, tamamen entegre bir Saha Programlanabilir Kapı Dizisi (FPGA) tasarım ortamı sağlar. Ancak, birkaç kullanıcı Libero SoC ortamının dışında üçüncü taraf sentez ve simülasyon araçlarını kullanmak isteyebilir. Libero artık FPGA tasarım ortamına entegre edilebilir. Tüm FPGA tasarım akışını yönetmek için Libero SoC kullanılması önerilir.
Bu kullanıcı kılavuzu, Libero'yu daha büyük FPGA tasarım akışının bir parçası olarak entegre etmek için bir süreç olan PolarFire ve PolarFire SoC Ailesi cihazları için Özel Akışı açıklar. Desteklenen Cihaz Aileleri® Aşağıdaki tabloda Libero SoC'nin desteklediği cihaz aileleri listelenmiştir. Ancak, bu kılavuzdaki bazı bilgiler yalnızca belirli bir cihaz ailesi için geçerli olabilir. Bu durumda, bu tür bilgiler açıkça belirtilir.
Tablo 1. Libero SoC Tarafından Desteklenen Cihaz Aileleri
Cihaz Ailesi | Tanım |
PolarFire® | PolarFire FPGA'lar, olağanüstü güvenlik ve güvenilirlikle orta aralık yoğunluklarında sektörün en düşük gücünü sunar. |
PolarFire SoC'si | PolarFire SoC, Linux® ve gerçek zamanlı uygulamaları destekleyen deterministik, tutarlı bir RISC-V CPU kümesi ve deterministik L2 bellek alt sistemine sahip ilk SoC FPGA'dır. |
Üzerindeview (Bir Soru Sorun)
Libero SoC, SoC ve FPGA tasarımlarını geliştirmek için tamamen entegre uçtan uca bir tasarım ortamı sağlarken, aynı zamanda Libero SoC ortamının dışında üçüncü taraf araçlarla sentez ve simülasyon çalıştırma esnekliği de sağlar. Ancak, bazı tasarım adımları Libero SoC ortamının içinde kalmalıdır.
Aşağıdaki tabloda FPGA tasarım akışındaki temel adımlar listelenmekte ve Libero SoC'nin hangi adımlarda kullanılması gerektiği belirtilmektedir.
Tablo 1-1. FPGA Tasarım Akışı
Tasarım Akış Adımı | Libero Kullanılmalı | Tanım |
Tasarım Girişi: HDL | HAYIR | İstenirse Libero® SoC dışında üçüncü taraf HDL düzenleyici/denetleyici aracını kullanın. |
Tasarım Girişi: Yapılandırıcılar | Evet | IP katalog çekirdek bileşeni üretimi için ilk Libero projesini oluşturun. |
Otomatik PDC/SDC kısıtlaması oluşturma | HAYIR | Türetilmiş kısıtlamalar tüm HDL'ye ihtiyaç duyar fileEk C—Türev Kısıtlamaları'nda açıklandığı gibi, Libero SoC dışında gerçekleştirildiğinde s ve bir derive_constraints yardımcı programı. |
Simülasyon | HAYIR | İstenirse Libero SoC dışında üçüncü taraf aracı kullanın. Hedef cihaz, hedef simülatör ve arka uç uygulaması için kullanılan hedef Libero sürümü için önceden derlenmiş simülasyon kitaplıklarının indirilmesini gerektirir. |
Sentez | HAYIR | İstenirse Libero SoC dışında üçüncü taraf araçları kullanın. |
Tasarım Uygulaması: Kısıtlamaları Yönet, Netlist'i Derle, Yerleştir ve Rota Oluştur (bkz.view) | Evet | Arka uç uygulaması için ikinci Libero projesini oluşturun. |
Zamanlama ve Güç Doğrulaması | Evet | İkinci Libero projesinde kal. |
Tasarım Başlatma Verilerini ve Belleklerini Yapılandırın | Evet | Bu aracı farklı bellek tiplerini yönetmek ve cihazda başlatma tasarlamak için kullanın. İkinci projede kalın. |
Programlama File Nesil | Evet | İkinci projede kal. |
Önemli: Siz önceden derlenmiş kütüphaneleri indirmeniz gerekir Önceden Derlenmiş Simülasyon Kütüphaneleri Üçüncü taraf bir simülatör kullanmak için sayfa.
Saf bir Fabric FPGA akışında, tasarımınızı HDL veya şematik giriş kullanarak girin ve bunu doğrudan iletin
sentez araçlarına. Akış hala destekleniyor. PolarFire ve PolarFire SoC FPGA'ları önemli
Libero SoC IP'sinden yapılandırma çekirdeklerinin (SgCore'lar) kullanımını gerektiren tescilli sert IP blokları
katalog. SoC işlevselliğini içeren herhangi bir blok için özel işlem gereklidir:
- Kutup Ateşi
– PF_UPROM
– PF_SİSTEM_HİZMETLERİ
– PF_CCC
– PF CLK BÖLÜMÜ
– PF_KRIPTO
– PF_DRI
– PF_INIT_MONITOR
– PF_NGMUX
– PF_OSC
– RAM’ler (TPSRAM, DPSRAM, URAM)
– PF_SRAM_AHBL_AXI
– PF_XCVR_ERM
– PF_XCVR_REF_CLK
– PF_TX_PLL
– PF_PCIE
– PF_IO
– PF_IOD_CDR
– PF_IOD_CDR_CCC
– PF_IOD_GENERIC_RX
– PF_IOD_GENERIC_TX
– PF_IOD_GENERIC_TX_CCC
– PF_RGMII_TO_GMII
– PF_IOD_OKTAL_DDR
– PF_DDR3
– PF_DDR4
– PF_LPDDR3
– PF_QDR
– PF_ÇEKİRDEKMARTBERT
– PF_TAMPER
– PF_TVS vb.
Yukarıda listelenen SgCore'lara ek olarak, Libero SoC Kataloğu'nda FPGA yapı kaynaklarını kullanan PolarFire ve PolarFire SoC cihaz aileleri için birçok DirectCore yumuşak IP'si mevcuttur.
Tasarım girişi için, önceki bileşenlerden herhangi birini kullanırsanız, tasarım girişinin bir kısmı için Libero SoC'yi kullanmalısınız (Bileşen Yapılandırması), ancak Tasarım Girişinizin geri kalanını (HDL girişi vb.) Libero dışında sürdürebilirsiniz. FPGA tasarım akışını Libero dışında yönetmek için, bu kılavuzun geri kalanında sağlanan adımları izleyin.
1.1 Bileşen Yaşam Döngüsü (Bir Soru Sorun)
Aşağıdaki adımlar bir SoC bileşeninin yaşam döngüsünü açıklar ve verilerin nasıl işleneceğine ilişkin talimatlar sağlar.
- Bileşeni Libero SoC'deki yapılandırıcısını kullanarak oluşturun. Bu, aşağıdaki veri türlerini oluşturur:
– HDL files
- Hafıza files
– Uyarı ve Simülasyon files
– Bileşen SDC file - HDL için files, harici tasarım giriş aracı/sürecini kullanarak bunları örneklendirin ve HDL tasarımının geri kalanına entegre edin.
- Bellek tedarik et files ve uyaran fileSimülasyon aracınıza.
- Tedarik Bileşeni SDC file Kısıtlama Oluşturma için Kısıtlama Türetme aracı. Daha fazla ayrıntı için Ek C—Kısıtlamaları Türetme'ye bakın.
- İkinci bir Libero projesi oluşturmalı ve bu projeye post-Synthesis netlist'inizi ve bileşen meta verilerinizi aktarmalısınız, böylece ürettiğiniz ile programladığınız arasındaki bağlantıyı tamamlamış olursunuz.
1.2 Libero SoC Projesi Oluşturma (Bir Soru Sorun)
Bazı tasarım adımları Libero SoC ortamının içinde çalıştırılmalıdır (Tablo 1-1). Bu adımların çalıştırılması için iki Libero SoC projesi oluşturmanız gerekir. İlk proje tasarım bileşeni yapılandırması ve üretimi için kullanılır ve ikinci proje en üst düzey tasarımın fiziksel uygulaması içindir.
1.3 Özel Akış (Bir Soru Sorun)
Aşağıdaki şekil bunu göstermektedir:
- Libero SoC, Libero SoC ortamının dışında üçüncü taraf sentez ve simülasyon araçlarıyla daha geniş FPGA tasarım akışının bir parçası olarak entegre edilebilir.
- Tasarımın oluşturulmasından başlayarak dikişe ve cihazın programlanmasına kadar akışta yer alan çeşitli adımlar.
- Her tasarım akış adımında gerçekleşmesi gereken veri alışverişi (girişler ve çıktılar).
Uç:
- SNVM.cfg, UPROM.cfg
- *.bellek file Simülasyon için nesil: pa4rtupromgen.exe girdi olarak UPROM.cfg'yi alır ve UPROM.mem'i üretir.
Özel akıştaki adımlar şunlardır:
- Bileşen yapılandırması ve üretimi:
a. İlk Libero projesini oluşturun (Referans Projesi olarak hizmet edecek).
b. Katalogdan Çekirdeği seçin. Çekirdeğe çift tıklayarak ona bir bileşen adı verin ve bileşeni yapılandırın.
Bu, bileşen verilerini otomatik olarak dışa aktarır ve files. Bir Bileşen Manifest'i de oluşturulur. Ayrıntılar için Bileşen Manifest'lerine bakın. Daha fazla ayrıntı için Bileşen Yapılandırmasına bakın. - RTL tasarımınızı Libero dışında tamamlayın:
a. HDL bileşenini örneklendirin files.
b. HDL'nin yeri files, Bileşen Manifest'lerinde listelenmiştir files. - Bileşenler için SDC kısıtlamaları oluşturun. Zamanlama kısıtlamasını oluşturmak için Kısıtlamaları Türet yardımcı programını kullanın file(SDC) şunlara dayanmaktadır:
a. Bileşen HDL files
b. Bileşen SDC files
c. Kullanıcı HDL files
Daha fazla ayrıntı için Ek C—Kısıtlamaların Türetilmesi bölümüne bakın. - Sentez aracı/simülasyon aracı:
a. HDL alın files, uyaran files ve Bileşen Manifest'lerinde belirtilen belirli konumlardan bileşen verileri.
b. Tasarımı Libero SoC dışındaki üçüncü taraf araçlarıyla sentezleyin ve simüle edin. - İkinci (Uygulama) Libero Projenizi oluşturun.
- Sentezi tasarım akışı araç zincirinden kaldırın (Proje > Proje Ayarları > Tasarım Akışı > Sentezi Etkinleştir onay kutusunun işaretini kaldırın).
- Tasarım kaynağını içe aktar files (sentez aracından sentez sonrası *.vm netlist):
– Sentez sonrası *.vm netlist'i içe aktarın (File>İçe Aktar> Sentezlenmiş Verilog Netlist (VM)).
– Bileşen meta verisi *.cfg fileuPROM ve/veya sNVM için s. - Herhangi bir Libero SoC blok bileşenini içe aktarın files. Blok files *.cxz'de olmalı file Biçim.
Bir bloğun nasıl oluşturulacağı hakkında daha fazla bilgi için bkz. PolarFire Block Flow Kullanıcı Kılavuzu. - Tasarım kısıtlamalarını içe aktarın:
– G/Ç kısıtlamasını içe aktar files (Kısıtlamalar Yöneticisi > G/Ç Öznitelikleri > İçe Aktar).
– Kat planlamasını içe aktar *.pdc files (Kısıtlamalar Yöneticisi > Kat Planlayıcısı > İçe Aktar).
– *.sdc zamanlama kısıtlamasını içe aktar files (Kısıtlamalar Yöneticisi > Zamanlama > İçe Aktar). SDC'yi içe aktarın file Derive Constraint aracıyla oluşturuldu.
– *.ndc kısıtlamasını içe aktar files (Kısıtlamalar Yöneticisi > NetlistAttributes > İçe Aktar), varsa. - Kısıtlama file ve araç birliği
– Kısıtlama Yöneticisinde, *.pdc'yi ilişkilendirin fileyerleştirmek ve yönlendirmek için, *.sdc files yerleştirme, yönlendirme ve zamanlama doğrulamaları ve *.ndc fileNetlist'i Derlemek için s. - Tasarımın tam uygulanması
– Yerleştirme ve yönlendirme, zamanlama ve gücü doğrulama, tasarım başlatma verilerini ve belleklerini yapılandırma ve programlama file nesil. - Tasarımı doğrulayın
– Libero SoC tasarım paketiyle birlikte verilen tasarım araçlarını kullanarak tasarımı FPGA üzerinde doğrulayın ve gerektiğinde hata ayıklayın.
Bileşen Yapılandırması (Bir Soru Sorun)
Özel akıştaki ilk adım, bileşenlerinizi bir Libero referans projesi (Tablo 1-1'de ilk Libero projesi olarak da adlandırılır) kullanarak yapılandırmaktır. Sonraki adımlarda, bu referans projesinden gelen verileri kullanırsınız.
Daha önce listelenen bileşenlerden herhangi birini kullanıyorsanız, Üst kısmındaview Tasarımınızda bu bölümde anlatılan adımları uygulayın.
Yukarıdaki bileşenlerden hiçbirini kullanmıyorsanız, RTL'nizi Libero dışında yazabilir ve doğrudan Sentez ve Simülasyon araçlarınıza aktarabilirsiniz. Daha sonra sentez sonrası bölümüne geçebilir ve yalnızca sentez sonrası *.vm netlist'inizi son Libero uygulama projenize (Tablo 1-1'de ikinci Libero projesi olarak da adlandırılır) aktarabilirsiniz.
2.1 Libero'yu Kullanarak Bileşen Yapılandırması (Bir Soru Sorun)
Önceki listeden kullanılması gereken bileşenleri seçtikten sonra aşağıdaki adımları uygulayın:
- Yeni bir Libero projesi oluşturun (Çekirdek Yapılandırması ve Oluşturma): Son tasarımınızın hedeflediği Cihazı ve Aileyi seçin.
- Özel Akış'ta belirtilen çekirdeklerden bir veya daha fazlasını kullanın.
a. Bir SmartDesign oluşturun ve istediğiniz çekirdeği yapılandırın ve SmartDesign bileşeninde örnekleştirin.
b. Tüm pinleri en üst seviyeye taşıyın.
c. SmartDesign'ı oluşturun.
d. Simülatörü çağırmak için Simulate aracına (Pre-Synthesis veya Post-Synthesis veya Post-Layout seçeneklerinden herhangi biri) çift tıklayın. Çağrıldıktan sonra simülatörden çıkabilirsiniz. Bu adım simülasyonu oluşturur fileProjeniz için gereklidir.
İpucu: Siz Tasarımınızı Libero dışında simüle etmek istiyorsanız bu adımı gerçekleştirmelisiniz.
Daha fazla bilgi için Tasarımınızı Simüle Etme bölümüne bakın.
e. Projenizi kaydedin; bu sizin referans projenizdir.
2.2 Bileşen Bildirimleri (Bir Soru Sorun)
Bileşenlerinizi oluşturduğunuzda, bir dizi fileHer bileşen için s üretilir. Bileşen Manifest raporu, bileşen kümesini ayrıntılı olarak açıklar fileher bir sonraki adımda (Sentez, Simülasyon, Ürün Yazılımı Oluşturma vb.) oluşturulur ve kullanılır. Bu rapor, oluşturulan tüm fileÖzel Akış ile devam etmek için gerekenler. Bileşen bildirimine Raporlar alanından erişebilirsiniz: Raporlar sekmesini açmak için Tasarım > Raporlar'a tıklayın. Raporlar sekmesinde, bir dizi manifest.txt görürsünüz files (Üzerindeview), ürettiğiniz her bileşen için bir tane.
İpucu: Bileşen bildirimini görmek için bir bileşeni veya modülü '”root”' olarak ayarlamanız gerekir file Raporlar sekmesindeki içerikler.
Alternatif olarak, bireysel beyanname raporuna erişebilirsiniz fileüretilen her bir çekirdek bileşen veya SmartDesign bileşeni için s /bileşen/çalışma/ / / _manifest.txt veya /bileşen/çalışma/ / _manifest.txt. Manifest'e de erişebilirsiniz file Libero'daki yeni Bileşenler sekmesinden oluşturulan her bileşenin içeriği, file lokasyonlar proje dizinine göre belirtilmiştir.Aşağıdaki Bileşen Bildirimi raporlarına odaklanın:
- Çekirdekleri bir SmartDesign'a örnekleştirdiyseniz, şunu okuyun: file _manifest.txt.
- Çekirdekler için bileşenler oluşturduysanız, şunu okuyun: _manifest.txt.
Tasarımınıza uygulanan tüm Bileşen Manifest raporlarını kullanmalısınız. ÖrneğinampÖrneğin, projenizde bir veya daha fazla çekirdek bileşenin örneklendiği bir SmartDesign varsa ve bunların hepsini nihai tasarımınızda kullanmayı düşünüyorsanız, o zaman şunu seçmelisiniz: fileTasarım akışınızda kullanılmak üzere tüm bu bileşenlerin Bileşen Bildirimleri raporlarında listelenmiştir.
2.3 Manifestoyu Yorumlama FileS (Bir Soru Sorun)
Bir bileşen bildirimini açtığınızda file, yolları görüyorsun fileLibero projenizde ve tasarım akışında bunları nerede kullanacağınıza dair ipuçları. Aşağıdaki türde fileManifestoda s file:
- HDL kaynağı fileTüm Sentez ve Simülasyon araçları için s
- Uyarıcı filetüm Simülasyon araçları için s
- Kısıtlama files
Aşağıda PolarFire çekirdek bileşeninin Bileşen Manifestosu yer almaktadır.Her tür file tasarım akışınızda gerekli olan alt akıştır. Aşağıdaki bölümler, entegrasyonu açıklar fileManifest'ten tasarım akışınıza aktarın.
Kısıtlama Üretimi (Bir Soru Sorun)
Yapılandırma ve oluşturma gerçekleştirirken SDC/PDC/NDC kısıtlamasını yazdığınızdan/oluşturduğunuzdan emin olun fileTasarımın bunları Sentez, Yerleştirme ve Rota ve Zamanlamayı Doğrulama araçlarına geçirmesi için.
Kısıtlamaları elle yazmak yerine, bunları oluşturmak için Libero ortamının dışında Derive Constraints yardımcı programını kullanın. Libero ortamının dışında Derive Constraint yardımcı programını kullanmak için şunları yapmalısınız:
- Kullanıcı HDL'sini, bileşen HDL'sini ve bileşen SDC kısıtlamasını sağlayın files
- En üst düzey modülü belirtin
- Türetilen kısıtlamanın oluşturulacağı konumu belirtin files
SDC bileşen kısıtlamaları şu şekilde mevcuttur: /bileşen/çalışma/ / / dizini, bileşen yapılandırması ve oluşturulmasından sonra.
Tasarımınız için kısıtlamaların nasıl oluşturulacağı hakkında daha fazla bilgi için Ek C—Kısıtlamaların Türetilmesi bölümüne bakın.
Tasarımınızı Sentezleme (Bir Soru Sorun)
Özel Akışın temel özelliklerinden biri, üçüncü taraf bir sentez kullanmanıza olanak sağlamasıdır
Libero dışındaki araç. Özel akış Synopsys SynplifyPro kullanımını destekler. Sentezlemek için
Proje için aşağıdaki prosedürü kullanın:
- Sentez aracınızda, oluşturduğunuz Libero projesiyle aynı cihaz ailesini, kalıbı ve paketi hedefleyen yeni bir proje oluşturun.
a. Kendi RTL'nizi içe aktarın fileHer zamanki gibi yap.
b. Sentez çıktısını Yapısal Verilog (.vm) olarak ayarlayın.
İpucu: Yapısal PolarFire'da desteklenen tek sentez çıkış biçimi Verilog (.vm)'dur. - Bileşen HDL'yi içe aktar fileSentez projenize ekleyin:
a. Her Bileşen Bildirim Raporu için: Her Bileşen Bildirim Raporu için: file HDL kaynağı altında fileTüm Sentez ve Simülasyon araçları için, file Sentez Projenize. - İçe aktar file polarfire_syn_comps.v (Synopsys Synplify kullanılıyorsa)
Kurulum konumu >/data/aPA5M Synthesis projenize. - Daha önce oluşturulan SDC'yi içe aktarın file Türetilmiş Kısıtlama aracı aracılığıyla (bkz. Ek
GİBİampSDC Kısıtlamaları) Sentez aracına. Bu kısıtlama file Sentez aracını daha az çaba ve daha az tasarım yinelemesiyle zamanlama kapanışını elde edecek şekilde kısıtlar.
Önemli:
- Aynı *.sdc'yi kullanmayı planlıyorsanız file Tasarım uygulama aşaması sırasında Yerleştirme ve Rota'yı kısıtlamak için bu *.sdc'yi sentez projesine içe aktarmalısınız. Bu, sentezlenen netliste ve tasarım sürecinin uygulama aşaması sırasında Yerleştirme ve Rota kısıtlamalarında tasarım nesnesi adı uyuşmazlığı olmadığından emin olmak içindir. Bu *.sdc'yi dahil etmezseniz file Sentez adımında, Sentez'den üretilen netlist, tasarım nesnesi adlarının uyuşmaması nedeniyle Yerleştir ve Rotala adımında başarısız olabilir.
a. Varsa Netlist Niteliklerini *.ndc olarak Sentez aracına aktarın.
b. Sentezi Çalıştır. - Sentez aracınızın çıktısının konumu *.vm netlist'ine sahiptir file sentez sonrası oluşturuldu. Tasarım sürecine devam etmek için netlist'i Libero Uygulama Projesi'ne aktarmalısınız.
Tasarımınızı Simüle Etme (Bir Soru Sorun)
Tasarımınızı Libero dışında (yani kendi simülasyon ortamınızı ve simülatörünüzü kullanarak) simüle etmek için aşağıdaki adımları uygulayın:
- Tasarım Files:
a. Ön-Sentez simülasyonu:
• RTL'nizi simülasyon projenize aktarın.
• Her Bileşen Manifestosu Raporu için.
– Her birini içe aktarın file HDL kaynağı altında fileTüm Sentez ve Simülasyon araçlarını simülasyon projenize entegre edin.
• Bunları derleyin fileSimülatörünüzün talimatlarına göre.
b. Sentez sonrası simülasyon:
• Sentezleme sonrası *.vm netlist'inizi (Tasarımınızı Sentezleme'de oluşturulan) simülasyon projenize aktarın ve derleyin.
c. Yerleşim sonrası simülasyon:
• İlk olarak, tasarımınızı uygulamayı tamamlayın (bkz. Tasarımınızı Uygulama). Son Libero projenizin düzen sonrası durumda olduğundan emin olun.
• Geri Açıklamalı Oluştur'a çift tıklayın FileLibero Tasarım Akışı penceresinde s. İki tane üretir files:
/tasarımcı/ / _ba.v/vhd /tasarımcı/
/ _ba.sdf
• Her ikisini de içe aktarın fileSimülasyon aracınıza ekleyin. - Uyarı ve Yapılandırma files:
a. Her Bileşen Beyannamesi Raporu için:
• Tümünü kopyala fileTeşvik kapsamında FileSimülasyon Araçları bölümlerinin tümünü Simülasyon projenizin kök dizinine kopyalayın.
b. Herhangi bir Tcl'nin fileSimülasyon başlamadan önce, önceki listelerdeki (adım 2.a'da) s'ler ilk olarak yürütülür.
c. UPROM.mem: Tasarımınızda UPROM çekirdeğini, benzetimini yapmak istediğiniz bir veya daha fazla veri depolama istemcisi için Simülasyon için içerik kullan seçeneği etkinleştirilmiş olarak kullanıyorsanız, UPROM.mem'i oluşturmak için yürütülebilir pa4rtupromgen'i (Windows'ta pa4rtupromgen.exe) kullanmalısınız. file. Pa4rtupromgen yürütülebilir dosyası UPROM.cfg'yi alır file Tcl betiği aracılığıyla girdiler olarak file ve UPROM.mem'i çıktı olarak verir file simülasyonlar için gereklidir. Bu UPROM.mem file Simülasyon çalıştırılmadan önce simülasyon klasörüne kopyalanmalıdır. Bir örnekamppa4rtupromgen yürütülebilir kullanımını gösteren le aşağıdaki adımlarda sağlanır. UPROM.cfg file dizinde mevcuttur /bileşen/çalışma/ / UPROM bileşenini oluşturmak için kullandığınız Libero projesinde.
d. snvm.mem: Tasarımınızda Sistem Hizmetleri çekirdeğini kullanıyorsanız ve çekirdekteki sNVM sekmesini, benzetim yapmak istediğiniz bir veya daha fazla istemci için Simülasyon için içerik kullan seçeneği etkinleştirilmiş olarak yapılandırdıysanız, snvm.mem file otomatik olarak oluşturulur
dizin /bileşen/çalışma/ / Sistem Hizmetleri bileşenini oluşturmak için kullandığınız Libero projesinde. Bu snvm.mem file Simülasyon çalıştırılmadan önce simülasyon klasörüne kopyalanması gerekir. - Çalışma klasörü ve çalışma klasörünün altında simulation adında bir alt klasör oluşturun.
Pa4rtupromgen çalıştırılabilir dosyasının çalışma klasöründe simülasyon alt klasörünün bulunmasını ve *.tcl betiğinin simülasyon alt klasörüne yerleştirilmesini bekler. - UPROM.cfg dosyasını kopyalayın file Bileşen üretimi için oluşturulan ilk Libero projesinden çalışma klasörüne.
- Aşağıdaki komutları bir *.tcl betiğine yapıştırın ve 3. adımda oluşturduğunuz simülasyon klasörüne yerleştirin.
SampPolarFire ve PolarFire Soc Ailesi aygıtları için URPOM.mem'i oluşturmak üzere *.tcl file
UPROM.cfg'den
set_device -fam -ölmek -paket
set_input_cfg -yol
set_sim_mem -yolFile/UPROM.bellek>
gen_sim -use_init yanlış
Kalıp ve paket için kullanılacak doğru dahili ad için *.prjx'e bakın file İlk Libero projesinin (bileşen üretimi için kullanılır).
use_init argümanı false olarak ayarlanmalıdır.
Çıktıya giden yolu belirtmek için set_sim_mem komutunu kullanın file UPROM.mem yani
betiğin yürütülmesi üzerine oluşturulur file pa4rtupromgen çalıştırılabilir dosyasıyla. - Komut isteminde veya cygwin terminalinde, 3. adımda oluşturulan çalışma dizinine gidin.
pa4rtupromgen komutunu–script seçeneğiyle çalıştırın ve önceki adımda oluşturulan *.tcl betiğini buna geçirin.
Windows için
/designer/bin/pa4rtupromgen.exe \
–script./simülasyon/ .tcl
Linux için:
/bin/pa4rtupromgen
–script./simülasyon/ .tcl - Pa4rtupromgen yürütülebilir dosyasının başarılı bir şekilde yürütülmesinden sonra UPROM.mem'in file *.tcl betiğinde set_sim_mem komutunda belirtilen konumda üretilir.
- sNVM'yi simüle etmek için snvm.mem'i kopyalayın file ilk Libero projenizden (bileşen yapılandırması için kullanılır) simülasyonu çalıştırmak için simülasyon projenizin en üst düzey simülasyon klasörüne (Libero SoC dışında) kopyalayın. UPROM içeriklerini simüle etmek için oluşturulan UPROM.mem'i kopyalayın file Simülasyonu çalıştırmak için simülasyon projenizin en üst düzey simülasyon klasörüne (Libero SoC dışında) gidin.
Önemli: SoC Bileşenlerinin işlevselliğini simüle edin, önceden derlenmiş PolarFire simülasyon kitaplıklarını indirin ve bunları burada açıklandığı gibi simülasyon ortamınıza aktarın. Daha fazla ayrıntı için Ek B—Simülasyon Kitaplıklarını Simülasyon Ortamına Aktarma'ya bakın.
Tasarımınızı Uygulama (Bir Soru Sorun)
Ortamınızda Sentez ve Sentez Sonrası simülasyonunu tamamladıktan sonra, tasarımınızı, çalışma zamanlamanızı ve güç analizinizi fiziksel olarak uygulamak ve programlamanızı oluşturmak için Libero'yu tekrar kullanmalısınız. file.
- Tasarımın fiziksel uygulaması ve düzeni için yeni bir Libero projesi oluşturun. Bileşen Yapılandırmasında oluşturduğunuz referans projesindekiyle aynı cihazı hedeflediğinizden emin olun.
- Proje oluşturulduktan sonra, Tasarım Akışı penceresindeki araç zincirinden Sentezi kaldırın (Proje > Proje Ayarları > Tasarım Akışı > Sentezi Etkinleştir seçeneğinin işaretini kaldırın).
- Post-sentezinizi içe aktarın *.vm file Bu projeye, (File > İçe Aktar > Sentezlenmiş Verilog Netlist (VM)).
İpucu: Bu bağlantıya bir bağlantı oluşturmanız önerilir fileBöylece tasarımınızı yeniden sentezlediğinizde Libero her zaman en son sentez sonrası netlist'i kullanır.
a. Tasarım Hiyerarşisi penceresinde kök modülün adını not edin. - Kısıtlamaları Libero projesine aktarın. *.pdc/*.sdc/*.ndc kısıtlamalarını içe aktarmak için Kısıtlama Yöneticisini kullanın.
a. I/O *.pdc kısıtlamasını içe aktar files (Kısıtlamalar Yöneticisi > G/Ç Nitelikleri > İçe Aktar).
b. Floorplanning *.pdc kısıtlamasını içe aktar files (Kısıtlamalar Yöneticisi > Kat Planlayıcısı > İçe Aktar).
c. *.sdc zamanlama kısıtlamasını içe aktarın files (Kısıtlamalar Yöneticisi > Zamanlama > İçe Aktar). Tasarımınız Over'da listelenen çekirdeklerden herhangi birine sahipseview, SDC'yi içe aktardığınızdan emin olun file türetme kısıtlama aracıyla üretildi.
d. *.ndc kısıtlamasını içe aktarın files (Kısıtlamalar Yöneticisi > Netlist Nitelikleri > İçe Aktar). - Ortak Kısıtlamalar Files araçları tasarlamak için.
a. Kısıtlama Yöneticisini Aç (Kısıtlamaları Yönet > Kısıtlamaları Yönet'i Aç View).
Kısıtlamanın yanındaki Yer ve Rota ve Zamanlama Doğrulaması onay kutusunu işaretleyin file kısıtlamayı tesis etmek file ve araç ilişkilendirmesi. *.pdc kısıtlamasını Yer ve Rota ile ve *.sdc kısıtlamasını Yer ve Rota ve Zamanlama Doğrulaması ile ilişkilendirin. *.ndc kısıtlamasını ilişkilendirin file Netlist'i Derlemek İçin.
İpucu: Eğer Yerleştirme ve Rotalama bu *.sdc kısıtlamasıyla başarısız oluyor file, sonra aynı *.sdc'yi içe aktarın file sentezlemek ve sentezi tekrar çalıştırmak.
- Derle Netlist'i ve ardından Yerleştir ve Yönlendir'i tıklayarak düzen adımını tamamlayın.
- Configure Design Initialization Data and Memories aracı, LSRAM, µSRAM, XCVR (alıcı-vericiler) ve PCIe gibi tasarım bloklarını, uçucu olmayan µPROM, sNVM veya harici SPI Flash depolama belleğinde depolanan verileri kullanarak başlatmanıza olanak tanır. Araç, tasarım başlatma dizisinin, başlatma istemcilerinin ve kullanıcı veri istemcilerinin belirtimini tanımlamak için aşağıdaki sekmelere sahiptir.
– Tasarım Başlatma sekmesi
– µPROM sekmesi
– sNVM sekmesi
– SPI Flash sekmesi
– Fabric RAMs sekmesi
Tasarım başlatma verilerini ve belleklerini yapılandırmak için araçtaki sekmeleri kullanın.Yapılandırmayı tamamladıktan sonra başlatma verilerini programlamak için aşağıdaki adımları gerçekleştirin:
• Başlatma istemcileri oluşturun
• Bit akışını oluşturun veya dışa aktarın
• Cihazı programlayın
Bu aracın nasıl kullanılacağına ilişkin ayrıntılı bilgi için Libero SoC Tasarım Akışı Kullanıcı Kılavuzu'na bakın. Araçtaki çeşitli sekmeleri yapılandırmak ve bellek yapılandırmasını belirtmek için kullanılan Tcl komutları hakkında daha fazla bilgi için files (*.cfg), bkz. Tcl Komutları Referans Kılavuzu. - Bir Programlama Oluşturun File Bu projeden faydalanarak FPGA'nızı programlayabilirsiniz.
Ek A—SampSDC Kısıtlamaları (Bir Soru Sorun
Libero SoC, CCC, OSC, Transceiver vb. gibi belirli IP çekirdekleri için SDC zamanlama kısıtlamaları üretir. SDC kısıtlamalarını tasarım araçlarına geçirmek, daha az çaba ve daha az tasarım yinelemesiyle zamanlama kapanışını karşılama şansını artırır. En üst düzey örnekten gelen tam hiyerarşik yol, kısıtlamalarda başvurulan tüm tasarım nesneleri için verilir.
7.1 SDC Zamanlama Kısıtlamaları (Bir Soru Sorun)
Libero IP çekirdek referans projesinde, bu üst düzey SDC kısıtlaması file Kısıtlama Yöneticisi'nden (Tasarım Akışı > Kısıtlamayı Yönet'i Aç) edinilebilir View >Zamanlama > Kısıtlamaları Türet).
Önemli: Bkz. Bu file Tasarımınız CCC, OSC, Transceiver ve diğer bileşenleri içeriyorsa SDC kısıtlamalarını ayarlamak için. Gerekirse, tasarım hiyerarşinize uyması için tam hiyerarşik yolu değiştirin veya Ek C'deki Derive_Constraints yardımcı programını ve adımları kullanın—Bileşen düzeyindeki SDC'de Kısıtlamaları Türetme file.
Kaydet file farklı bir isme geçin ve SDC'yi içe aktarın file sentez aracına, Yerleştirme ve Rota Aracına ve Zamanlama Doğrulamalarına, tıpkı diğer SDC kısıtlamaları gibi files.
7.1.1 Türetilmiş SDC File (Bir Soru Sorun)
# Bu file Aşağıdaki SDC kaynağına dayanarak oluşturuldu files:
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
İLETİM_PLL/İLETİM_PLL_0/İLETİM_PLL_İLETİM_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
DMA_BAŞLATICI/DMA_BAŞLATICI_0/DMA_BAŞLATICI.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
FIC0_BAŞLATICI/FIC0_BAŞLATICI_0/FIC0_BAŞLATICI.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-referans-tasarım-ana/MPFS_ICICLE/bileşen/çalışma/
PCIE_BAŞLATICI/PCIE_BAŞLATICI_0/PCIE_BAŞLATICI.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** Bunda herhangi bir değişiklik yapılması file türetilen kısıtlamalar yeniden çalıştırılırsa kaybolacaktır. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -dönem 6.25
[ get_pins { SAATLER_VE_SIFIRLAMALAR_inst_0/OSİLATÖR_160MHz_inst_0/OSİLATÖR_160MHz_0/
I_OSC_160/CLK } ] saat_oluştur -name {REF_CLK_PAD_P} -dönem 10 [ port_al { REF_CLK_PAD_P } ] saat_oluştur -name {SAAT_VE_SIFIRLAMALAR_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -dönem 8
[ get_pins { SAAT_VE_SIFIRLAMA_saati_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saati_0/
OUT0} -25 ile çarp -32 ile böl -kaynak
[ get_pins { SAATLER_VE_SIFIRLAMALAR_ayar_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_ayar_0/REF_CLK_0 } ] -faz 0
[ get_pins { SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saat_0/ÇIKIŞ0 } ] create_generated_clock -name {SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saat_0/
OUT1} -25 ile çarp -32 ile böl -kaynak
[ get_pins { SAATLER_VE_SIFIRLAMALAR_ayar_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_ayar_0/REF_CLK_0 } ] -faz 0
[ get_pins { SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saat_0/ÇIKIŞ1 } ] create_generated_clock -name {SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saat_0/
OUT2} -25 ile çarp -32 ile böl -kaynak
[ get_pins { SAATLER_VE_SIFIRLAMALAR_ayar_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_ayar_0/REF_CLK_0 } ] -faz 0
[ get_pins { SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saat_0/ÇIKIŞ2 } ] create_generated_clock -name {SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saat_0/
OUT3} -25 ile çarp -64 ile böl -kaynak
[ get_pins { SAATLER_VE_SIFIRLAMALAR_ayar_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_ayar_0/REF_CLK_0 } ] -faz 0
[ get_pins { SAAT_VE_SIFIRLAMA_saati_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_saati_0/ÇIKIŞ3 } ] create_generated_clock -name {SAAT_VE_SIFIRLAMA_saati_0/CLK_160MHz_ile_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2'ye_böl -kaynak
[ get_pins { SAAT_VE_SIFIRLAMA_ayar_0/CLK_160MHz_ile_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { SAAT_VE_SIFIRLAMA_ayar_0/CLK_160MHz_ile_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_ayar_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_ayar_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] yanlış_yol -yolunu ayarla [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] yanlış_yol -yolunu ayarla [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] yanlış_yolu ayarla -başlangıçtan [ pinleri_al { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] yanlış_yolu ayarla -geçişten [ ağları_al { PCIE_INITIATOR_inst_0/ARESETN* } ]
Ek B—Benzetim Kitaplıklarını Simülasyon Ortamına Aktarma (Bir Soru Sorun)
Libero SoC ile RTL simülasyonu için varsayılan simülatör ModelSim ME Pro'dur.
Varsayılan simülatör için önceden derlenmiş kütüphaneler, Libero kurulumuyla birlikte şu dizinde mevcuttur: /Designer/lib/modelsimpro/precompiled/vlog for® desteklenen aileler için. Libero SoC ayrıca ModelSim, Questasim, VCS, Xcelium'un diğer üçüncü taraf simülatör sürümlerini de destekler
, Active HDL ve Riviera Pro. İlgili önceden derlenmiş kitaplıkları şu adresten indirin: Libero SoC v12.0 ve sonrası simülatöre ve versiyonuna göre.
Libero ortamına benzer şekilde, run.do file Libero dışında simülasyon çalıştırmak için oluşturulmalıdır.
Basit bir run.do oluşturun file derleme sonuçları, kütüphane eşlemesi, derleme ve simülasyon için kütüphane kurma komutlarına sahip. Temel bir run.do oluşturmak için adımları izleyin file.
- Derleme sonuçlarını depolamak için vlib komutunu vlib presynth kullanarak mantıksal bir kütüphane oluşturun.
- Mantıksal kitaplık adını vmap komutunu kullanarak önceden derlenmiş kitaplık dizinine eşleyin vmap .
- Kaynağı derle files—tasarım derlemek için dil özel derleyici komutlarını kullanın files çalışma dizinine.
– .v/.sv için vlog
– .vhd için vcom - Herhangi bir üst seviye modülün adını belirterek vsim komutunu kullanarak tasarımı simülasyon için yükleyin.
- Tasarımı run komutunu kullanarak simüle edin.
Tasarım yüklendikten sonra simülasyon süresi sıfırlanır ve simülasyonu başlatmak için run komutunu girebilirsiniz.
Simülatör transkript penceresinde run.do komutunu çalıştırın file çalıştır.do simülasyonu çalıştır. Sampkoş.do file aşağıdaki gibi.
sessizce ACTELLIBNAME'i ayarlayın PolarFire sessizce PROJECT_DIR “W:/Test/basic_test”i ayarlayın
{[file presynth/_info var]} { echo “BİLGİ: Simülasyon kütüphanesi presynth var” } else
{ file sil -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/önceden derlenmiş/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -work ön sentezleyici “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb dalga ekle /tb/*
1000ns log /tb/* çıkışını çalıştır
Ek C—Kısıtlamaları Türetme (Bir Soru Sorun)
Bu ek, Derive Constraints Tcl komutlarını açıklamaktadır.
9.1 Kısıtlamaları Türetme Tcl Komutları (Bir Soru Sorun)
derive_constraints yardımcı programı, Libero SoC tasarım ortamının dışında RTL veya yapılandırıcıdan kısıtlamaları türetmenize yardımcı olur. Tasarımınız için kısıtlamalar üretmek için, Kullanıcı HDL, Bileşen HDL ve Bileşen Kısıtlamalarına ihtiyacınız vardır files. SDC bileşen kısıtlamaları files altında mevcuttur /bileşen/çalışma/ / / dizini, bileşen yapılandırması ve oluşturulmasından sonra.
Her bileşen kısıtlaması file set_component tcl komutundan (bileşen adını belirtir) ve yapılandırmadan sonra oluşturulan kısıtlamalar listesinden oluşur. Kısıtlamalar yapılandırmaya göre oluşturulur ve her bileşene özgüdür.
Examp9-1. Bileşen Kısıtlaması File PF_CCC Çekirdeği için
İşte bir eskiampBir bileşen kısıtlamasının le'si file PF_CCC çekirdeği için:
bileşen_ayarla PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# Microchip Şirketi
# Tarih: 2021-Ekim-26 04:36:00
# PLL için temel saat #0
create_clock -dönem 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -1'e_böl -kaynak [ get_pins { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] Burada, create_clock ve create_generated_clock sırasıyla yapılandırmaya göre oluşturulan referans ve çıkış saati kısıtlamalarıdır.
9.1.1 derive_constraints Yardımcı Programıyla Çalışma (Bir Soru Sorun)
Kısıtlamaları türet, tasarım boyunca dolaş ve daha önce sağlanan bileşen SDC'sine göre bileşenin her örneği için yeni kısıtlamalar tahsis et files. CCC referans saatleri için, referans saatinin kaynağını bulmak için tasarım boyunca geriye doğru yayılır. Kaynak bir G/Ç ise, referans saati kısıtlaması G/Ç üzerinde ayarlanacaktır. Bir CCC çıkışı veya başka bir saat kaynağı ise (örneğinample, Transceiver, osilatör), diğer bileşenden gelen saati kullanır ve aralıklar eşleşmezse bir uyarı bildirir. Türetme kısıtlamaları, RTL'nizde varsa yonga üstü osilatörler gibi bazı makrolar için de kısıtlamalar tahsis edecektir.
derive_constraints yardımcı programını çalıştırmak için bir .tcl sağlamanız gerekir file Belirtilen sırayla aşağıdaki bilgileri içeren komut satırı argümanı.
- set_device bölümündeki bilgileri kullanarak cihaz bilgilerini belirtin.
- RTL'ye giden yolu belirtin fileread_verilog veya read_vhdl bölümündeki bilgileri kullanarak.
- set_top_level bölümündeki bilgileri kullanarak en üst düzey modülü ayarlayın.
- Bileşen SDC'sine giden yolu belirtin fileread_sdc veya read_ndc bölümündeki bilgileri kullanarak.
- Çalıştırın filederive_constraints bölümündeki bilgileri kullanarak.
- SDC türetilmiş kısıtlamalara giden yolu belirtin file write_sdc veya write_pdc veya write_ndc bölümündeki bilgileri kullanarak.
Examp9-2. derive.tcl'nin Yürütülmesi ve İçeriği File
Aşağıdaki bir örnektirampderive_constraints yardımcı programını çalıştırmak için komut satırı argümanı.
$ /bin{64}/türetme_kısıtlamaları türet.tcl
derive.tcl'nin içeriği file:
# Cihaz bilgisi
set_device -family PolarFire -die MPF100T -speed -1
# Sağdan sola files
read_verilog -mode system_verilog proje/bileşen/çalışma/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {proje/bileşen/çalışma/txpll0/txpll0.v}
read_verilog -mode system_verilog {proje/bileşen/çalışma/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {proje/bileşen/çalışma/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {proje/hdl/xcvr1.vhd}
#Bileşen SDC files
en_üst_seviyeyi_ayarla {xcvr1}
read_sdc -component {proje/bileşen/çalışma/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -component {proje/bileşen/çalışma/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint komutunu kullanın
türetme_kısıtlamaları
#SDC/PDC/NDC sonucu files
write_sdc {proje/kısıtlama/xcvr1_türetilmiş_kısıtlamalar.sdc}
write_pdc {proje/kısıtlama/fp/xcvr1_türetilmiş_kısıtlamalar.pdc}
9.1.2 cihazı_ayarla (Bir Soru Sorun)
Tanım
Soyadını, kalıp adını ve hız derecesini belirtin.
set_device -family -ölmek -hız
Argümanlar
Parametre | Tip | Tanım |
-aile | Sicim | Aile adını belirtin. Olası değerler PolarFire®, PolarFire SoC'dir. |
-ölmek | Sicim | Kalıp adını belirtin. |
-hız | Sicim | Aygıt hız derecesini belirtin. Olası değerler STD veya -1'dir. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Gerekli parametre—kalıp eksik | Kalıp seçeneği zorunludur ve belirtilmesi zorunludur. |
HATA0005 | Bilinmeyen 'MPF30' | -die seçeneğinin değeri doğru değil. Seçeneğin açıklamasında olası değerler listesine bakın. |
HATA0023 | Parametre—kalıpta değer eksik | Die seçeneği değer olmadan belirtildi. |
HATA0023 | Gerekli parametre—aile eksik | Aile seçeneği zorunludur ve belirtilmelidir. |
HATA0004 | Bilinmeyen aile 'PolarFire®' | Aile seçeneği doğru değil. Seçeneğin açıklamasında olası değerler listesine bakın. |
………… devam etti | ||
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Parametre—ailede değer eksik | Aile seçeneği değer belirtilmeden belirtilmiştir. |
HATA0023 | Gerekli parametre—hız eksik | Hız seçeneği zorunludur ve belirtilmesi zorunludur. |
HATA0007 | Bilinmeyen hız ' ' | Hız seçeneği doğru değil. Seçeneğin açıklamasında olası değerler listesine bakın. |
HATA0023 | Parametre—hız değeri eksik | Hız seçeneği değer olmadan belirtilmiş. |
Example
set_device -family {PolarFire} -die {MPF300T_ES} -speed -1
set_device -family SmartFusion 2 -die M2S090T -speed -1
9.1.3 veri_günlüğünü_oku (Bir Soru Sorun)
Tanım
Bir Verilog okuyun file Verific'i kullanarak.
read_verilog [-lib ] [-mod ]fileisim>
Argümanlar
Parametre | Tip | Tanım |
-lib | Sicim | Kütüphaneye eklenecek modülleri içeren kütüphaneyi belirtin. |
-mod | Sicim | Verilog standardını belirtin. Olası değerler verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu'dur. Değerler büyük/küçük harfe duyarlı değildir. Varsayılan verilog_2k'dır. |
fileisim | Sicim | Verilog file isim. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Parametre—lib'de değer eksik | lib seçeneği değer olmadan belirtildi. |
HATA0023 | Parametre—modunda değer eksik | Mod seçeneği değer olmadan belirtildi. |
HATA0015 | Bilinmeyen mod ' ' | Belirtilen verilog modu bilinmiyor. Olası verilog modu listesini mod seçeneği açıklamasında görün. |
HATA0023 | Gerekli parametre file isim eksik | Verilog yok file yol sağlanır. |
HATA0016 | Verific'in ayrıştırıcısı nedeniyle başarısız oldu | Verilog'da sözdizimi hatası fileVerific'in ayrıştırıcısını hata mesajının üzerindeki konsolda görebilirsiniz. |
HATA0012 | set_device çağrılmadı | Aygıt bilgisi belirtilmemiş. Aygıtı tanımlamak için set_device komutunu kullanın. |
Example
read_verilog -mode system_verilog {bileşen/çalışma/en üst/en üst.v}
read_verilog -mode system_verilog_mfcu tasarımı.v
9.1.4 vhdl'yi oku (Bir Soru Sorun)
Tanım
Bir VHDL ekleyin file VHDL listesine files.
vhdl'yi oku [-lib ] [-mod ]fileisim>
Argümanlar
Parametre | Tip | Tanım |
-lib | — | İçeriğin ekleneceği kütüphaneyi belirtin. |
-mod | — | VHDL standardını belirtir. Varsayılan VHDL_93'tür. Olası değerler vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl'dir. Değerler büyük/küçük harfe duyarlı değildir. |
fileisim | — | VHDL file isim. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Parametre—lib'de değer eksik | lib seçeneği değer olmadan belirtildi. |
HATA0023 | Parametre—modunda değer eksik | Mod seçeneği değer olmadan belirtildi. |
HATA0018 | Bilinmeyen mod ' ' | Belirtilen VHDL modu bilinmiyor. Olası VHDL modu listesini mod seçeneği açıklamasında görün. |
HATA0023 | Gerekli parametre file isim eksik | VHDL yok file yol sağlanır. |
HATA0019 | geçersiz_yol.v kaydedilemiyor file | Belirtilen VHDL file mevcut değil veya okuma izinleri yok. |
HATA0012 | set_device çağrılmadı | Aygıt bilgisi belirtilmemiş. Aygıtı tanımlamak için set_device komutunu kullanın. |
Example
read_vhdl -mod vhdl_2008 osc2dfn.vhd
vhdl_oku {hdl/üst.vhd}
9.1.5 üst_düzey_ayarla (Bir Soru Sorun)
Tanım
En üst düzey modülün adını RTL olarak belirtin.
en_üst_seviyeyi_ayarla [-lib ]
Argümanlar
Parametre | Tip | Tanım |
-lib | Sicim | En üst düzey modülü veya varlığı aramak için kullanılan kütüphane (İsteğe bağlı). |
isim | Sicim | En üst düzey modül veya varlık adı. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Gerekli parametre üst seviyesi eksik | En üst seviye seçeneği zorunludur ve belirtilmesi gerekir. |
HATA0023 | Parametre—lib'de değer eksik | lib seçeneği değer olmadan belirtildi. |
HATA0014 | En üst seviye bulunamadı kütüphanede | Belirtilen en üst düzey modül, sağlanan kitaplıkta tanımlanmamıştır. Bu hatayı düzeltmek için en üst modül veya kitaplık adı düzeltilmelidir. |
HATA0017 | Ayrıntılı başarısız oldu | RTL işleme sürecinde hata. Hata mesajı konsoldan gözlemlenebilir. |
Example
set_top_level {üst}
set_top_level -lib hdl üst
9.1.6 read_sdc (Bir Soru Sor)
Tanım
Bir SDC okuyun file bileşen veritabanına.
read_sdc -bileşenfileisim>
Argümanlar
Parametre | Tip | Tanım |
-bileşen | — | Kısıtlamaları türetirken read_sdc komutu için zorunlu bir bayraktır. |
fileisim | Sicim | SDC'ye Giden Yol file. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Gerekli parametre file isim eksik. | Zorunlu seçenek file ismi belirtilmemiş. |
HATA0000 | SDC file <file_path> okunamıyor. | Belirtilen SDC file okuma izni yok. |
HATA0001 | Açılamıyorfile_yol> file. | SDC file mevcut değil. Yol düzeltilmelidir. |
HATA0008 | set_component komutu eksikfile_yol> file | SDC'nin belirtilen bileşeni file bileşeni belirtmiyor. |
Hata Kodu | Hata Mesajı | Tanım |
HATA0009 | <List of errors from sdc file> | SDC file yanlış sdc komutları içeriyor. Örneğinampley,
set_multicycle_path kısıtlamasında bir hata olduğunda: read_sdc komutu yürütülürken hata oluştu:file_yol> file: set_multicycle_path komutunda hata: Bilinmeyen parametre [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (Bir Soru Sor)
Tanım
Bir NDC okuyun file bileşen veritabanına.
read_ndc -bileşenfileisim>
Argümanlar
Parametre | Tip | Tanım |
-bileşen | — | Kısıtlamaları türetirken read_ndc komutu için zorunlu bir bayraktır. |
fileisim | Sicim | NDC'ye Giden Yol file. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0001 | Açılamıyorfile_yol> file | NDC file mevcut değil. Yol düzeltilmelidir. |
HATA0023 | Gerekli parametre—AtclParamO_ eksik. | Zorunlu seçenek fileismi belirtilmemiş. |
HATA0023 | Gerekli parametre: Bileşen eksik. | Bileşen seçeneği zorunludur ve belirtilmesi gerekir. |
HATA0000 | Ulusal Kriz Yönetimi file 'file_path>' okunamıyor. | Belirtilen NDC file okuma izni yok. |
Example
read_ndc -bileşen {bileşen/iş/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (Bir Soru Sorun)
Tanım
Bileşen SDC'yi örneklendir files tasarım düzeyindeki veritabanına aktarılır.
türetme_kısıtlamaları
Argümanlar
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0013 | Üst düzey tanımlanmamış | Bu, en üst düzey modülün veya varlığın belirtilmediği anlamına gelir. Bu çağrıyı düzeltmek için, şunu verin: derive_constraints komutundan önce set_top_level komutu. |
Example
türetme_kısıtlamaları
9.1.9 write_sdc (Bir Soru Sor)
Tanım
Bir kısıtlama yazar file SDC formatında.
sdc_yazfileisim>
Argümanlar
Parametre | Tip | Tanım |
<fileisim> | Sicim | SDC'ye Giden Yol file oluşturulacaktır. Bu zorunlu bir seçenektir. Eğer file varsa üzerine yazılacaktır. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0003 | Açılamıyorfile yol> file. | File yol doğru değil. Üst dizinlerin var olup olmadığını kontrol edin. |
HATA0002 | SDC file 'file path>' yazılabilir değil. | Belirtilen SDC file yazma izni yok. |
HATA0023 | Gerekli parametre file isim eksik. | SDC file path zorunlu bir seçenektir ve belirtilmelidir. |
Example
write_sdc “türetilmiş.sdc”
9.1.10 write_pdc (Bir Soru Sor)
Tanım
Fiziksel kısıtlamaları yazar (sadece Kısıtlamaları Türet).
pdc_yazfileisim>
Argümanlar
Parametre | Tip | Tanım |
<fileisim> | Sicim | PDC'ye Giden Yol file oluşturulacaktır. Bu zorunlu bir seçenektir. Eğer file yol mevcutsa, üzerine yazılacak. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajları | Tanım |
HATA0003 | Açılamıyorfile yol> file | The file yol doğru değil. Üst dizinlerin var olup olmadığını kontrol edin. |
HATA0002 | PDC file 'file path>' yazılabilir değil. | Belirtilen PDC file yazma izni yok. |
HATA0023 | Gerekli parametre file isim eksik | PDC file path zorunlu bir seçenektir ve belirtilmelidir. |
Example
write_pdc “türetilmiş.pdc”
9.1.11 write_ndc (Bir Soru Sor)
Tanım
NDC kısıtlamalarını bir file.
yaz_ndcfileisim>
Argümanlar
Parametre | Tip | Tanım |
fileisim | Sicim | NDC'ye Giden Yol file oluşturulacaktır. Bu zorunlu bir seçenektir. Eğer file varsa üzerine yazılacaktır. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajları | Tanım |
HATA0003 | Açılamıyorfile_yol> file. | File yol doğru değil. Üst dizinler mevcut değil. |
HATA0002 | Ulusal Kriz Yönetimi file 'file_path>' yazılabilir değil. | Belirtilen NDC file yazma izni yok. |
HATA0023 | Gerekli parametre _AtclParamO_ eksik. | NDC file path zorunlu bir seçenektir ve belirtilmelidir. |
Example
write_ndc “türetilmiş.ndc”
9.1.12 add_include_path (Bir Soru Sor)
Tanım
Aramanın dahil edileceği bir yolu belirtir fileRTL okurken files.
ekle_dahil_edilme_yolu
Argümanlar
Parametre | Tip | Tanım |
dizin | Sicim | Aramanın dahil edileceği bir yolu belirtir fileRTL okurken files. Bu seçenek zorunludur. |
Dönüş Türü | Tanım |
0 | Komut başarılı oldu. |
Dönüş Türü | Tanım |
1 | Komut başarısız oldu. Bir hata var. Hata mesajını konsolda görebilirsiniz. |
Hataların Listesi
Hata Kodu | Hata Mesajı | Tanım |
HATA0023 | Gerekli parametre include path eksik. | Dizin seçeneği zorunludur ve sağlanması zorunludur. |
Not: Eğer dizin yolu doğru değilse, add_include_path hatasız geçilecektir.
Ancak read_verilog/read_vhd komutları Verific'in ayrıştırıcısı nedeniyle başarısız olacaktır.
Example
add_include_path bileşeni/çalışma/COREABC0/COREABC0_0/rtl/vlog/çekirdek
Revizyon Geçmişi (Bir Soru Sorun)
Revizyon geçmişi, belgede uygulanan değişiklikleri açıklar. Değişiklikler, en güncel yayından başlayarak revizyona göre listelenir.
Revizyon | Tarih | Tanım |
F | 08/2024 | Bu revizyonda aşağıdaki değişiklikler yapılmıştır: • Ek B—Simülasyon Kitaplıklarının Simülasyon Ortamına Aktarılması bölümü güncellendi. |
E | 08/2024 | Bu revizyonda aşağıdaki değişiklikler yapılmıştır: • Güncellenen bölümview. • Güncellenen bölüm Türetilmiş SDC File. • Ek B—Simülasyon Kitaplıklarının Simülasyon Ortamına Aktarılması bölümü güncellendi. |
D | 02/2024 | Bu doküman Libero 2024.1 SoC Tasarım Paketi ile birlikte v2023.2'den herhangi bir değişiklik yapılmadan yayınlanmıştır. Güncellenen bölüm derive_constraints Utility ile çalışma |
C | 08/2023 | Bu doküman Libero 2023.2 SoC Tasarım Paketi ile birlikte v2023.1'den herhangi bir değişiklik yapılmadan yayınlanmıştır. |
B | 04/2023 | Bu doküman Libero 2023.1 SoC Tasarım Paketi ile birlikte v2022.3'den herhangi bir değişiklik yapılmadan yayınlanmıştır. |
A | 12/2022 | İlk Revizyon. |
Mikroçip FPGA Desteği
Microchip FPGA ürün grubu, ürünlerini Müşteri Hizmetleri, Müşteri Teknik Destek Merkezi, bir websitesi ve dünya çapındaki satış ofisleri.
Müşterilerin, destek ekibiyle iletişime geçmeden önce Microchip çevrimiçi kaynaklarını ziyaret etmeleri önerilir; çünkü sorularına zaten yanıt verilmiş olması muhtemeldir.
aracılığıyla Teknik Destek Merkezi ile iletişime geçin. websitede www.microchip.com/support. FPGA Cihaz Parça numarasını belirtin, uygun kasa kategorisini seçin ve tasarımı yükleyin files teknik destek vakası oluştururken.
Ürün fiyatlandırması, ürün yükseltmeleri, güncelleme bilgileri, sipariş durumu ve yetkilendirme gibi teknik olmayan ürün desteği için Müşteri Hizmetleri ile iletişime geçin.
- Kuzey Amerika'dan 800.262.1060'ı arayın
- Dünyanın geri kalanından 650.318.4460'ı arayın
- Faks, dünyanın her yerinden, 650.318.8044
Mikroçip Bilgileri
mikroçip Webalan
Microchip, çevrimiçi desteği şu şekilde sağlar: websitede www.microchip.com/. Bu website yapmak için kullanılır fileve müşterilerin kolayca erişebileceği bilgiler. Mevcut içeriklerden bazıları şunlardır:
- Ürün Desteği – Veri sayfaları ve yazım hataları, uygulama notları ve sampprogramlar, tasarım kaynakları, kullanıcı kılavuzları ve donanım destek belgeleri, en son yazılım sürümleri ve arşivlenmiş yazılımlar
- Genel Teknik Destek – Sık Sorulan Sorular (SSS), teknik destek talepleri, çevrimiçi tartışma grupları, Microchip tasarım iş ortağı programı üye listesi
- Microchip İşletmesi – Ürün seçme ve sipariş kılavuzları, en son Microchip basın bültenleri, seminer ve etkinliklerin listesi, Microchip satış ofislerinin, distribütörlerin ve fabrika temsilcilerinin listeleri
Ürün Değişiklik Bildirim Hizmeti
Microchip'in ürün değişikliği bildirim hizmeti, müşterilerin Microchip ürünleri hakkında güncel bilgilere sahip olmasına yardımcı olur. Aboneler, belirli bir ürün ailesi veya ilgilendikleri geliştirme aracıyla ilgili değişiklikler, güncellemeler, revizyonlar veya hatalar olduğunda e-posta bildirimi alacaklardır. Kayıt olmak için şu adrese gidin: www.microchip.com/pcn ve kayıt talimatlarını takip edin.
Müşteri Desteği
Microchip ürünlerini kullananlar çeşitli kanallardan yardım alabilirler:
- Distribütör veya Temsilci
- Yerel Satış Ofisi
- Gömülü Çözümler Mühendisi (ESE)
- Teknik Destek
Müşteriler, destek için distribütörleri, temsilcileri veya ESE ile iletişime geçmelidir. Müşterilere yardımcı olmak için yerel satış ofisleri de mevcuttur. Bu belgede satış ofislerinin ve yerlerinin bir listesi yer almaktadır. Teknik destek şu adresten sağlanır: website şu adreste: www.microchip.com/support
Mikroçip Cihazları Kod Koruma Özelliği
Microchip ürünlerindeki kod koruma özelliğinin aşağıdaki ayrıntılarına dikkat edin:
- Mikroçip ürünleri, kendilerine ait Mikroçip Veri Sayfasında yer alan teknik özelliklere uygundur.
- Microchip, ürün ailesinin, amaçlanan şekilde, çalışma özellikleri dahilinde ve normal koşullar altında kullanıldığında güvenli olduğuna inanmaktadır.
- Mikroçip, fikri mülkiyet haklarına değer verir ve agresif bir şekilde korur. Microchip ürününün kod koruma özelliklerini ihlal etmeye çalışmak kesinlikle yasaktır ve Dijital Binyıl Telif Hakkı Yasası'nı ihlal edebilir.
- Ne Microchip ne de başka bir yarı iletken üreticisi kodunun güvenliğini garanti edemez. Kod koruması, ürünün "kırılmaz" olduğunu garanti ettiğimiz anlamına gelmez. Kod koruması sürekli olarak gelişmektedir. Microchip, ürünlerimizin kod koruma özelliklerini sürekli olarak iyileştirmeye kendini adamıştır.
Yasal Uyarı
Bu yayın ve buradaki bilgiler, Microchip ürünlerini tasarlamak, test etmek ve uygulamanızla entegre etmek dahil olmak üzere yalnızca Microchip ürünleriyle kullanılabilir. Bu bilgilerin başka herhangi bir şekilde kullanılması bu şartları ihlal eder. Cihaz uygulamalarına ilişkin bilgiler yalnızca size kolaylık sağlamak amacıyla sağlanmıştır ve bunların yerini güncellemeler alabilir. Uygulamanızın spesifikasyonlarınıza uygun olmasını sağlamak sizin sorumluluğunuzdadır. Ek destek için yerel Microchip satış ofisinizle iletişime geçin veya şu adresten ek destek alın: www.microchip.com/en-us/support/design-help/client-support-services.
BU BİLGİLER MİKROÇİP TARAFINDAN “OLDUĞU GİBİ” SAĞLANIR. MICROCHIP, İHLAL ETMEME, SATILABİLİRLİK VE BELİRLİ BİR AMACA UYGUNLUK İLE İLGİLİ ZIMNİ GARANTİLER DAHİL ANCAK BUNLARLA SINIRLI OLMAMAK ÜZERE AÇIK VEYA ZIMNİ, YAZILI VEYA SÖZLÜ, YASAL VEYA DİĞER HİÇBİR TÜRDE BEYAN VEYA GARANTİ VERMEZ VEYA GARANTİLER DURUMU, KALİTESİ VEYA PERFORMANSI İLE İLGİLİDİR. MICROCHIP HİÇBİR DURUMDA BİLGİ VEYA KULLANIMI İLE İLGİLİ HERHANGİ BİR DOLAYLI, ÖZEL, CEZAİ, ARIZİ VEYA SONUÇSAL KAYIP, HASAR, MALİYET VEYA MASRAFLARDAN, NEDENİ NE OLURSA OLSUN, MICROCHIP'E BİLDİRİLMİŞ OLSA DAHİ SORUMLU TUTULAMAZ. OLASILIK VEYA ZARARLAR ÖNGÖRÜLEBİLİR. YASALARIN İZİN VERDİĞİ EN GENİŞ ÖLÇÜDE, MICROCHIP'İN HERHANGİ BİR ŞEKİLDE BİLGİ VEYA KULLANIMI İLE İLGİLİ TÜM İDDİALARDAKİ TOPLAM YÜKÜMLÜLÜĞÜ, VARSA, BİLGİ İÇİN DOĞRUDAN MICROCHIP'E ÖDEDİĞİNİZ ÜCRET MİKTARINI AŞMAYACAKTIR.
Microchip cihazlarının yaşam desteği ve/veya güvenlik uygulamalarında kullanımı tamamen alıcının riski altındadır ve alıcı, Microchip'i bu tür kullanımdan kaynaklanan her türlü hasar, tazminat, dava veya masraftan korumayı, savunmayı ve tazmin etmeyi kabul eder. Aksi belirtilmediği sürece, Microchip fikri mülkiyet hakları kapsamında hiçbir lisans, örtük veya başka bir şekilde devredilmez.
Ticari markalar
Mikroçip adı ve logosu, Mikroçip logosu, Adaptec, AVR, AVR logosu, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, Microsemi logosu, MOST, MOST logosu, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logosu, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logosu, SuperFlash, Symmetricom , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron ve XMEGA, Microchip Technology Incorporated'ın ABD ve diğer ülkelerdeki tescilli ticari markalarıdır.
AgileSwitch, ClockWorks, Gömülü Kontrol Çözümleri Şirketi, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logosu, Quiet-Wire, SmartFusion, SyncWorld, TimeCesium, TimeHub, TimePictra, TimeProvider ve ZL, ABD'de Microchip Technology Incorporated'ın tescilli ticari markalarıdır.
Bitişik Anahtar Bastırma, AKS, Dijital Çağ için Analog, Herhangi Bir Kapasitör, AnyIn, AnyOut, Artırılmış Anahtarlama, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net, Dinamik Ortalama Eşleştirme , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, Devre İçi Seri Programlama, ICSP, INICnet, Akıllı Paralelleme, IntelliMOS, Çipler Arası Bağlantı, JitterBlocker, Ekrandaki Düğme, MarginLink, maxCrypto, maksimumView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Sertifikalı logo, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS 7, PowerSmart, PureSilicon , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Seri Dörtlü I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance , Güvenilir Zaman, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect ve ZENA, Microchip Technology Incorporated'ın ABD ve diğer ülkelerdeki ticari markalarıdır.
SQTP, ABD'de Microchip Technology Incorporated'ın bir hizmet markasıdır
Adaptec logosu, Frequency on Demand, Silicon Storage Technology ve Symmcom, Microchip Technology Inc.'in diğer ülkelerdeki tescilli ticari markalarıdır.
GestIC, Microchip Technology Inc.'in bir yan kuruluşu olan Microchip Technology Germany II GmbH & Co. KG'nin diğer ülkelerde tescilli ticari markasıdır.
Burada adı geçen diğer tüm ticari markalar ilgili şirketlerin mülkiyetindedir.
2024, Microchip Technology Incorporated ve bağlı şirketleri. Tüm Hakları Saklıdır.
ISBN: 978-1-6683-0183-8
Kalite Yönetim Sistemi
Microchip'in Kalite Yönetim Sistemleri hakkında bilgi edinmek için lütfen şu adresi ziyaret edin: www.microchip.com/quality.
Dünya Çapında Satış ve Servis
AMERİKALAR | ASYA/PASİFİK | ASYA/PASİFİK | AVRUPA |
Kurumsal Ofis 2355 Batı Chandler Bulvarı. Chandler, Arizona 85224-6199 Telefon: 480-792-7200 Faks: 480-792-7277 Teknik Destek: www.microchip.com/support Web Adres: www.microchip.com atlanta Duluth, Georgia Telefon: 678-957-9614 Faks: 678-957-1455 Austin, Teksas Telefon: 512-257-3370 Boston Westborough, MA Telefon: 774-760-0087 Faks: 774-760-0088 Chicago Itasca, IL Telefon: 630-285-0071 Faks: 630-285-0075 Dallas Addison Telefon: 972-818-7423 Faks: 972-818-2924 Detroit Yeni, MI Telefon: 248-848-4000 Houston, Teksas Telefon: 281-894-5983 Indianapolis Noblesville, IN Telefon: 317-773-8323 Faks: 317-773-5453 Telefon: 317-536-2380 Los Angeles Misyon Viejo, CA Telefon: 949-462-9523 Faks: 949-462-9608 Telefon: 951-273-7800 Raleigh, Kuzey Karolina Telefon: 919-844-7510 New York, New York Telefon: 631-435-6000 San Jose, Kaliforniya Telefon: 408-735-9110 Telefon: 408-436-4270 Kanada – Toronto Telefon: 905-695-1980 Faks: 905-695-2078 |
Avustralya – Sidney Telefon: 61-2-9868-6733 Çin – Pekin Telefon: 86-10-8569-7000 Çin – Çengdu Telefon: 86-28-8665-5511 Çin – Çongçing Telefon: 86-23-8980-9588 Çin – Dongguan Telefon: 86-769-8702-9880 Çin – Guangzhou Telefon: 86-20-8755-8029 Çin – Hangzhou Telefon: 86-571-8792-8115 Çin – Hong Kong Özel İdari Bölgesi Telefon: 852-2943-5100 Çin – Nanjing Telefon: 86-25-8473-2460 Çin – Qingdao Telefon: 86-532-8502-7355 Çin – Şanghay Telefon: 86-21-3326-8000 Çin – Shenyang Telefon: 86-24-2334-2829 Çin – Shenzhen Telefon: 86-755-8864-2200 Çin – Suzhou Telefon: 86-186-6233-1526 Çin – Vuhan Telefon: 86-27-5980-5300 Çin – Xian Telefon: 86-29-8833-7252 Çin – Xiamen Telefon: 86-592-2388138 Çin – Zhuhai Telefon: 86-756-3210040 |
Hindistan – Bangalore Telefon: 91-80-3090-4444 Hindistan – Yeni Delhi Telefon: 91-11-4160-8631 Hindistan – Pune Telefon: 91-20-4121-0141 Japonya – Osaka Telefon: 81-6-6152-7160 Japonya – Tokyo Tel: 81-3-6880-3770 Kore – Daegu Telefon: 82-53-744-4301 Kore – Seul Telefon: 82-2-554-7200 Malezya – Kuala Lumpur Telefon: 60-3-7651-7906 Malezya – Penang Telefon: 60-4-227-8870 Filipinler – Manila Telefon: 63-2-634-9065 Singapur Telefon: 65-6334-8870 Tayvan – Hsin Chu Telefon: 886-3-577-8366 Tayvan – Kaohsiung Telefon: 886-7-213-7830 Tayvan – Taipei Telefon: 886-2-2508-8600 Tayland – Bangkok Telefon: 66-2-694-1351 Vietnam – Ho Chi Minh Telefon: 84-28-5448-2100 |
Avusturya – Wels Telefon: 43-7242-2244-39 Faks: 43-7242-2244-393 Danimarka – Kopenhag Telefon: 45-4485-5910 Faks: 45-4485-2829 Finlandiya – Espoo Telefon: 358-9-4520-820 Fransa – Paris Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Almanya – Garching Telefon: 49-8931-9700 Almanya – Haan Telefon: 49-2129-3766400 Almanya – Heilbronn Telefon: 49-7131-72400 Almanya – Karlsruhe Telefon: 49-721-625370 Almanya – Münih Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Almanya – Rosenheim Telefon: 49-8031-354-560 İsrail – Hod Hasharon Telefon: 972-9-775-5100 İtalya – Milano Telefon: 39-0331-742611 Faks: 39-0331-466781 İtalya – Padova Telefon: 39-049-7625286 Hollanda – Drunen Telefon: 31-416-690399 Faks: 31-416-690340 Norveç – Trondheim Tel: 47-72884388 Polonya – Varşova Telefon: 48-22-3325737 Romanya – Bükreş Tel: 40-21-407-87-50 İspanya – Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 İsveç – Gothenberg Tel: 46-31-704-60-40 İsveç – Stokholm Telefon: 46-8-5090-4654 Birleşik Krallık – Wokingham Telefon: 44-118-921-5800 Faks: 44-118-921-5820 |
Belgeler / Kaynaklar
![]() |
MICROCHIP DS00004807F PolarFire Ailesi FPGA Özel Akışı [pdf] Kullanıcı Kılavuzu DS00004807F PolarFire Ailesi FPGA Özel Akışı, DS00004807F, PolarFire Ailesi FPGA Özel Akışı, Aile FPGA Özel Akışı, Özel Akış, Akış |