मायक्रोचिप - लोगो पोलरफायर फॅमिली एफपीजीए कस्टम फ्लो वापरकर्ता मार्गदर्शक
लिबेरो एसओसी v2024.2

परिचय (एक प्रश्न विचारा)

लिबेरो सिस्टम-ऑन-चिप (SoC) सॉफ्टवेअर पूर्णपणे एकात्मिक फील्ड प्रोग्रामेबल गेट अ‍ॅरे (FPGA) डिझाइन वातावरण प्रदान करते. तथापि, काही वापरकर्ते लिबेरो SoC वातावरणाबाहेर तृतीय-पक्ष संश्लेषण आणि सिम्युलेशन साधने वापरू इच्छितात. लिबेरो आता FPGA डिझाइन वातावरणात एकत्रित केले जाऊ शकते. संपूर्ण FPGA डिझाइन प्रवाह व्यवस्थापित करण्यासाठी लिबेरो SoC वापरण्याची शिफारस केली जाते.
हे वापरकर्ता मार्गदर्शक PolarFire आणि PolarFire SoC फॅमिली डिव्हाइसेससाठी कस्टम फ्लोचे वर्णन करते, जे मोठ्या FPGA डिझाइन फ्लोचा भाग म्हणून Libero ला एकत्रित करण्याची प्रक्रिया आहे. समर्थित डिव्हाइस फॅमिलीज® खालील तक्त्यामध्ये Libero SoC सपोर्ट करत असलेल्या डिव्हाइस फॅमिलीजची यादी दिली आहे. तथापि, या मार्गदर्शकातील काही माहिती केवळ विशिष्ट डिव्हाइसेसच्या कुटुंबाला लागू होऊ शकते. या प्रकरणात, अशी माहिती स्पष्टपणे ओळखली जाते.
तक्ता १. Libero SoC द्वारे समर्थित डिव्हाइस कुटुंबे

डिव्हाइस कुटुंब वर्णन
PolarFire® पोलरफायर एफपीजीए मध्यम-श्रेणीच्या घनतेवर अपवादात्मक सुरक्षितता आणि विश्वासार्हतेसह उद्योगातील सर्वात कमी उर्जा प्रदान करतात.
PolarFire SoC PolarFire SoC हा पहिला SoC FPGA आहे ज्यामध्ये एक निश्चित, सुसंगत RISC-V CPU क्लस्टर आणि Linux® आणि रिअल-टाइम अनुप्रयोगांना सक्षम करणारा एक निश्चित L2 मेमरी उपप्रणाली आहे.

ओव्हरview (एक प्रश्न विचारा)

Libero SoC SoC आणि FPGA डिझाइन विकसित करण्यासाठी पूर्णपणे एकात्मिक एंड-टू-एंड डिझाइन वातावरण प्रदान करते, तर ते Libero SoC वातावरणाबाहेर तृतीय-पक्ष साधनांसह संश्लेषण आणि सिम्युलेशन चालविण्यासाठी लवचिकता देखील प्रदान करते. तथापि, काही डिझाइन चरण Libero SoC वातावरणातच राहिले पाहिजेत.
खालील तक्त्यामध्ये FPGA डिझाइन फ्लोमधील प्रमुख पायऱ्यांची यादी दिली आहे आणि Libero SoC कोणत्या पायऱ्यांसाठी वापरावे हे दर्शविले आहे.
तक्ता १-१. FPGA डिझाइन फ्लो

डिझाइन फ्लो स्टेप लिबेरो वापरायलाच हवे वर्णन
डिझाइन एंट्री: एचडीएल नाही इच्छित असल्यास Libero® SoC च्या बाहेर तृतीय-पक्ष HDL संपादक/चेकर टूल वापरा.
डिझाइन एंट्री: कॉन्फिगरेटर्स होय आयपी कॅटलॉग कोर घटक निर्मितीसाठी पहिला लिबेरो प्रकल्प तयार करा.
स्वयंचलित पीडीसी/एसडीसी कंस्ट्रेंट जनरेशन नाही व्युत्पन्न केलेल्या मर्यादांना सर्व HDL ची आवश्यकता असते files आणि परिशिष्ट C—Derive Constraints मध्ये वर्णन केल्याप्रमाणे, Libero SoC च्या बाहेर सादर केल्यावर derive_constraints युटिलिटी.
अनुकरण नाही इच्छित असल्यास, Libero SoC च्या बाहेर तृतीय-पक्ष साधन वापरा. ​​लक्ष्य डिव्हाइस, लक्ष्य सिम्युलेटर आणि बॅकएंड अंमलबजावणीसाठी वापरल्या जाणाऱ्या लक्ष्य Libero आवृत्तीसाठी पूर्व-संकलित सिम्युलेशन लायब्ररी डाउनलोड करणे आवश्यक आहे.
संश्लेषण नाही इच्छित असल्यास Libero SoC च्या बाहेर तृतीय-पक्ष साधन वापरा.
डिझाइन अंमलबजावणी: मर्यादा व्यवस्थापित करा, नेटलिस्ट संकलित करा, ठिकाण आणि मार्ग (ओव्हर पहा)view) होय बॅकएंड अंमलबजावणीसाठी दुसरा लिबेरो प्रकल्प तयार करा.
वेळ आणि पॉवर सत्यापन होय दुसऱ्या लिबेरो प्रकल्पात रहा.
डिझाइन इनिशिएलायझेशन डेटा आणि मेमरीज कॉन्फिगर करा होय डिव्हाइसमध्ये वेगवेगळ्या प्रकारच्या मेमरी आणि डिझाइन इनिशिएलायझेशन व्यवस्थापित करण्यासाठी हे टूल वापरा. ​​दुसऱ्या प्रोजेक्टमध्ये रहा.
प्रोग्रामिंग File पिढी होय दुसऱ्या प्रोजेक्टमध्ये राहा.

मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन महत्वाचे: तुम्ही येथे उपलब्ध असलेल्या प्रीकंपाइल्ड लायब्ररी डाउनलोड करणे आवश्यक आहे. प्रीकंपाइल्ड सिम्युलेशन लायब्ररी तृतीय-पक्ष सिम्युलेटर वापरण्यासाठी पृष्ठ.
शुद्ध फॅब्रिक FPGA फ्लोमध्ये, HDL किंवा स्कीमॅटिक एंट्री वापरून तुमचे डिझाइन एंटर करा आणि ते थेट पास करा.
संश्लेषण साधनांना. प्रवाह अजूनही समर्थित आहे. पोलरफायर आणि पोलरफायर SoC FPGAs मध्ये लक्षणीय
लिबेरो एसओसी आयपी मधील कॉन्फिगरेशन कोर (एसजीकोर्स) वापरण्याची आवश्यकता असलेले प्रोप्रायटरी हार्ड आयपी ब्लॉक्स
कॅटलॉग. SoC कार्यक्षमता असलेल्या कोणत्याही ब्लॉकसाठी विशेष हाताळणी आवश्यक आहे:

  • पोलरफायर
    - पीएफ_यूपीआरओएम
    – पीएफ_सिस्टीम_सेवा
    – पीएफ_सीसीसी
    - पीएफ सीएलके डीआयव्ही
    – पीएफ_क्राइप्टो
    – पीएफ_डीआरआय
    – PF_INIT_MONITOR
    – पीएफ_एनजीएमयूएक्स
    – पीएफ_ओएससी
    - रॅम (TPSRAM, DPSRAM, URAM)
    – पीएफ_एसआरएएम_एएचबीएल_एक्सआय
    – पीएफ_एक्ससीव्हीआर_ईआरएम
    – पीएफ_एक्ससीव्हीआर_आरईएफ_सीएलके
    – पीएफ_टीएक्स_पीएलएल
    – पीएफ_पीसीआयई
    – पीएफ_आयओ
    – पीएफ_आयओडी_सीडीआर
    – पीएफ_आयओडी_सीडीआर_सीसीसी
    – पीएफ_आयओडी_जेनेरिक_आरएक्स
    – पीएफ_आयओडी_जेनेरिक_टीएक्स
    – पीएफ_आयओडी_जेनेरिक_टीएक्स_सीसीसी
    – पीएफ_आरजीएमआयआय_टो_जीएमआयआय
    – पीएफ_आयओडी_ऑक्टल_डीडीआर
    – पीएफ_डीडीआर३
    – पीएफ_डीडीआर३
    – पीएफ_एलपीडीडीआर३
    – पीएफ_क्यूडीआर
    – पीएफ_कोरेस्मार्टबर्ट
    – पीएफ_टीAMPER
    – PF_TVS, आणि असेच.

वरील सूचीबद्ध SgCores व्यतिरिक्त, Libero SoC कॅटलॉगमध्ये PolarFire आणि PolarFire SoC डिव्हाइस कुटुंबांसाठी अनेक DirectCore सॉफ्ट IP उपलब्ध आहेत जे FPGA फॅब्रिक संसाधने वापरतात.
डिझाइन एंट्रीसाठी, जर तुम्ही मागील घटकांपैकी कोणताही एक वापरत असाल, तर तुम्हाला डिझाइन एंट्रीच्या काही भागासाठी (घटक कॉन्फिगरेशन) Libero SoC वापरणे आवश्यक आहे, परंतु तुम्ही Libero च्या बाहेर तुमची उर्वरित डिझाइन एंट्री (HDL एंट्री, आणि असेच) सुरू ठेवू शकता. Libero च्या बाहेर FPGA डिझाइन प्रवाह व्यवस्थापित करण्यासाठी, या मार्गदर्शकाच्या उर्वरित भागात दिलेल्या चरणांचे अनुसरण करा.
१.१ घटक जीवनचक्र (एक प्रश्न विचारा)
खालील पायऱ्या SoC घटकाच्या जीवनचक्राचे वर्णन करतात आणि डेटा कसा हाताळायचा याबद्दल सूचना देतात.

  1. Libero SoC मध्ये त्याच्या कॉन्फिगरेटरचा वापर करून घटक तयार करा. हे खालील प्रकारचे डेटा तयार करते:
    - एचडीएल files
    - स्मृती files
    - प्रेरणा आणि अनुकरण files
    - घटक SDC file
  2. एचडीएल साठी files, बाह्य डिझाइन एंट्री टूल/प्रक्रिया वापरून उर्वरित HDL डिझाइनमध्ये त्यांना इंस्टेंटिएट करा आणि एकत्रित करा.
  3. मेमरी पुरवणे files आणि उत्तेजना fileतुमच्या सिम्युलेशन टूलवर.
  4. पुरवठा घटक SDC file कंस्ट्रेंट जनरेशनसाठी डेरिव्ह कॉन्स्ट्रेंट टूल. अधिक तपशीलांसाठी परिशिष्ट क—डेरिव्ह कॉन्स्ट्रेंट्स पहा.
  5. तुम्हाला दुसरा लिबेरो प्रोजेक्ट तयार करावा लागेल, जिथे तुम्ही पोस्ट-सिंथेसिस नेटलिस्ट आणि तुमचा घटक मेटाडेटा आयात कराल, अशा प्रकारे तुम्ही जे जनरेट करता आणि तुम्ही जे प्रोग्राम करता त्यामधील संबंध पूर्ण कराल.

१.२ लिबेरो एसओसी प्रकल्प निर्मिती (एक प्रश्न विचारा)
काही डिझाइन पायऱ्या Libero SoC वातावरणात चालवल्या पाहिजेत (तक्ता १-१). हे पायऱ्या चालविण्यासाठी, तुम्हाला दोन Libero SoC प्रोजेक्ट तयार करावे लागतील. पहिला प्रोजेक्ट डिझाइन घटक कॉन्फिगरेशन आणि जनरेशनसाठी वापरला जातो आणि दुसरा प्रोजेक्ट टॉप-लेव्हल डिझाइनच्या भौतिक अंमलबजावणीसाठी असतो.
१.३ कस्टम फ्लो (एक प्रश्न विचारा)
खालील आकृती दाखवते:

  • लिबेरो एसओसीला लिबेरो एसओसी वातावरणाबाहेरील तृतीय-पक्ष संश्लेषण आणि सिम्युलेशन साधनांसह मोठ्या एफपीजीए डिझाइन प्रवाहाचा एक भाग म्हणून एकत्रित केले जाऊ शकते.
  • या प्रक्रियेत विविध टप्पे समाविष्ट आहेत, डिझाइन तयार करण्यापासून ते शिलाई करण्यापर्यंत आणि डिव्हाइस प्रोग्रामिंगपर्यंत.
  • प्रत्येक डिझाइन फ्लो स्टेपवर होणारा डेटा एक्सचेंज (इनपुट आणि आउटपुट).

मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - कस्टम फ्लो ओव्हरviewमायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन १ टीप:

  1. SNVM.cfg, UPROM.cfg
  2. *.मेम file सिम्युलेशनसाठी जनरेशन: pa4rtupromgen.exe UPROM.cfg इनपुट म्हणून घेते आणि UPROM.mem जनरेट करते.

कस्टम फ्लोमधील पायऱ्या खालीलप्रमाणे आहेत:

  1. घटक कॉन्फिगरेशन आणि निर्मिती:
    अ. पहिला लिबेरो प्रकल्प तयार करा (संदर्भ प्रकल्प म्हणून काम करण्यासाठी).
    b. कॅटलॉगमधून कोअर निवडा. कोअरला कंपोनेंटचे नाव देण्यासाठी त्यावर डबल क्लिक करा आणि कंपोनेंट कॉन्फिगर करा.
    हे आपोआप घटक डेटा निर्यात करते आणि files. एक घटक मॅनिफेस्ट देखील तयार केला जातो. तपशीलांसाठी घटक मॅनिफेस्ट पहा. अधिक तपशीलांसाठी, घटक कॉन्फिगरेशन पहा.
  2. लिबेरोच्या बाहेर तुमचे RTL डिझाइन पूर्ण करा:
    a. HDL घटकाचे प्रमाण वाढवा. files.
    b. HDL चे स्थान files हे घटक मॅनिफेस्टमध्ये सूचीबद्ध आहे files.
  3. घटकांसाठी SDC कंस्ट्रेंट्स जनरेट करा. वेळेची कंस्ट्रेंट जनरेट करण्यासाठी Derive Constrants युटिलिटी वापरा. file(SDC) यावर आधारित:
    अ. घटक एचडीएल files
    b. घटक SDC files
    c. वापरकर्ता HDL files
    अधिक माहितीसाठी, परिशिष्ट क—डेरिव्ह कंस्ट्रेंट्स पहा.
  4. संश्लेषण साधन/सिम्युलेशन साधन:
    अ. एचडीएल घ्या files, उत्तेजक fileघटक मॅनिफेस्टमध्ये नमूद केल्याप्रमाणे विशिष्ट ठिकाणांवरील घटक डेटा.
    b. लिबेरो SoC च्या बाहेरील तृतीय-पक्ष साधनांसह डिझाइनचे संश्लेषण आणि अनुकरण करा.
  5. तुमचा दुसरा (अंमलबजावणी) लिबेरो प्रकल्प तयार करा.
  6. डिझाइन फ्लो टूल चेनमधून सिंथेसिस काढून टाका (प्रोजेक्ट > प्रोजेक्ट सेटिंग्ज > डिझाइन फ्लो > सिंथेसिस सक्षम करा चेक बॉक्स साफ करा).
  7. डिझाइन स्रोत आयात करा files (सिंथेसिस टूलमधून पोस्ट-सिंथेसिस *.vm नेटलिस्ट):
    - पोस्ट-सिंथेसिस आयात करा *.vm नेटलिस्ट (File>आयात करा> सिंथेसाइज्ड व्हेरिलॉग नेटलिस्ट (VM)).
    – घटक मेटाडेटा *.cfg fileuPROM आणि/किंवा sNVM साठी s.
  8. कोणताही लिबेरो एसओसी ब्लॉक घटक आयात करा. files. ब्लॉक files *.cxz मध्ये असणे आवश्यक आहे. file स्वरूप
    ब्लॉक कसा तयार करायचा याबद्दल अधिक माहितीसाठी, पहा पोलरफायर ब्लॉक फ्लो वापरकर्ता मार्गदर्शक.
  9. डिझाइन मर्यादा आयात करा:
    - आयात I/O मर्यादा files (कंस्ट्रेंट्स मॅनेजर > I/OAttributes > Import).
    - फ्लोअरप्लॅनिंग आयात करा *.pdc files (कंस्ट्रेंट्स मॅनेजर > फ्लोअर प्लॅनर > इंपोर्ट).
    - आयात *.sdc वेळेची मर्यादा files (कंस्ट्रेंट्स मॅनेजर > टाइमिंग >इम्पोर्ट). SDC आयात करा. file डेरिव्ह कंस्ट्रेंट टूलद्वारे जनरेट केलेले.
    - आयात *.ndc मर्यादा files (कंस्ट्रेंट्स मॅनेजर > नेटलिस्टअट्रिब्यूट्स > इम्पोर्ट), जर असेल तर.
  10. बंधन file आणि साधन संघटना
    – कंस्ट्रेंट मॅनेजरमध्ये, *.pdc जोडा fileठेवण्यासाठी आणि मार्गावर नेण्यासाठी, *.sdc fileठिकाण आणि मार्ग आणि वेळेची पडताळणी करण्यासाठी, आणि *.ndc files नेटलिस्‍ट संकलित करण्यासाठी.
  11. पूर्ण डिझाइन अंमलबजावणी
    - ठिकाण आणि मार्ग, वेळ आणि शक्ती सत्यापित करा, डिझाइन इनिशिएलायझेशन डेटा आणि मेमरी कॉन्फिगर करा आणि प्रोग्रामिंग करा. file पिढी
  12. डिझाइनची पडताळणी करा
    - Libero SoC डिझाइन सूटसह प्रदान केलेल्या डिझाइन टूल्सचा वापर करून FPGA वरील डिझाइनची पडताळणी करा आणि आवश्यकतेनुसार डीबग करा.

घटक कॉन्फिगरेशन (एक प्रश्न विचारा)

कस्टम फ्लोमधील पहिले पाऊल म्हणजे लिबेरो संदर्भ प्रकल्प वापरून तुमचे घटक कॉन्फिगर करणे (ज्याला टेबल १-१ मध्ये पहिला लिबेरो प्रकल्प देखील म्हणतात). त्यानंतरच्या चरणांमध्ये, तुम्ही या संदर्भ प्रकल्पातील डेटा वापरता.
जर तुम्ही आधी सूचीबद्ध केलेले कोणतेही घटक वापरत असाल तर, ओव्हर अंतर्गतview तुमच्या डिझाइनमध्ये, या विभागात वर्णन केलेल्या पायऱ्या करा.
जर तुम्ही वरीलपैकी कोणतेही घटक वापरत नसाल, तर तुम्ही तुमचा RTL Libero च्या बाहेर लिहू शकता आणि ते थेट तुमच्या Synthesis आणि Simulation टूल्समध्ये इंपोर्ट करू शकता. त्यानंतर तुम्ही पोस्ट-सिंथेसिस विभागात जाऊ शकता आणि फक्त तुमची पोस्ट-सिंथेसिस *.vm नेटलिस्ट तुमच्या अंतिम Libero अंमलबजावणी प्रकल्पात (ज्याला टेबल १-१ मध्ये दुसरा Libero प्रकल्प देखील म्हणतात) आयात करू शकता.
२.१ लिबेरो वापरून घटक कॉन्फिगरेशन (एक प्रश्न विचारा)
मागील यादीतून वापरायचे घटक निवडल्यानंतर, खालील चरणे करा:

  1. एक नवीन लिबेरो प्रोजेक्ट तयार करा (कोअर कॉन्फिगरेशन आणि जनरेशन): तुम्ही तुमच्या अंतिम डिझाइनला ज्या डिव्हाइस आणि फॅमिलीवर लक्ष्यित करता ते निवडा.
  2. कस्टम फ्लो मध्ये नमूद केलेल्या एक किंवा अधिक कोर वापरा.
    अ. स्मार्टडिझाइन तयार करा आणि इच्छित कोर कॉन्फिगर करा आणि तो स्मार्टडिझाइन घटकात स्थापित करा.
    b. सर्व पिन वरच्या पातळीवर वाढवा.
    c. स्मार्टडिझाइन तयार करा.
    d. सिम्युलेटर सुरू करण्यासाठी सिम्युलेट टूलवर (प्री-सिंथेसिस किंवा पोस्ट-सिंथेसिस किंवा पोस्ट-लेआउट पर्यायांपैकी कोणताही) डबल क्लिक करा. सिम्युलेटर सुरू झाल्यानंतर तुम्ही त्यातून बाहेर पडू शकता. ही पायरी सिम्युलेशन तयार करते. fileतुमच्या प्रकल्पासाठी आवश्यक आहे.

मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन १ टीप: तुम्ही जर तुम्हाला लिबेरोच्या बाहेर तुमची रचना सिम्युलेट करायची असेल तर ही पायरी पूर्ण करावी लागेल.
अधिक माहितीसाठी, तुमच्या डिझाइनचे अनुकरण पहा.
ई. तुमचा प्रकल्प जतन करा—हा तुमचा संदर्भ प्रकल्प आहे.
२.२ घटक मॅनिफेस्ट (एक प्रश्न विचारा)
जेव्हा तुम्ही तुमचे घटक तयार करता, तेव्हा एक संच fileप्रत्येक घटकासाठी s तयार केले जाते. घटक मॅनिफेस्ट अहवालात संचाचे तपशील दिले आहेत fileप्रत्येक पुढील टप्प्यात (सिंथेसिस, सिम्युलेशन, फर्मवेअर जनरेशन, आणि असेच) तयार केलेले आणि वापरलेले s. हा अहवाल तुम्हाला सर्व व्युत्पन्न केलेल्यांची स्थाने देतो fileकस्टम फ्लोसह पुढे जाण्यासाठी आवश्यक असलेले घटक. तुम्ही रिपोर्ट्स क्षेत्रात घटक मॅनिफेस्टमध्ये प्रवेश करू शकता: रिपोर्ट्स टॅब उघडण्यासाठी डिझाइन > रिपोर्ट्स वर क्लिक करा. रिपोर्ट्स टॅबमध्ये, तुम्हाला manifest.txt चा संच दिसेल. files (ओव्हरview), तुम्ही निर्माण केलेल्या प्रत्येक घटकासाठी एक.
टीप: घटक मॅनिफेस्ट पाहण्यासाठी तुम्हाला घटक किंवा मॉड्यूल '"रूट" म्हणून सेट करणे आवश्यक आहे. file अहवाल टॅबमधील सामग्री.
वैकल्पिकरित्या, तुम्ही वैयक्तिक मॅनिफेस्ट रिपोर्टमध्ये प्रवेश करू शकता fileप्रत्येक कोर घटकासाठी किंवा स्मार्टडिझाइन घटकासाठी /घटक/काम/ / / _manifest.txt किंवा /घटक/काम/ / _manifest.txt. तुम्ही मॅनिफेस्टमध्ये देखील प्रवेश करू शकता file लिबेरोमधील नवीन घटक टॅबमधून तयार केलेल्या प्रत्येक घटकाची सामग्री, जिथे file प्रकल्प निर्देशिकेच्या संदर्भात स्थाने नमूद केली आहेत.मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - लिबेरो रिपोर्ट्स टॅबखालील घटक मॅनिफेस्ट अहवालांवर लक्ष केंद्रित करा:

  • जर तुम्ही स्मार्टडिझाइनमध्ये कोर इन्स्टंटिएट केले असतील, तर वाचा file _manifest.txt.
  • जर तुम्ही कोरसाठी घटक तयार केले असतील, तर वाचा _manifest.txt.

तुमच्या डिझाइनला लागू होणारे सर्व घटक मॅनिफेस्ट अहवाल तुम्ही वापरणे आवश्यक आहे. उदा.ampजर तुमच्या प्रोजेक्टमध्ये एक किंवा अधिक कोर घटक असलेले स्मार्टडिझाइन असेल आणि तुम्ही ते सर्व तुमच्या अंतिम डिझाइनमध्ये वापरण्याचा विचार करत असाल, तर तुम्हाला निवड करावी लागेल fileतुमच्या डिझाइन फ्लोमध्ये वापरण्यासाठी त्या सर्व घटकांच्या घटक मॅनिफेस्ट अहवालांमध्ये सूचीबद्ध केलेले.
२.३ अर्थ लावणे मॅनिफेस्ट Files (एक प्रश्न विचारा)
जेव्हा तुम्ही घटक मॅनिफेस्ट उघडता file, तुम्हाला मार्ग दिसतात fileतुमच्या Libero प्रोजेक्टमधील s आणि डिझाइन फ्लोमध्ये ते कुठे वापरायचे याचे पॉइंटर्स. तुम्हाला खालील प्रकारचे दिसू शकतात fileमॅनिफेस्टमध्ये आहे file:

  • एचडीएल स्रोत fileसर्व संश्लेषण आणि सिम्युलेशन साधनांसाठी
  • उत्तेजक fileसर्व सिम्युलेशन साधनांसाठी
  • बंधन files

पोलरफायर कोर घटकाचे घटक मॅनिफेस्ट खालीलप्रमाणे आहे.मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - घटक मॅनिफेस्टप्रत्येक प्रकारचे file तुमच्या डिझाइन प्रवाहात डाउनस्ट्रीम आवश्यक आहे. पुढील विभागांमध्ये एकत्रीकरणाचे वर्णन केले आहे fileमॅनिफेस्टमधून तुमच्या डिझाइन फ्लोमध्ये s.

बंधन निर्मिती (एक प्रश्न विचारा)

कॉन्फिगरेशन आणि जनरेशन करताना, SDC/PDC/NDC कंस्ट्रेंट लिहिण्याची/जनरेट करण्याची खात्री करा. fileडिझाइनसाठी त्यांना संश्लेषण, स्थान-आणि-मार्ग आणि पडताळणी वेळेच्या साधनांकडे पाठवण्यासाठी.
मॅन्युअली लिहिण्याऐवजी कंस्ट्रेंट्स निर्माण करण्यासाठी लिबेरो वातावरणाबाहेर डेरिव्ह कॉन्स्ट्रेंट्स युटिलिटी वापरा. ​​लिबेरो वातावरणाबाहेर डेरिव्ह कॉन्स्ट्रेंट युटिलिटी वापरण्यासाठी, तुम्ही हे करणे आवश्यक आहे:

  • पुरवठा वापरकर्ता HDL, घटक HDL आणि घटक SDC मर्यादा files
  • उच्च स्तरीय मॉड्यूल निर्दिष्ट करा
  • व्युत्पन्न मर्यादा कुठे निर्माण करायची ते स्थान निर्दिष्ट करा. files

SDC घटक मर्यादा खालील अंतर्गत उपलब्ध आहेत /घटक/काम/ / घटक कॉन्फिगरेशन आणि जनरेशन नंतर / निर्देशिका.
तुमच्या डिझाइनसाठी मर्यादा कशा निर्माण करायच्या याबद्दल अधिक माहितीसाठी, परिशिष्ट C—डेरिव्ह मर्यादा पहा.

तुमच्या डिझाइनचे संश्लेषण (एक प्रश्न विचारा)

कस्टम फ्लोच्या प्राथमिक वैशिष्ट्यांपैकी एक म्हणजे तुम्हाला तृतीय-पक्ष संश्लेषण वापरण्याची परवानगी देणे
लिबेरोच्या बाहेरील टूल. कस्टम फ्लो Synopsys SynplifyPro च्या वापरास समर्थन देते. तुमचे संश्लेषित करण्यासाठी
प्रकल्पासाठी, खालील प्रक्रिया वापरा:

  1. तुमच्या सिंथेसिस टूलमध्ये एक नवीन प्रोजेक्ट तयार करा, जो तुम्ही तयार केलेल्या लिबेरो प्रोजेक्टप्रमाणेच डिव्हाइस फॅमिली, डाय आणि पॅकेजला लक्ष्य करेल.
    अ. तुमचा स्वतःचा RTL आयात करा fileजसे तुम्ही नेहमी करता.
    b. सिंथेसिस आउटपुट स्ट्रक्चरल व्हेरिलॉग (.vm) वर सेट करा.
    टीप: स्ट्रक्चरल पोलरफायरमध्ये व्हेरिलॉग (.vm) हे एकमेव समर्थित संश्लेषण आउटपुट स्वरूप आहे.
  2. एचडीएल घटक आयात करा fileतुमच्या सिंथेसिस प्रकल्पात s:
    अ. प्रत्येक घटक मॅनिफेस्ट अहवालासाठी: प्रत्येकासाठी file एचडीएल स्रोताखाली fileसर्व संश्लेषण आणि सिम्युलेशन साधनांसाठी, आयात करा file तुमच्या संश्लेषण प्रकल्पात.
  3. आयात करा file polarfire_syn_comps.v (जर Synopsys Synplify वापरत असाल तर) कडून
    तुमच्या सिंथेसिस प्रोजेक्टमध्ये स्थान>/डेटा/aPA5M स्थापित करा.
  4. पूर्वी तयार केलेले SDC आयात करा file व्युत्पन्न प्रतिबंध साधनाद्वारे (परिशिष्ट पहा)
    अ—दample SDC Constraints) संश्लेषण साधनात. हे बंधन file कमी प्रयत्नात आणि कमी डिझाइन पुनरावृत्तीसह वेळेनुसार बंद होण्यास संश्लेषण साधनाला प्रतिबंधित करते.

मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन महत्त्वाचे: 

  • जर तुम्ही तेच *.sdc वापरण्याची योजना आखत असाल तर file डिझाइन अंमलबजावणी टप्प्यात प्लेस-अँड-रूट मर्यादित करण्यासाठी, तुम्हाला हे *.sdc संश्लेषण प्रकल्पात आयात करावे लागेल. हे सुनिश्चित करण्यासाठी आहे की संश्लेषित नेटलिस्टमध्ये डिझाइन ऑब्जेक्ट नाव जुळत नाही आणि डिझाइन प्रक्रियेच्या अंमलबजावणी टप्प्यात प्लेस-अँड-रूट मर्यादा नाहीत. जर तुम्ही हे *.sdc समाविष्ट केले नाही तर file सिंथेसिस स्टेपमध्ये, डिझाइन ऑब्जेक्टचे नाव जुळत नसल्यामुळे सिंथेसिसमधून तयार केलेली नेटलिस्ट प्लेस आणि रूट स्टेपमध्ये अपयशी ठरू शकते.
    a. नेटलिस्ट अॅट्रिब्यूट्स *.ndc, जर असतील तर, सिंथेसिस टूलमध्ये आयात करा.
    b. संश्लेषण चालवा.
  • तुमच्या सिंथेसिस टूल आउटपुटच्या स्थानावर *.vm नेटलिस्ट आहे file संश्लेषणानंतर तयार केलेले. डिझाइन प्रक्रिया सुरू ठेवण्यासाठी तुम्हाला नेटलिस्ट लिबेरो इम्प्लीमेंटेशन प्रोजेक्टमध्ये आयात करावी लागेल.

तुमच्या डिझाइनचे अनुकरण करणे (एक प्रश्न विचारा)

लिबेरोच्या बाहेर तुमचे डिझाइन सिम्युलेट करण्यासाठी (म्हणजेच, तुमचे स्वतःचे सिम्युलेशन वातावरण आणि सिम्युलेटर वापरून), खालील पायऱ्या करा:

  1. रचना Files:
    अ. प्री-सिंथेसिस सिम्युलेशन:
    • तुमच्या सिम्युलेशन प्रोजेक्टमध्ये तुमचा RTL आयात करा.
    • प्रत्येक घटक मॅनिफेस्ट अहवालासाठी.
    - प्रत्येक आयात करा file एचडीएल स्रोताखाली fileतुमच्या सिम्युलेशन प्रोजेक्टमध्ये सर्व सिंथेसिस आणि सिम्युलेशन टूल्ससाठी s.
    • हे संकलित करा fileतुमच्या सिम्युलेटरच्या सूचनांनुसार.
    b. संश्लेषणोत्तर अनुकरण:
    • तुमच्या सिम्युलेशन प्रोजेक्टमध्ये तुमची पोस्ट-सिंथेसिस *.vm नेटलिस्ट (सिंथेसायझिंग युवर डिझाइनमध्ये जनरेट केलेली) आयात करा आणि ती संकलित करा.
    c. पोस्ट-लेआउट सिम्युलेशन:
    • प्रथम, तुमच्या डिझाइनची अंमलबजावणी पूर्ण करा (तुमच्या डिझाइनची अंमलबजावणी पहा). तुमचा अंतिम लिबेरो प्रकल्प लेआउटनंतरच्या स्थितीत असल्याची खात्री करा.
    • Generate BackAnnotated वर डबल-क्लिक करा Fileलिबेरो डिझाइन फ्लो विंडोमध्ये s. ते दोन जनरेट करते files:
    /डिझायनर/ / _ba.v/vhd /डिझायनर/
    / _बा.एसडीएफ
    • हे दोन्ही आयात करा fileतुमच्या सिम्युलेशन टूलमध्ये.
  2. प्रेरणा आणि संरचना files:
    अ. प्रत्येक घटक मॅनिफेस्ट अहवालासाठी:
    • सर्व कॉपी करा fileउत्तेजनाखाली Fileतुमच्या सिम्युलेशन प्रोजेक्टच्या रूट डायरेक्टरीमधील सर्व सिम्युलेशन टूल्स विभागांसाठी s.
    b. खात्री करा की कोणताही Tcl fileमागील यादीतील (चरण २.अ मध्ये) सिम्युलेशन सुरू होण्यापूर्वी प्रथम अंमलात आणले जातात.
    c. UPROM.mem: जर तुम्ही तुमच्या डिझाइनमध्ये UPROM कोर वापरत असाल आणि तुम्हाला सिम्युलेट करायचे असलेल्या एका किंवा अधिक डेटा स्टोरेज क्लायंटसाठी Use content for simulation हा पर्याय सक्षम केला असेल, तर तुम्ही UPROM.mem जनरेट करण्यासाठी एक्झिक्युटेबल pa4rtupromgen (विंडोजवर pa4rtupromgen.exe) वापरणे आवश्यक आहे. file. pa4rtupromgen एक्झिक्युटेबल UPROM.cfg घेते file Tcl स्क्रिप्टद्वारे इनपुट म्हणून file आणि UPROM.mem आउटपुट करते file सिम्युलेशनसाठी आवश्यक. हे UPROM.mem file सिम्युलेशन रन करण्यापूर्वी सिम्युलेशन फोल्डरमध्ये कॉपी करणे आवश्यक आहे. एक माजीamppa4rtupromgen एक्झिक्युटेबल वापर दर्शविणारा le खालील चरणांमध्ये प्रदान केला आहे. UPROM.cfg file निर्देशिकेत उपलब्ध आहे. /घटक/काम/ / तुम्ही UPROM घटक जनरेट करण्यासाठी वापरलेल्या Libero प्रोजेक्टमध्ये.
    d. snvm.mem: जर तुम्ही तुमच्या डिझाइनमध्ये सिस्टम सर्व्हिसेस कोर वापरला असेल आणि तुम्हाला सिम्युलेट करायचे असलेल्या एक किंवा अधिक क्लायंटसाठी युज कंटेंट फॉर सिम्युलेशन हा पर्याय सक्षम करून कोरमध्ये sNVM टॅब कॉन्फिगर केला असेल, तर snvm.mem file स्वयंचलितपणे जनरेट केले जाते
    निर्देशिका /घटक/काम/ / तुम्ही सिस्टम सर्व्हिसेस घटक जनरेट करण्यासाठी वापरलेल्या लिबेरो प्रोजेक्टमध्ये. हे snvm.mem file सिम्युलेशन रन करण्यापूर्वी सिम्युलेशन फोल्डरमध्ये कॉपी करणे आवश्यक आहे.
  3. वर्किंग फोल्डर अंतर्गत एक वर्किंग फोल्डर आणि सिम्युलेशन नावाचा सब-फोल्डर तयार करा.
    pa4rtupromgen एक्झिक्युटेबलमध्ये कार्यरत फोल्डरमध्ये सिम्युलेशन सब फोल्डरची उपस्थिती अपेक्षित आहे आणि *.tcl स्क्रिप्ट सिम्युलेशन सब फोल्डरमध्ये ठेवली आहे.
  4. UPROM.cfg कॉपी करा. file घटक निर्मितीसाठी तयार केलेल्या पहिल्या लिबेरो प्रकल्पातून कार्यरत फोल्डरमध्ये.
  5. खालील कमांड *.tcl स्क्रिप्टमध्ये पेस्ट करा आणि ते चरण 3 मध्ये तयार केलेल्या सिम्युलेशन फोल्डरमध्ये ठेवा.
    SampURPOM.mem जनरेट करण्यासाठी PolarFire आणि PolarFire Soc Family डिव्हाइसेससाठी le *.tcl file
    UPROM.cfg कडून
    सेट_डिव्हाइस -फॅम -मरणे -पॅकेज
    सेट_इनपुट_सीएफजी -मार्ग
    सेट_सिम_मेम -मार्गFile/UPROM.mem>
    gen_sim -use_init खोटे
    डाय आणि पॅकेजसाठी योग्य अंतर्गत नाव वापरण्यासाठी, *.prjx पहा. file पहिल्या लिबेरो प्रकल्पाचे (घटक निर्मितीसाठी वापरलेले).
    use_init हा युक्तिवाद खोटा वर सेट करणे आवश्यक आहे.
    आउटपुटचा मार्ग निर्दिष्ट करण्यासाठी set_sim_mem कमांड वापरा. file UPROM.mem म्हणजे
    स्क्रिप्टच्या अंमलबजावणीवर निर्माण झाले file pa4rtupromgen एक्झिक्युटेबलसह.
  6. कमांड प्रॉम्प्ट किंवा सायग्विन टर्मिनलवर, स्टेप ३ मध्ये तयार केलेल्या वर्किंग डायरेक्टरीमध्ये जा.
    pa4rtupromgen कमांडला –script पर्यायासह कार्यान्वित करा आणि मागील चरणात तयार केलेली *.tcl स्क्रिप्ट त्यास द्या.
    विंडोजसाठी
    /डिझायनर/बिन/pa4rtupromgen.exe \
    –स्क्रिप्ट./सिम्युलेशन/ .टीसीएल
    लिनक्ससाठी:
    /बिन/pa4rtupromgen
    –स्क्रिप्ट./सिम्युलेशन/ .टीसीएल
  7. pa4rtupromgen एक्झिक्युटेबलच्या यशस्वी अंमलबजावणीनंतर, UPROM.mem तपासा file *.tcl स्क्रिप्टमधील set_sim_mem कमांडमध्ये निर्दिष्ट केलेल्या ठिकाणी जनरेट केले जाते.
  8. sNVM सिम्युलेट करण्यासाठी, snvm.mem कॉपी करा. file तुमच्या पहिल्या Libero प्रोजेक्टमधून (घटक कॉन्फिगरेशनसाठी वापरला जाणारा) सिम्युलेशन चालवण्यासाठी तुमच्या सिम्युलेशन प्रोजेक्टच्या टॉप लेव्हल सिम्युलेशन फोल्डरमध्ये (Libero SoC च्या बाहेर). UPROM कंटेंट सिम्युलेट करण्यासाठी, जनरेट केलेले UPROM.mem कॉपी करा. file सिम्युलेशन चालवण्यासाठी तुमच्या सिम्युलेशन प्रोजेक्टच्या टॉप लेव्हल सिम्युलेशन फोल्डरमध्ये (लिबेरो एसओसीच्या बाहेर).

मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन महत्वाचे: ते SoC घटकांची कार्यक्षमता सिम्युलेट करा, प्रीकंपाइल्ड पोलरफायर सिम्युलेशन लायब्ररी डाउनलोड करा आणि येथे वर्णन केल्याप्रमाणे तुमच्या सिम्युलेशन वातावरणात त्या आयात करा. अधिक माहितीसाठी, परिशिष्ट B—सिम्युलेशन वातावरणात सिम्युलेशन लायब्ररी आयात करणे पहा.

तुमची रचना अंमलात आणणे (एक प्रश्न विचारा)

तुमच्या वातावरणात सिंथेसिस आणि पोस्ट-सिंथेसिस सिम्युलेशन पूर्ण केल्यानंतर, तुम्हाला तुमचे डिझाइन भौतिकरित्या अंमलात आणण्यासाठी, वेळ चालविण्यासाठी आणि पॉवर विश्लेषण करण्यासाठी आणि तुमचे प्रोग्रामिंग तयार करण्यासाठी पुन्हा लिबेरो वापरणे आवश्यक आहे. file.

  1. डिझाइनच्या भौतिक अंमलबजावणी आणि लेआउटसाठी एक नवीन लिबेरो प्रोजेक्ट तयार करा. तुम्ही कंपोनेंट कॉन्फिगरेशनमध्ये तयार केलेल्या संदर्भ प्रोजेक्टमध्ये असलेल्या डिव्हाइसप्रमाणेच डिव्हाइस लक्ष्यित करण्याची खात्री करा.
  2. प्रोजेक्ट तयार केल्यानंतर, डिझाईन फ्लो विंडोमधील टूल चेनमधून सिंथेसिस काढून टाका (प्रोजेक्ट > प्रोजेक्ट सेटिंग्ज > डिझाईन फ्लो > सक्षम सिंथेसिस अनचेक करा).
  3.  तुमचे पोस्ट-सिंथेसिस *.vm आयात करा file या प्रकल्पात, (File > आयात > सिंथेसाइज्ड व्हेरिलॉग नेटलिस्ट (VM)).
    मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन १ टीप: तुम्ही याची लिंक तयार करावी अशी शिफारस केली जाते file, जेणेकरून जर तुम्ही तुमच्या डिझाइनचे पुनर्संश्लेषण केले तर लिबेरो नेहमीच नवीनतम पोस्ट-सिंथेसिस नेटलिस्ट वापरते.
    अ. डिझाईन हायरार्की विंडोमध्ये, रूट मॉड्यूलचे नाव लक्षात ठेवा.मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - डिझाइन पदानुक्रम
  4. लिबेरो प्रोजेक्टमध्ये कंस्ट्रेंट्स इंपोर्ट करा. *.pdc/*.sdc/*.ndc कंस्ट्रेंट्स इंपोर्ट करण्यासाठी कंस्ट्रेंट मॅनेजर वापरा.
    a. आयात I/O *.pdc मर्यादा files (कंस्ट्रेंट्स मॅनेजर > I/O अॅट्रिब्यूट्स >इम्पोर्ट).
    b. आयात फ्लोअरप्लॅनिंग *.pdc मर्यादा files (कंस्ट्रेंट्स मॅनेजर > फ्लोअर प्लॅनर >इम्पोर्ट).
    c. आयात *.sdc वेळेची मर्यादा files (कंस्ट्रेंट्स मॅनेजर > टायमिंग > आयात). जर तुमच्या डिझाइनमध्ये ओव्हर मध्ये सूचीबद्ध केलेले कोणतेही कोर असतील तरview, SDC आयात करण्याची खात्री करा file डेरिव्ह कंस्ट्रेंट टूलद्वारे व्युत्पन्न केले.
    d. आयात *.ndc मर्यादा files (कंस्ट्रेंट्स मॅनेजर > नेटलिस्ट अॅट्रिब्यूट्स > इंपोर्ट).
  5. सहयोगी मर्यादा Fileसाधने डिझाइन करण्यासाठी.
    a. कंस्ट्रेंट मॅनेजर उघडा (कंस्ट्रेंट्स व्यवस्थापित करा > कंस्ट्रेंट्स व्यवस्थापित करा उघडा) View).
    मर्यादा शेजारील ठिकाण-आणि-मार्ग आणि वेळ पडताळणी चेक बॉक्स तपासा. file बंधन स्थापित करणे file आणि टूल असोसिएशन. *.pdc कंस्ट्रेंटला प्लेस-अँडराउट आणि *.sdc ला प्लेस-अँडराउट आणि टाइमिंग व्हेरिफिकेशन दोन्हीशी जोडा. *.ndc ला जोडा. file नेटलिस्ट संकलित करण्यासाठी.
    मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन १ टीप: जर या *.sdc कंस्ट्रेंटसह प्लेस आणि रूट अयशस्वी होतात. file, नंतर हेच *.sdc आयात करा file संश्लेषण करण्यासाठी आणि संश्लेषण पुन्हा चालविण्यासाठी.
  6. लेआउट स्टेप पूर्ण करण्यासाठी कंपाइल नेटलिस्ट आणि नंतर प्लेस अँड रूट वर क्लिक करा.
  7. कॉन्फिगर डिझाइन इनिशियलायझेशन डेटा अँड मेमरीज टूल तुम्हाला नॉनव्होलॅटाइल µPROM, sNVM किंवा बाह्य SPI फ्लॅश स्टोरेज मेमरीमध्ये साठवलेल्या डेटाचा वापर करून LSRAM, µSRAM, XCVR (ट्रान्सीव्हर्स) आणि PCIe सारखे डिझाइन ब्लॉक्स इनिशियलाइज करण्याची परवानगी देते. डिझाइन इनिशियलायझेशन सीक्वेन्सचे स्पेसिफिकेशन, इनिशियलायझेशन क्लायंटचे स्पेसिफिकेशन, युजर डेटा क्लायंट परिभाषित करण्यासाठी टूलमध्ये खालील टॅब आहेत.
    - डिझाइन इनिशिएलायझेशन टॅब
    – µPROM टॅब
    - sNVM टॅब
    - एसपीआय फ्लॅश टॅब
    - फॅब्रिक रॅम टॅब
    डिझाइन इनिशिएलायझेशन डेटा आणि मेमरी कॉन्फिगर करण्यासाठी टूलमधील टॅब वापरा.मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - डेटा आणि मेमरीजकॉन्फिगरेशन पूर्ण केल्यानंतर, इनिशिएलायझेशन डेटा प्रोग्राम करण्यासाठी खालील चरणे करा:
    • इनिशिएलायझेशन क्लायंट जनरेट करा
    • बिटस्ट्रीम तयार करा किंवा निर्यात करा
    • डिव्हाइस प्रोग्राम करा
    हे टूल कसे वापरायचे याबद्दल तपशीलवार माहितीसाठी, Libero SoC डिझाइन फ्लो वापरकर्ता मार्गदर्शक पहा. टूलमधील विविध टॅब कॉन्फिगर करण्यासाठी आणि मेमरी कॉन्फिगरेशन निर्दिष्ट करण्यासाठी वापरल्या जाणाऱ्या Tcl कमांडबद्दल अधिक माहितीसाठी. files (*.cfg), पहा Tcl कमांड संदर्भ मार्गदर्शक.
  8. प्रोग्रामिंग तयार करा File या प्रकल्पातून मिळवा आणि तुमचा FPGA प्रोग्राम करण्यासाठी त्याचा वापर करा.

परिशिष्ट अ—दample SDC मर्यादा (एक प्रश्न विचारा

लिबेरो एसओसी काही विशिष्ट आयपी कोरसाठी, जसे की सीसीसी, ओएससी, ट्रान्सीव्हर इत्यादींसाठी एसडीसी वेळेचे बंधन निर्माण करते. एसडीसी मर्यादा डिझाइन टूल्समध्ये पास केल्याने कमी प्रयत्नात आणि कमी डिझाइन पुनरावृत्तीसह वेळेचे बंधन पूर्ण होण्याची शक्यता वाढते. मर्यादांमध्ये संदर्भित सर्व डिझाइन ऑब्जेक्ट्ससाठी टॉप-लेव्हल इंस्टन्समधून संपूर्ण श्रेणीबद्ध मार्ग दिला जातो.
७.१ एसडीसी वेळेचे निर्बंध (एक प्रश्न विचारा)
लिबेरो आयपी कोर संदर्भ प्रकल्पात, हे उच्च-स्तरीय एसडीसी बंधन file कंस्ट्रेंट मॅनेजर (डिझाइन फ्लो > ओपन मॅनेज कॉन्स्ट्रेंट) कडून उपलब्ध आहे. View >वेळ > व्युत्पन्न मर्यादा).
मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो - आयकॉन महत्वाचे: पहा हे file जर तुमच्या डिझाइनमध्ये CCC, OSC, ट्रान्सीव्हर आणि इतर घटक असतील तर SDC मर्यादा सेट करण्यासाठी. तुमच्या डिझाइन पदानुक्रमाशी जुळण्यासाठी आवश्यक असल्यास, संपूर्ण श्रेणीबद्ध मार्ग सुधारित करा किंवा घटक स्तरावर SDC वर Derive_Constraints उपयुक्तता आणि परिशिष्ट C—Derive Constraints मधील चरण वापरा. file.
जतन करा file वेगळ्या नावाने आणि SDC आयात करा file इतर कोणत्याही SDC बंधनाप्रमाणे, संश्लेषण साधन, ठिकाण-आणि-मार्ग साधन आणि वेळेची पडताळणी करण्यासाठी files.
७.१.१ व्युत्पन्न एसडीसी File (एक प्रश्न विचारा)
# हे file खालील SDC स्रोताच्या आधारे तयार केले गेले files:
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
ट्रान्समिट_पीएलएल/ट्रान्समिट_पीएलएल_0/ट्रान्समिट_पीएलएल_ट्रान्समिट_पीएलएल_0_पीएफ_टीएक्स_पीएलएल.एसडीसी
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
डीएमए_इनिशिएटर/डीएमए_इनिशिएटर_०/डीएमए_इनिशिएटर.एसडीसी
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
आयसीआयसीएलई_एमएसएस/आयसीआयसीएलई_एमएसएस.एसडीसी
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /ड्राइव्ह/आयसिकल_किट_रेफ_डेस/आयसिकल-किट-संदर्भ-डिझाइन-मास्टर/एमपीएफएस_आयसिकल/घटक/कार्य/
पीसीआयई_इनिटिएटर/पीसीआयई_इनिटिएटर_०/पीसीआयई_इनिटिएटर.एसडीसी
# /ड्राइव्ह/aPA5M/कोर/कंस्ट्रेंट्स/osc_rc160mhz.sdc
# *** यामध्ये काही बदल असल्यास file जर व्युत्पन्न मर्यादा पुन्हा चालवल्या तर त्या गमावल्या जातील. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} - कालावधी 6.25
[ पिन मिळवा { घड्याळे आणि पुनर्संचयित करा_इंस्ट_०/OSCILLATOR_१६०MHz_इंस्ट_०/OSCILLATOR_१६०MHz_०/
I_OSC_160/CLK } ] घड्याळ तयार करा -नाव {REF_CLK_PAD_P} -कालावधी १० [पोर्ट मिळवा {REF_CLK_PAD_P } ] घड्याळ तयार करा -नाव {CLOCKS_AND_RESETS_inst_10/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - कालावधी ८
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -नाव { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -२५ ने गुणाकार करा -३२ ने भागाकार करा -स्त्रोत
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -फेज ०
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -नाव { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -२५ ने गुणाकार करा -३२ ने भागाकार करा -स्त्रोत
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -फेज ०
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -नाव { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -२५ ने गुणाकार करा -३२ ने भागाकार करा -स्त्रोत
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -फेज ०
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -नाव { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -२५ ने गुणाकार करा -३२ ने भागाकार करा -स्त्रोत
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -फेज ०
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -नाव { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -२ ने_विभाजित करा -स्त्रोत
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -ते [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -ते [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ] परिशिष्ट ब—सिम्युलेशन पर्यावरणात सिम्युलेशन लायब्ररी आयात करणे (एक प्रश्न विचारा)
लिबेरो एसओसीसह आरटीएल सिम्युलेशनसाठी डीफॉल्ट सिम्युलेटर मॉडेलसिम एमई प्रो आहे.
डिफॉल्ट सिम्युलेटरसाठी प्री-कंपाइल्ड लायब्ररी डायरेक्टरीमध्ये लिबेरो इंस्टॉलेशनसह उपलब्ध आहेत. /Designer/lib/modelsimpro/precompiled/vlog for® समर्थित कुटुंबे. Libero SoC मॉडेलसिम, क्वेस्टासिम, व्हीसीएस, एक्ससेलियमच्या इतर तृतीय-पक्ष सिम्युलेटर आवृत्त्यांना देखील समर्थन देते.
, सक्रिय HDL, आणि रिव्हिएरा प्रो. संबंधित पूर्व-संकलित लायब्ररी येथून डाउनलोड करा Libero SoC v12.0 आणि नंतरचे सिम्युलेटर आणि त्याच्या आवृत्तीवर आधारित.
लिबेरो वातावरणासारखेच, run.do file लिबेरोच्या बाहेर सिम्युलेशन चालविण्यासाठी तयार केले पाहिजे.
एक साधा run.do तयार करा file ज्यामध्ये संकलन निकाल, लायब्ररी मॅपिंग, संकलन आणि सिम्युलेशनसाठी लायब्ररी स्थापित करण्यासाठी कमांड आहेत. मूलभूत run.do तयार करण्यासाठी चरणांचे अनुसरण करा. file.

  1. vlib कमांड vlib presynth वापरून संकलन परिणाम संग्रहित करण्यासाठी लॉजिकल लायब्ररी तयार करा.
  2. vmap कमांड वापरून लॉजिकल लायब्ररीचे नाव प्री-कंपाइल्ड लायब्ररी डायरेक्टरीमध्ये मॅप करा. .
  3. स्रोत संकलित करा files—डिझाइन संकलित करण्यासाठी भाषा-विशिष्ट कंपाइलर कमांड वापरा. fileकार्यरत निर्देशिकेत s.
    – .v/.sv साठी व्लॉग
    – .vhd साठी vcom
  4. कोणत्याही टॉप-लेव्हल मॉड्यूलचे नाव निर्दिष्ट करून vsim कमांड वापरून सिम्युलेशनसाठी डिझाइन लोड करा.
  5. रन कमांड वापरून डिझाइनचे अनुकरण करा.
    डिझाइन लोड केल्यानंतर, सिम्युलेशन वेळ शून्यावर सेट केला जातो आणि तुम्ही सिम्युलेशन सुरू करण्यासाठी रन कमांड एंटर करू शकता.
    सिम्युलेटर ट्रान्सक्रिप्ट विंडोमध्ये, run.do कार्यान्वित करा. file म्हणून run.do सिम्युलेशन चालवा. Sample run.do file खालीलप्रमाणे.

जर ACTELLIBNAME PolarFire शांतपणे सेट करा तर PROJECT_DIR “W:/Test/basic_test” शांतपणे सेट करा.
{[file presynth/_info]} { echo “INFO: सिम्युलेशन लायब्ररी presynth अस्तित्वात आहे” } अन्यथा
{ file डिलीट -फोर्स प्रेसिंथ व्हीलिब प्रेसिंथ } व्हीमॅप प्रेसिंथ प्रेसिंथ व्हीमॅप पोलरफायर
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” व्हीलॉग “+incdir+${PROJECT_DIR}/stimulus” -sv -वर्क प्रीसिंथ “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb वेव्ह जोडा /tb/*
१०००ns लॉग /tb/* एक्झिट चालवा

परिशिष्ट क—व्युत्पन्न मर्यादा (एक प्रश्न विचारा)

हे परिशिष्ट Derive Constraints Tcl कमांडचे वर्णन करते.
९.१ मर्यादा Tcl आदेश मिळवा (एक प्रश्न विचारा)
derive_constraints युटिलिटी तुम्हाला Libero SoC डिझाइन वातावरणाबाहेरील RTL किंवा कॉन्फिगरेटरमधून कंस्ट्रेंट्स मिळविण्यास मदत करते. तुमच्या डिझाइनसाठी कंस्ट्रेंट्स निर्माण करण्यासाठी, तुम्हाला User HDL, Component HDL आणि Component Constraints ची आवश्यकता आहे. fileएस. एसडीसी घटक मर्यादा fileच्या अंतर्गत उपलब्ध आहेत /घटक/काम/ / / घटक कॉन्फिगरेशन आणि जनरेशन नंतर निर्देशिका.
प्रत्येक घटकाची मर्यादा file यामध्ये set_component tcl कमांड (घटकाचे नाव निर्दिष्ट करते) आणि कॉन्फिगरेशननंतर निर्माण होणाऱ्या मर्यादांची यादी असते. मर्यादा कॉन्फिगरेशनवर आधारित निर्माण केल्या जातात आणि प्रत्येक घटकासाठी विशिष्ट असतात.
Exampले ९-१. घटक मर्यादा File PF_CCC कोरसाठी
येथे एक माजी आहेampघटक मर्यादा file PF_CCC कोरसाठी:
घटक सेट करा PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# मायक्रोचिप कॉर्प.
# तारीख: २०२१-ऑक्टोबर-२६ ०४:३६:००
# PLL #0 साठी बेस क्लॉक
घड्याळ तयार करा - कालावधी १० [ पिन मिळवा { pll_inst_10/REF_CLK_0 } ] घड्याळ तयार करा - १ द्वारे विभाजित करा - स्रोत [ पिन मिळवा { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { plll_inst_0/OUT0 } ] येथे, create_clock आणि create_generated_clock हे अनुक्रमे संदर्भ आणि आउटपुट घड्याळ मर्यादा आहेत, जे कॉन्फिगरेशनच्या आधारे तयार केले जातात.
९.१.१ derive_constraints युटिलिटीसह काम करणे (एक प्रश्न विचारा)
डिझाइनमधून निर्बंध मिळवा आणि पूर्वी प्रदान केलेल्या घटक SDC वर आधारित घटकाच्या प्रत्येक घटकासाठी नवीन निर्बंध वाटप करा. files. CCC संदर्भ घड्याळांसाठी, ते संदर्भ घड्याळाचा स्रोत शोधण्यासाठी डिझाइनमधून परत प्रसारित होते. जर स्रोत I/O असेल, तर संदर्भ घड्याळ मर्यादा I/O वर सेट केली जाईल. जर ते CCC आउटपुट किंवा दुसरा घड्याळ स्रोत असेल (उदा.ampले, ट्रान्सीव्हर, ऑसिलेटर), ते दुसऱ्या घटकातील घड्याळ वापरते आणि जर मध्यांतर जुळत नसेल तर चेतावणी देते. जर तुमच्या RTL मध्ये ऑन-चिप ऑसिलेटर असतील तर डेरिव्ह कंस्ट्रेंट्स काही मॅक्रोसाठी कंस्ट्रेंट्स देखील वाटप करेल.
derive_constraints युटिलिटी कार्यान्वित करण्यासाठी, तुम्हाला .tcl पुरवावे लागेल file निर्दिष्ट क्रमाने खालील माहितीसह कमांड-लाइन वितर्क.

  1. set_device विभागातील माहिती वापरून डिव्हाइस माहिती निर्दिष्ट करा.
  2. RTL चा मार्ग निर्दिष्ट करा. fileread_verilog किंवा read_vhdl विभागातील माहिती वापरत आहे.
  3. set_top_level विभागातील माहिती वापरून टॉप लेव्हल मॉड्यूल सेट करा.
  4. घटक SDC चा मार्ग निर्दिष्ट करा fileread_sdc किंवा read_ndc विभागातील माहिती वापरत आहे.
  5. कार्यान्वित करा filederive_constraints विभागातील माहिती वापरून.
  6.  SDC व्युत्पन्न मर्यादांसाठी मार्ग निर्दिष्ट करा file write_sdc किंवा write_pdc किंवा write_ndc या विभागातील माहिती वापरून.

Example 9-2. derive.tcl ची अंमलबजावणी आणि सामग्री File
खालील एक माजी आहेampderive_constraints युटिलिटी कार्यान्वित करण्यासाठी कमांड-लाइन युक्तिवाद.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl मधील सामग्री file:
# डिव्हाइस माहिती
सेट_डिव्हाइस - फॅमिली पोलरफायर -डाय MPF100T -स्पीड -1
# आरटीएल files
read_verilog -मोड system_verilog प्रकल्प/घटक/कार्य/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v द्वारे
रीड_व्हेरिलोग -मोड सिस्टम_व्हेरिलोग {प्रकल्प/घटक/कार्य/txpll0/txpll0.v}
रीड_व्हेरिलोग -मोड सिस्टम_व्हेरिलोग {प्रकल्प/घटक/कार्य/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
रीड_व्हेरिलोग -मोड सिस्टम_व्हेरिलोग {प्रकल्प/घटक/कार्य/xcvr0/xcvr0.v}
रीड_व्हीएचडीएल -मोड व्हीएचडीएल_२००८ {प्रोजेक्ट/एचडीएल/एक्ससीव्हीआर१.व्हीएचडी}
#घटक एसडीसी files
सेट_टॉप_लेव्हल {xcvr1}
read_sdc -घटक {प्रकल्प/घटक/कार्य/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -घटक {प्रकल्प/घटक/कार्य/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint कमांड वापरा.
डेरिव्ह_कॉन्स्ट्रेंट्स
#SDC/PDC/NDC निकाल files
लिहा_एसडीसी {प्रकल्प/मर्यादा/xcvr1_derived_कंस्ट्रॅन्ट्स.एसडीसी}
लिहा_पीडीसी {प्रकल्प/मर्यादा/एफपी/एक्ससीव्हीआर१_डेरिव्हेड_कॉन्स्ट्रेंट्स.पीडीसी}
९.१.२ डिव्हाइस सेट करा (एक प्रश्न विचारा)
वर्णन
कुटुंबाचे नाव, मृत्यूचे नाव आणि गती श्रेणी निर्दिष्ट करा.
सेट_डिव्हाइस - कुटुंब -मरणे -वेग
युक्तिवाद

पॅरामीटर प्रकार वर्णन
- कुटुंब स्ट्रिंग कुटुंबाचे नाव निर्दिष्ट करा. संभाव्य मूल्ये PolarFire®, PolarFire SoC आहेत.
-मरणे स्ट्रिंग फासेचे नाव सांगा.
-वेग स्ट्रिंग डिव्हाइस स्पीड ग्रेड निर्दिष्ट करा. संभाव्य मूल्ये STD किंवा -1 आहेत.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 आवश्यक पॅरामीटर—डाय गहाळ आहे डाय पर्याय अनिवार्य आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.
ERR0005 'MPF30' नावाचा अज्ञात क्रमांक -die पर्यायाची व्हॅल्यू बरोबर नाही. पर्यायाच्या वर्णनात संभाव्य व्हॅल्यूजची यादी पहा.
ERR0023 पॅरामीटर—डाय मध्ये मूल्य गहाळ आहे डाई पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.
ERR0023 आवश्यक पॅरामीटर—कुटुंब गहाळ आहे कुटुंब पर्याय अनिवार्य आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.
ERR0004 'पोलरफायर®' नावाचे अज्ञात कुटुंब कुटुंब पर्याय बरोबर नाही. पर्यायाच्या वर्णनात मूल्यांची संभाव्य यादी पहा.
………… पुढे चालू ठेवले
त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 पॅरामीटर—कुटुंबाचे मूल्य गहाळ आहे कुटुंब पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.
ERR0023 आवश्यक पॅरामीटर—वेग गहाळ आहे गती पर्याय अनिवार्य आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.
ERR0007 अज्ञात वेग ' ' गती पर्याय बरोबर नाही. पर्यायाच्या वर्णनात मूल्यांची संभाव्य यादी पहा.
ERR0023 पॅरामीटर—वेगाचे मूल्य गहाळ आहे गती पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.

Example
सेट_डिव्हाइस -फॅमिली {पोलरफायर} -डाय {MPF300T_ES} -स्पीड -1
सेट_डिव्हाइस - फॅमिली स्मार्टफ्यूजन २ -डाय M2S2T -स्पीड -१
९.१.३ रीड_व्हेरिलोग (एक प्रश्न विचारा)
वर्णन
व्हेरिलोग वाचा file व्हेरिफिक वापरून.
रीड_व्हेरिलोग [-लिब ] [-मोड ]fileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
-लिब स्ट्रिंग लायब्ररीमध्ये जोडायचे मॉड्यूल असलेली लायब्ररी निर्दिष्ट करा.
-मोड स्ट्रिंग व्हेरिलॉग मानक निर्दिष्ट करा. संभाव्य मूल्ये verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu आहेत. मूल्ये केस असंवेदनशील आहेत. डीफॉल्ट verilog_2k आहे.
fileनाव स्ट्रिंग व्हेरिलॉग file नाव
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 पॅरामीटर—lib मध्ये मूल्य गहाळ आहे lib पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.
ERR0023 पॅरामीटर—मोडमध्ये मूल्य गहाळ आहे मोड पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.
ERR0015 अज्ञात मोड ' ' निर्दिष्ट केलेला व्हेरिलॉग मोड अज्ञात आहे. संभाव्य व्हेरिलॉग मोड इन—मोड पर्याय वर्णनाची यादी पहा.
ERR0023 आवश्यक पॅरामीटर file नाव गहाळ आहे. व्हेरिलॉग नाही file मार्ग प्रदान केला आहे.
ERR0016 व्हेरिफिकच्या पार्सरमुळे अयशस्वी झाले. व्हेरिलॉगमध्ये वाक्यरचना त्रुटी file. त्रुटी संदेशाच्या वरच्या कन्सोलमध्ये व्हेरिफिकचा पार्सर पाहता येतो.
ERR0012 set_device ला कॉल केलेले नाही. डिव्हाइसची माहिती निर्दिष्ट केलेली नाही. डिव्हाइसचे वर्णन करण्यासाठी set_device कमांड वापरा.

Example
रीड_व्हेरिलोग -मोड सिस्टम_व्हेरिलोग {घटक/कार्य/टॉप/टॉप.व्ही}
read_verilog -मोड system_verilog_mfcu design.v
९.१.४ रीड_व्हीएचडीएल (एक प्रश्न विचारा)
वर्णन
VHDL जोडा file VHDL च्या यादीत files.
read_vhdl [-lib ] [-मोड ]fileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
-लिब ज्या लायब्ररीमध्ये सामग्री जोडायची आहे ती निर्दिष्ट करा.
-मोड VHDL मानक निर्दिष्ट करते. डीफॉल्ट VHDL_93 आहे. संभाव्य मूल्ये vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl आहेत. मूल्ये केस असंवेदनशील आहेत.
fileनाव VHDL file नाव
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 पॅरामीटर—lib मध्ये मूल्य गहाळ आहे lib पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.
ERR0023 पॅरामीटर—मोडमध्ये मूल्य गहाळ आहे मोड पर्याय मूल्याशिवाय निर्दिष्ट केला आहे.
ERR0018 अज्ञात मोड ' ' निर्दिष्ट केलेला VHDL मोड अज्ञात आहे. शक्य VHDL मोड इन—मोड पर्याय वर्णनाची यादी पहा.
ERR0023 आवश्यक पॅरामीटर file नाव गहाळ आहे. VHDL नाही file मार्ग प्रदान केला आहे.
ERR0019 invalid_path.v नोंदणी करू शकत नाही. file निर्दिष्ट VHDL file अस्तित्वात नाही किंवा वाचण्याची परवानगी नाही.
ERR0012 set_device ला कॉल केलेले नाही. डिव्हाइसची माहिती निर्दिष्ट केलेली नाही. डिव्हाइसचे वर्णन करण्यासाठी set_device कमांड वापरा.

Example
read_vhdl -मोड vhdl_2008 osc2dfn.vhd
रीड_व्हीएचडीएल {एचडीएल/टॉप.व्हीएचडी}
९.१.५ सेट_टॉप_लेव्हल (एक प्रश्न विचारा)
वर्णन
RTL मध्ये उच्च-स्तरीय मॉड्यूलचे नाव निर्दिष्ट करा.
सेट_टॉप_लेव्हल [-लिब ]
युक्तिवाद

पॅरामीटर प्रकार वर्णन
-लिब स्ट्रिंग उच्च-स्तरीय मॉड्यूल किंवा अस्तित्व शोधण्यासाठी लायब्ररी (पर्यायी).
नाव स्ट्रिंग उच्च-स्तरीय मॉड्यूल किंवा अस्तित्वाचे नाव.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 आवश्यक पॅरामीटर टॉप लेव्हल गहाळ आहे. उच्च पातळीचा पर्याय अनिवार्य आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.
ERR0023 पॅरामीटर—lib मध्ये मूल्य गहाळ आहे lib पर्याय व्हॅल्यूजशिवाय निर्दिष्ट केला आहे.
ERR0014 वरचा स्तर सापडला नाही. ग्रंथालयात दिलेल्या लायब्ररीमध्ये निर्दिष्ट केलेले उच्च-स्तरीय मॉड्यूल परिभाषित केलेले नाही. ही त्रुटी दुरुस्त करण्यासाठी, शीर्ष मॉड्यूल किंवा लायब्ररीचे नाव दुरुस्त करणे आवश्यक आहे.
ERR0017 विस्तृत करणे अयशस्वी झाले RTL विस्तार प्रक्रियेत त्रुटी. कन्सोलवरून त्रुटी संदेश पाहता येतो.

Example
सेट_टॉप_लेव्हल {टॉप}
set_top_level -lib hdl टॉप
९.१.६ read_sdc (प्रश्न विचारा)
वर्णन
एसडीसी वाचा file घटक डेटाबेसमध्ये.
read_sdc -घटकfileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
-घटक जेव्हा आपण कंस्ट्रेंट्स मिळवतो तेव्हा read_sdc कमांडसाठी हा अनिवार्य फ्लॅग आहे.
fileनाव स्ट्रिंग एसडीसीकडे जाणारा मार्ग file.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 आवश्यक पॅरामीटर file नाव गहाळ आहे. अनिवार्य पर्याय file नाव निर्दिष्ट केलेले नाही.
ERR0000 SDC file <file_path> वाचता येत नाही. निर्दिष्ट SDC file वाचण्याची परवानगी नाही.
ERR0001 उघडता येत नाही.file_पथ> file. एसडीसी file अस्तित्वात नाही. मार्ग दुरुस्त केला पाहिजे.
ERR0008 मध्ये set_component कमांड गहाळ आहे.file_पथ> file SDC चा निर्दिष्ट घटक file घटक निर्दिष्ट करत नाही.
त्रुटी कोड त्रुटी संदेश वर्णन
ERR0009 <List of errors from sdc file> एसडीसी file चुकीचे sdc आदेश आहेत. उदा.ampले,

जेव्हा set_multicycle_path constraint मध्ये एरर येते: read_sdc कमांड कार्यान्वित करताना एरर: infile_पथ> file: set_multicycle_path कमांडमध्ये त्रुटी: अज्ञात पॅरामीटर [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
९.१.७ read_ndc (प्रश्न विचारा)
वर्णन
एनडीसी वाचा file घटक डेटाबेसमध्ये.
read_ndc -घटकfileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
-घटक जेव्हा आपण कंस्ट्रेंट्स मिळवतो तेव्हा read_ndc कमांडसाठी हा अनिवार्य फ्लॅग आहे.
fileनाव स्ट्रिंग एनडीसीकडे जाणारा मार्ग file.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0001 उघडता येत नाही.file_पथ> file एनडीसी file अस्तित्वात नाही. मार्ग दुरुस्त केला पाहिजे.
ERR0023 आवश्यक पॅरामीटर—AtclParamO_ गहाळ आहे. अनिवार्य पर्याय fileनाव निर्दिष्ट केलेले नाही.
ERR0023 आवश्यक पॅरामीटर—घटक गहाळ आहे. घटक पर्याय अनिवार्य आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.
ERR0000 एनडीसी file 'file'_path>' वाचता येत नाही. निर्दिष्ट एनडीसी file वाचण्याची परवानगी नाही.

Example
read_ndc -घटक {घटक/कार्य/ccc1/ccc1_0/ccc_comp.ndc}
९.१.८ derive_constraints (प्रश्न विचारा)
वर्णन
घटक SDC त्वरित करा fileडिझाइन-स्तरीय डेटाबेसमध्ये s.
डेरिव्ह_कॉन्स्ट्रेंट्स
युक्तिवाद

परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0013 उच्च-स्तरीय परिभाषित केलेले नाही याचा अर्थ असा की उच्च-स्तरीय मॉड्यूल किंवा अस्तित्व निर्दिष्ट केलेले नाही. हा कॉल दुरुस्त करण्यासाठी, जारी करा
derive_constraints कमांडच्या आधी set_top_level कमांड.

Example
डेरिव्ह_कॉन्स्ट्रेंट्स
९.१.९ write_sdc (प्रश्न विचारा)
वर्णन
एक बंधन लिहितो file SDC स्वरूपात.
लिहा_एसडीसीfileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
<fileनाव> स्ट्रिंग एसडीसीकडे जाणारा मार्ग file जनरेट केले जाईल. हा एक अनिवार्य पर्याय आहे. जर file अस्तित्वात असेल, तर ते अधिलिखित केले जाईल.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0003 उघडता येत नाही.file मार्ग> file. File मार्ग बरोबर नाही. मूळ निर्देशिका अस्तित्वात आहेत का ते तपासा.
ERR0002 SDC file 'file 'path>' लिहिता येत नाही. निर्दिष्ट SDC file लिहिण्याची परवानगी नाही.
ERR0023 आवश्यक पॅरामीटर file नाव गहाळ आहे. एसडीसी file मार्ग हा एक अनिवार्य पर्याय आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.

Example
write_sdc “derived.sdc”
९.१.१० write_pdc (प्रश्न विचारा)
वर्णन
भौतिक बंधने लिहिते (केवळ व्युत्पन्न बंधने).
लिहा_पीडीसीfileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
<fileनाव> स्ट्रिंग पीडीसीकडे जाणारा मार्ग file जनरेट केले जाईल. हा एक अनिवार्य पर्याय आहे. जर file मार्ग अस्तित्वात आहे, तर तो अधिलिखित केला जाईल.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0003 उघडता येत नाही.file मार्ग> file द file मार्ग बरोबर नाही. मूळ निर्देशिका अस्तित्वात आहेत का ते तपासा.
ERR0002 PDC file 'file 'path>' लिहिता येत नाही. निर्दिष्ट पीडीसी file लिहिण्याची परवानगी नाही.
ERR0023 आवश्यक पॅरामीटर file नाव गहाळ आहे. पीडीसी file मार्ग हा एक अनिवार्य पर्याय आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.

Example
write_pdc “derived.pdc”
९.१.११ write_ndc (प्रश्न विचारा)
वर्णन
NDC मर्यादा a मध्ये लिहितो file.
लिहा_एनडीसीfileनाव>
युक्तिवाद

पॅरामीटर प्रकार वर्णन
fileनाव स्ट्रिंग एनडीसीकडे जाणारा मार्ग file जनरेट केले जाईल. हा एक अनिवार्य पर्याय आहे. जर file अस्तित्वात असेल, तर ते अधिलिखित केले जाईल.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0003 उघडता येत नाही.file_पथ> file. File मार्ग बरोबर नाही. मूळ निर्देशिका अस्तित्वात नाहीत.
ERR0002 एनडीसी file 'file'_path>' लिहिण्यायोग्य नाही. निर्दिष्ट एनडीसी file लिहिण्याची परवानगी नाही.
ERR0023 आवश्यक असलेले पॅरामीटर _AtclParamO_ गहाळ आहे. एनडीसी file मार्ग हा एक अनिवार्य पर्याय आहे आणि तो निर्दिष्ट करणे आवश्यक आहे.

Example
write_ndc “derived.ndc”
९.१.१२ add_include_path (प्रश्न विचारा)
वर्णन
शोधण्यासाठी मार्ग निर्दिष्ट करते ज्यामध्ये समाविष्ट आहे fileRTL वाचताना files.
समाविष्ट_मार्ग जोडा
युक्तिवाद

पॅरामीटर प्रकार वर्णन
निर्देशिका स्ट्रिंग शोधण्यासाठी मार्ग निर्दिष्ट करते ज्यामध्ये समाविष्ट आहे fileRTL वाचताना files. हा पर्याय अनिवार्य आहे.
परतीचा प्रकार वर्णन
0 आदेश यशस्वी झाला.
परतीचा प्रकार वर्णन
1 कमांड अयशस्वी झाला. एक एरर आहे. तुम्ही कन्सोलमध्ये एरर मेसेज पाहू शकता.

त्रुटींची यादी

त्रुटी कोड त्रुटी संदेश वर्णन
ERR0023 आवश्यक पॅरामीटर इनक्लुड पाथ गहाळ आहे. निर्देशिका पर्याय अनिवार्य आहे आणि तो प्रदान करणे आवश्यक आहे.

टीप: जर जर डायरेक्टरी पाथ बरोबर नसेल, तर add_include_path त्रुटीशिवाय पास होईल.
तथापि, Verific च्या पार्सरमुळे read_verilog/read_vhd कमांड अयशस्वी होतील.
Example
घटक/कार्य/COREABC0/COREABC0_0/rtl/vlog/core_add_include_path

पुनरावृत्ती इतिहास (एक प्रश्न विचारा)

पुनरावृत्ती इतिहास दस्तऐवजात लागू केलेल्या बदलांचे वर्णन करतो. सर्वात वर्तमान प्रकाशनापासून सुरू होणारे बदल पुनरावृत्तीद्वारे सूचीबद्ध केले जातात.

उजळणी तारीख वर्णन
F 08/2024 या पुनरावृत्तीमध्ये खालील बदल केले आहेत:
• अपडेट केलेला विभाग परिशिष्ट B—सिम्युलेशन वातावरणात सिम्युलेशन लायब्ररी आयात करणे.
E 08/2024 या पुनरावृत्तीमध्ये खालील बदल केले आहेत:
• अपडेट केलेला विभाग वरीलview.
• अपडेट केलेला विभाग व्युत्पन्न SDC File.
• अपडेट केलेला विभाग परिशिष्ट B—सिम्युलेशन वातावरणात सिम्युलेशन लायब्ररी आयात करणे.
D 02/2024 हे दस्तऐवज v2024.1 मधील कोणत्याही बदलाशिवाय Libero 2023.2 SoC डिझाइन सूटसह प्रकाशित केले आहे.
derive_constraints युटिलिटीसह काम करणे हा विभाग अपडेट केला आहे.
C 08/2023 हे दस्तऐवज v2023.2 मधील कोणत्याही बदलाशिवाय Libero 2023.1 SoC डिझाइन सूटसह प्रकाशित केले आहे.
B 04/2023 हे दस्तऐवज v2023.1 मधील कोणत्याही बदलाशिवाय Libero 2022.3 SoC डिझाइन सूटसह प्रकाशित केले आहे.
A 12/2022 प्रारंभिक पुनरावृत्ती.

मायक्रोचिप FPGA समर्थन
मायक्रोचिप एफपीजीए उत्पादने समूह ग्राहक सेवा, ग्राहक तांत्रिक सहाय्य केंद्र, ए यासह विविध समर्थन सेवांसह त्याच्या उत्पादनांचे समर्थन करतो webसाइट आणि जगभरातील विक्री कार्यालये.
ग्राहकांना सपोर्टशी संपर्क साधण्यापूर्वी मायक्रोचिप ऑनलाइन संसाधनांना भेट देण्याची सूचना केली जाते कारण त्यांच्या प्रश्नांची उत्तरे आधीच दिली गेली असण्याची शक्यता आहे.
च्या माध्यमातून तांत्रिक सहाय्य केंद्राशी संपर्क साधा webयेथे साइट www.microchip.com/support. FPGA डिव्हाइस भाग क्रमांकाचा उल्लेख करा, योग्य केस श्रेणी निवडा आणि डिझाइन अपलोड करा files तांत्रिक समर्थन केस तयार करताना.
गैर-तांत्रिक उत्पादन समर्थनासाठी ग्राहक सेवेशी संपर्क साधा, जसे की उत्पादनाची किंमत, उत्पादन अपग्रेड, अपडेट माहिती, ऑर्डर स्थिती आणि अधिकृतता.

  • उत्तर अमेरिकेतून, 800.262.1060 वर कॉल करा
  • उर्वरित जगातून, 650.318.4460 वर कॉल करा
  • फॅक्स, जगातील कोठूनही, 650.318.8044

मायक्रोचिप माहिती
मायक्रोचिप Webसाइट
मायक्रोचिप आमच्याद्वारे ऑनलाइन समर्थन प्रदान करते webयेथे साइट www.microchip.com/. या webसाइट तयार करण्यासाठी वापरली जाते files आणि ग्राहकांना सहज उपलब्ध असलेली माहिती. उपलब्ध असलेल्या काही सामग्रीमध्ये हे समाविष्ट आहे:

  • उत्पादन समर्थन – डेटा शीट आणि इरेटा, ऍप्लिकेशन नोट्स आणि एसample प्रोग्राम्स, डिझाइन संसाधने, वापरकर्त्याचे मार्गदर्शक आणि हार्डवेअर समर्थन दस्तऐवज, नवीनतम सॉफ्टवेअर प्रकाशन आणि संग्रहित सॉफ्टवेअर
  • सामान्य तांत्रिक समर्थन - वारंवार विचारले जाणारे प्रश्न (FAQ), तांत्रिक समर्थन विनंत्या, ऑनलाइन चर्चा गट, मायक्रोचिप डिझाइन भागीदार कार्यक्रम सदस्य सूची
  • मायक्रोचिपचा व्यवसाय - उत्पादन निवडक आणि ऑर्डरिंग मार्गदर्शक, नवीनतम मायक्रोचिप प्रेस रिलीज, सेमिनार आणि कार्यक्रमांची सूची, मायक्रोचिप विक्री कार्यालयांची सूची, वितरक आणि कारखाना प्रतिनिधी

उत्पादन बदल सूचना सेवा
मायक्रोचिपची उत्पादन बदल सूचना सेवा ग्राहकांना मायक्रोचिप उत्पादनांवर अद्ययावत ठेवण्यास मदत करते. जेव्हा जेव्हा विशिष्ट उत्पादन कुटुंबाशी संबंधित बदल, अद्यतने, पुनरावृत्ती किंवा इरेटा असेल तेव्हा सदस्यांना ईमेल सूचना प्राप्त होईल किंवा स्वारस्य असलेल्या विकास साधनाशी संबंधित. नोंदणी करण्यासाठी, वर जा www.microchip.com/pcn आणि नोंदणी सूचनांचे अनुसरण करा.

ग्राहक समर्थन
मायक्रोचिप उत्पादनांचे वापरकर्ते अनेक माध्यमांद्वारे सहाय्य प्राप्त करू शकतात:

  • वितरक किंवा प्रतिनिधी
  • स्थानिक विक्री कार्यालय
  • एम्बेडेड सोल्युशन्स इंजिनियर (ईएसई)
  • तांत्रिक सहाय्य

समर्थनासाठी ग्राहकांनी त्यांच्या वितरक, प्रतिनिधी किंवा ESE शी संपर्क साधावा. ग्राहकांच्या मदतीसाठी स्थानिक विक्री कार्यालये देखील उपलब्ध आहेत. या दस्तऐवजात विक्री कार्यालये आणि स्थानांची सूची समाविष्ट केली आहे. च्या माध्यमातून तांत्रिक सहाय्य उपलब्ध आहे webयेथे साइट: www.microchip.com/support
मायक्रोचिप डिव्हाइसेस कोड संरक्षण वैशिष्ट्य
मायक्रोचिप उत्पादनांवरील कोड संरक्षण वैशिष्ट्याचे खालील तपशील लक्षात घ्या:

  • मायक्रोचिप उत्पादने त्यांच्या विशिष्ट मायक्रोचिप डेटा शीटमध्ये समाविष्ट असलेल्या वैशिष्ट्यांची पूर्तता करतात.
  • मायक्रोचिपचा असा विश्वास आहे की त्याच्या उत्पादनांचे कुटुंब इच्छित पद्धतीने, ऑपरेटिंग वैशिष्ट्यांमध्ये आणि सामान्य परिस्थितीत वापरल्यास सुरक्षित आहे.
  • मायक्रोचिप त्याच्या बौद्धिक संपदा अधिकारांचे मूल्य आणि आक्रमकपणे संरक्षण करते. मायक्रोचिप उत्पादनाच्या कोड संरक्षण वैशिष्ट्यांचा भंग करण्याचा प्रयत्न कठोरपणे प्रतिबंधित आहे आणि डिजिटल मिलेनियम कॉपीराइट कायद्याचे उल्लंघन करू शकते.
  • मायक्रोचिप किंवा इतर कोणताही सेमीकंडक्टर निर्माता त्याच्या कोडच्या सुरक्षिततेची हमी देऊ शकत नाही. कोड संरक्षणाचा अर्थ असा नाही की आम्ही उत्पादन "अटूट" असल्याची हमी देत ​​आहोत. कोड संरक्षण सतत विकसित होत आहे. मायक्रोचिप आमच्या उत्पादनांची कोड संरक्षण वैशिष्ट्ये सतत सुधारण्यासाठी वचनबद्ध आहे.

कायदेशीर सूचना
हे प्रकाशन आणि यातील माहिती केवळ मायक्रोचिप उत्पादनांसह वापरली जाऊ शकते, ज्यामध्ये तुमच्या अनुप्रयोगासह मायक्रोचिप उत्पादनांची रचना, चाचणी आणि एकत्रीकरण समाविष्ट आहे. या माहितीचा इतर कोणत्याही प्रकारे वापर या अटींचे उल्लंघन करते. डिव्‍हाइस अ‍ॅप्लिकेशन्सशी संबंधित माहिती केवळ तुमच्या सोयीसाठी प्रदान केली जाते आणि ती अपडेट्सद्वारे बदलली जाऊ शकते. तुमचा अर्ज तुमच्या वैशिष्ट्यांशी जुळतो याची खात्री करणे तुमची जबाबदारी आहे. अतिरिक्त समर्थनासाठी तुमच्या स्थानिक मायक्रोचिप विक्री कार्यालयाशी संपर्क साधा किंवा येथे अतिरिक्त समर्थन मिळवा www.microchip.com/en-us/support/design-help/client-support-services.
ही माहिती मायक्रोचिप द्वारे "जशी आहे तशी" प्रदान केली जाते. MICROCHIP कोणत्याही प्रकारचे कोणतेही प्रतिनिधित्व किंवा हमी देत ​​नाही मग ते व्यक्त किंवा निहित, लिखित किंवा मौखिक, वैधानिक किंवा अन्यथा, माहितीशी संबंधित परंतु मर्यादित नसलेले, मर्यादित नाही विशिष्ट हेतूसाठी योग्यता आणि योग्यता, किंवा हमी त्याची स्थिती, गुणवत्ता किंवा कार्यप्रदर्शन यांच्याशी संबंधित. कोणत्याही परिस्थितीत कोणत्याही अप्रत्यक्ष, विशेष, दंडात्मक, आकस्मिक किंवा परिणामी नुकसान, नुकसान, खर्च किंवा कोणत्याही प्रकारच्या खर्चासाठी मायक्रोचिप जबाबदार असणार नाही. इक्रोचिपला याचा सल्ला देण्यात आला आहे संभाव्यता किंवा नुकसान अंदाजे आहेत. कायद्याने परवानगी दिलेल्या पूर्ण मर्यादेपर्यंत, माहिती किंवा तिच्या वापराशी संबंधित कोणत्याही प्रकारे सर्व दाव्यांवर मायक्रोचिपची संपूर्ण उत्तरदायित्व, जर तुम्हाला काही असेल तर, शुल्काच्या रकमेपेक्षा जास्त नसेल. माहिती.
लाइफ सपोर्ट आणि/किंवा सेफ्टी अॅप्लिकेशन्समध्ये मायक्रोचिप उपकरणांचा वापर पूर्णपणे खरेदीदाराच्या जोखमीवर आहे आणि खरेदीदार अशा वापरामुळे होणारे कोणतेही आणि सर्व नुकसान, दावे, दावे किंवा खर्चापासून मायक्रोचिपचे रक्षण करण्यास, नुकसानभरपाई देण्यास आणि निरुपद्रवी ठेवण्यास सहमत आहे. अन्यथा नमूद केल्याशिवाय कोणत्याही मायक्रोचिप बौद्धिक संपदा अधिकारांतर्गत कोणतेही परवाने, अप्रत्यक्षपणे किंवा अन्यथा दिले जात नाहीत.
ट्रेडमार्क
मायक्रोचिपचे नाव आणि लोगो, मायक्रोचिप लोगो, Adaptec, AVR, AVR लोगो, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, MACHLX, MAXLEX, लिंक MediaLB, megaAVR, Microsemi, Microsemi लोगो, MOST, MOST लोगो, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 लोगो, PolarFire, Prochip डिझायनर, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SST, SST, Logo Logo , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron आणि XMEGA हे यूएसए आणि इतर देशांमध्ये मायक्रोचिप टेक्नॉलॉजी इनकॉर्पोरेटेडचे ​​नोंदणीकृत ट्रेडमार्क आहेत.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus लोगो, Quiet-World, Smart-World TimeCesium, TimeHub, TimePictra, TimeProvider आणि ZL हे मायक्रोचिप टेक्नॉलॉजीचे यूएसए मध्ये नोंदणीकृत ट्रेडमार्क आहेत.
संलग्न की सप्रेशन, AKS, analog-for-the-Digital Age, Any Capacitor, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoAuthentication, CryptoAutomotive, CryptoCDP, DPIEMCompanet डायनॅमिक सरासरी जुळणी , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, इन-सर्किट सिरीयल प्रोग्रामिंग, ICSP, INICnet, इंटेलिजेंट पॅरललिंग, IntelliMOS, इंटर-चिप कनेक्टिव्हिटी, JitterBlocker, Knob-Cnob-Cnob-Con-Play, इंटर-चिप कनेक्टिव्हिटी कमालView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB प्रमाणित लोगो, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, सर्वज्ञ कोड जनरेशन, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, PowermarScon 7, PowerMOS , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, सिरीयल क्वाड I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Syrod, Syro , विश्वसनीय वेळ, TSHARC, ट्युरिंग, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect, आणि ZENA हे यूएसए आणि इतर देशांमध्ये अंतर्भूत मायक्रोचिप तंत्रज्ञानाचे ट्रेडमार्क आहेत.
SQTP हे यूएसए मधील मायक्रोचिप तंत्रज्ञानाचे सेवा चिन्ह आहे
Adaptec लोगो, फ्रिक्वेन्सी ऑन डिमांड, सिलिकॉन स्टोरेज टेक्नॉलॉजी आणि Symmcom हे इतर देशांमधील Microchip Technology Inc. चे नोंदणीकृत ट्रेडमार्क आहेत.
GestIC हा मायक्रोचिप टेक्नॉलॉजी जर्मनी II GmbH & Co. KG चा नोंदणीकृत ट्रेडमार्क आहे, जो इतर देशांतील Microchip Technology Inc. ची उपकंपनी आहे.
येथे नमूद केलेले इतर सर्व ट्रेडमार्क त्यांच्या संबंधित कंपन्यांची मालमत्ता आहेत.
२०२४, मायक्रोचिप टेक्नॉलॉजी इनकॉर्पोरेटेड आणि त्याच्या उपकंपन्या. सर्व हक्क राखीव.
ISBN: 978-1-6683-0183-8
गुणवत्ता व्यवस्थापन प्रणाली
मायक्रोचिपच्या क्वालिटी मॅनेजमेंट सिस्टम्सच्या माहितीसाठी, कृपया भेट द्या www.microchip.com/quality.
जगभरातील विक्री आणि सेवा

अमेरिका  आशिया/पॅसिफिक  आशिया/पॅसिफिक  युरोप
कॉर्पोरेट कार्यालय
2355 वेस्ट चांडलर Blvd.
चांडलर, AZ 85224-6199
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
तांत्रिक समर्थन: www.microchip.com/support
Web पत्ता: www.microchip.com
अटलांटा
दुलुथ, जी.ए
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
ऑस्टिन, TX
दूरध्वनी: ५७४-५३७-८९००
बोस्टन
वेस्टबरो, एमए
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
शिकागो
इटास्का, आयएल
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
डॅलस
अ‍ॅडिसन, टीएक्स
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
डेट्रॉईट
नोव्ही, एमआय
दूरध्वनी: ५७४-५३७-८९००
ह्यूस्टन, TX
दूरध्वनी: ५७४-५३७-८९००
इंडियानापोलिस
Noblesville, IN
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
दूरध्वनी: ५७४-५३७-८९००
लॉस एंजेलिस
मिशन व्हिएजो, CA
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
दूरध्वनी: ५७४-५३७-८९००
रॅले, एनसी
दूरध्वनी: ५७४-५३७-८९००
न्यूयॉर्क, NY
दूरध्वनी: ५७४-५३७-८९००
सॅन जोस, CA
दूरध्वनी: ५७४-५३७-८९००
दूरध्वनी: ५७४-५३७-८९००
कॅनडा - टोरोंटो
दूरध्वनी: ५७४-५३७-८९००
फॅक्स: ५७४-५३७-८९००
ऑस्ट्रेलिया - सिडनी
दूरध्वनी: 61-2-9868-6733
चीन - बीजिंग
दूरध्वनी: 86-10-8569-7000
चीन - चेंगडू
दूरध्वनी: 86-28-8665-5511
चीन - चोंगकिंग
दूरध्वनी: 86-23-8980-9588
चीन - डोंगगुआन
दूरध्वनी: 86-769-8702-9880
चीन - ग्वांगझू
दूरध्वनी: 86-20-8755-8029
चीन - हांगझोऊ
दूरध्वनी: 86-571-8792-8115
चीन - हाँगकाँग SAR
दूरध्वनी: ८८६-३-५५०८१३७
चीन - नानजिंग
दूरध्वनी: 86-25-8473-2460
चीन - किंगदाओ
दूरध्वनी: 86-532-8502-7355
चीन - शांघाय
दूरध्वनी: 86-21-3326-8000
चीन - शेनयांग
दूरध्वनी: 86-24-2334-2829
चीन - शेन्झेन
दूरध्वनी: 86-755-8864-2200
चीन - सुझोऊ
दूरध्वनी: 86-186-6233-1526
चीन - वुहान
दूरध्वनी: 86-27-5980-5300
चीन - शियान
दूरध्वनी: 86-29-8833-7252
चीन - झियामेन
दूरध्वनी: ८८६-३-५५०८१३७
चीन - झुहाई
दूरध्वनी: ८८६-३-५५०८१३७
भारत - बंगलोर
दूरध्वनी: 91-80-3090-4444
भारत - नवी दिल्ली
दूरध्वनी: 91-11-4160-8631
भारत - पुणे
दूरध्वनी: 91-20-4121-0141
जपान - ओसाका
दूरध्वनी: 81-6-6152-7160
जपान - टोकियो
दूरध्वनी: ८१-३-६८८०- ३७७०
कोरिया - डेगू
दूरध्वनी: 82-53-744-4301
कोरिया - सोल
दूरध्वनी: 82-2-554-7200
मलेशिया - क्वालालंपूर
दूरध्वनी: 60-3-7651-7906
मलेशिया - पेनांग
दूरध्वनी: 60-4-227-8870
फिलीपिन्स - मनिला
दूरध्वनी: 63-2-634-9065
सिंगापूर
दूरध्वनी: ८८६-३-५५०८१३७
तैवान - हसीन चू
दूरध्वनी: 886-3-577-8366
तैवान - काओशुंग
दूरध्वनी: 886-7-213-7830
तैवान - तैपेई
दूरध्वनी: 886-2-2508-8600
थायलंड - बँकॉक
दूरध्वनी: 66-2-694-1351
व्हिएतनाम - हो ची मिन्ह
दूरध्वनी: 84-28-5448-2100
ऑस्ट्रिया - वेल्स
दूरध्वनी: 43-7242-2244-39
फॅक्स: ८८६-२-२९९५-६६४९
डेन्मार्क - कोपनहेगन
दूरध्वनी: ८८६-३-५५०८१३७
फॅक्स: ८८६-३-५५०८१३१
फिनलंड - एस्पू
दूरध्वनी: 358-9-4520-820
फ्रान्स - पॅरिस
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
जर्मनी - गार्चिंग
दूरध्वनी: ८८६-३-५५०८१३७
जर्मनी - हान
दूरध्वनी: ८८६-३-५५०८१३७
जर्मनी - हेलब्रॉन
दूरध्वनी: ८८६-३-५५०८१३७
जर्मनी - कार्लस्रुहे
दूरध्वनी: ८८६-३-५५०८१३७
जर्मनी - म्युनिक
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
जर्मनी - रोझेनहाइम
दूरध्वनी: 49-8031-354-560
इस्रायल - हॉड हशरोन
दूरध्वनी: 972-9-775-5100
इटली - मिलान
दूरध्वनी: ८८६-३-५५०८१३७
फॅक्स: ८८६-३-५५०८१३१
इटली - पाडोवा
दूरध्वनी: ८८६-३-५५०८१३७
नेदरलँड्स - ड्रुनेन
दूरध्वनी: ८८६-३-५५०८१३७
फॅक्स: ८८६-३-५५०८१३१
नॉर्वे - ट्रॉन्डहाइम
दूरध्वनी: ०२१-६३१९६४७
पोलंड - वॉर्सा
दूरध्वनी: ८८६-३-५५०८१३७
रोमानिया - बुखारेस्ट
Tel: 40-21-407-87-50
स्पेन - माद्रिद
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
स्वीडन - गोटेनबर्ग
Tel: 46-31-704-60-40
स्वीडन - स्टॉकहोम
दूरध्वनी: 46-8-5090-4654
यूके - वोकिंगहॅम
दूरध्वनी: 44-118-921-5800
फॅक्स: ८८६-२-२९९५-६६४९

मायक्रोचिप - लोगो

कागदपत्रे / संसाधने

मायक्रोचिप DS00004807F पोलरफायर फॅमिली FPGA कस्टम फ्लो [pdf] वापरकर्ता मार्गदर्शक
DS00004807F पोलरफायर फॅमिली एफपीजीए कस्टम फ्लो, DS00004807F, पोलरफायर फॅमिली एफपीजीए कस्टम फ्लो, फॅमिली एफपीजीए कस्टम फ्लो, कस्टम फ्लो, फ्लो

संदर्भ

एक टिप्पणी द्या

तुमचा ईमेल पत्ता प्रकाशित केला जाणार नाही. आवश्यक फील्ड चिन्हांकित आहेत *