Treoir Úsáideora Sreabhadh Saincheaptha FPGA Teaghlaigh PolarFire
Libero SoC v2024.2
Réamhrá (Cuir Ceist)
Soláthraíonn bogearraí Córas-ar-Sliseanna (SoC) Libero timpeallacht deartha Eagar Geata In-Ríomhchláraithe Allamuigh (FPGA) atá lán-chomhtháite. Mar sin féin, b'fhéidir gur mhaith le roinnt úsáideoirí uirlisí sintéise agus insamhalta tríú páirtí a úsáid lasmuigh de thimpeallacht Libero SoC. Is féidir Libero a chomhtháthú anois i dtimpeallacht deartha FPGA. Moltar Libero SoC a úsáid chun sreabhadh iomlán deartha FPGA a bhainistiú.
Déanann an treoir úsáideora seo cur síos ar an Sreabhadh Saincheaptha do ghléasanna PolarFire agus Teaghlach SoC PolarFire, próiseas chun Libero a chomhtháthú mar chuid den sreabhadh dearaidh FPGA níos mó. Teaghlaigh Gléasanna Tacaithe® Liostaítear sa tábla seo a leanas na teaghlaigh gléasanna a dtacaítear leo le Libero SoC. Mar sin féin, d'fhéadfadh sé nach mbeadh feidhm ag roinnt faisnéise sa treoir seo ach maidir le teaghlach gléasanna ar leith. Sa chás seo, aithnítear an fhaisnéis sin go soiléir.
Tábla 1. Teaghlaigh Gléasanna a dtacaítear leo ag Libero SoC
Teaghlaigh Gléas | Cur síos |
PolarFire® | Seachadann FPGAanna PolarFire an chumhacht is ísle sa tionscal ag dlúis mheánraoin le slándáil agus iontaofacht eisceachtúil. |
PolarFire SoC | Is é PolarFire SoC an chéad SoC FPGA le braisle LAP RISC-V cinntitheach, comhtháite, agus fochóras cuimhne L2 cinntitheach a chuireann ar chumas feidhmchláir Linux® agus fíor-ama. |
Tharview (Cuir Ceist)
Cé go soláthraíonn Libero SoC timpeallacht dearaidh lán-chomhtháite ó cheann ceann chun dearaí SoC agus FPGA a fhorbairt, soláthraíonn sé an tsolúbthacht freisin chun sintéis agus insamhalta a reáchtáil le huirlisí tríú páirtí lasmuigh de thimpeallacht Libero SoC. Mar sin féin, ní mór roinnt céimeanna dearaidh a choinneáil laistigh de thimpeallacht Libero SoC.
Liostaítear sa tábla seo a leanas na príomhchéimeanna i sreabhadh dearaidh FPGA agus léiríonn sé na céimeanna ina gcaithfear Libero SoC a úsáid.
Tábla 1-1. Sreabhadh Dearaidh FPGA
Céim Shreabhadh Dearaidh | Ní mór Libero a úsáid | Cur síos |
Iontráil Dearaidh: HDL | Níl | Bain úsáid as uirlis eagarthóra/seiceála HDL tríú páirtí lasmuigh de Libero® SoC más mian leat. |
Iontráil Dearaidh: Cumraitheoirí | Tá | Cruthaigh an chéad tionscadal Libero chun croí-chomhpháirteanna catalóige IP a ghiniúint. |
Giniúint srianta PDC/SDC uathoibríoch | Níl | Teastaíonn HDL go léir ó shrianta díorthaithe files agus fóntais derive_constraints nuair a dhéantar iad lasmuigh de Libero SoC, mar a thuairiscítear in Aguisín C—Srianta Díorthaithe. |
Insamhladh | Níl | Bain úsáid as uirlis tríú páirtí lasmuigh de Libero SoC, más mian leat. Éilíonn sé íoslódáil leabharlanna insamhalta réamh-thiomsaithe don fheiste sprice, don insamhlóir sprice, agus don leagan sprice de Libero a úsáidtear le haghaidh cur i bhfeidhm an chúltaca. |
Sintéis | Níl | Bain úsáid as uirlis tríú páirtí lasmuigh de Libero SoC más mian leat. |
Cur i bhFeidhm Dearaidh: Bainistigh Srianta, Tiomsaigh Netlist, Cuir agus Bealach (féach Tharview) | Tá | Cruthaigh an dara tionscadal Libero don chur i bhfeidhm ar an gcúltaca. |
Fíorú Uainiúcháin agus Cumhachta | Tá | Fan sa dara tionscadal Libero. |
Cumraigh Sonraí agus Cuimhní Tosaithe Dearaidh | Tá | Bain úsáid as an uirlis seo chun cineálacha éagsúla cuimhní cinn a bhainistiú agus tús a chur le dearadh sa fheiste. Fan sa dara tionscadal. |
Ríomhchlárú File Giniúint | Tá | Fan sa dara tionscadal. |
Tábhachtach: Tusa ní mór leabharlanna réamh-thiomsaithe atá ar fáil a íoslódáil ag an Leabharlanna Insamhalta Réamh-Thiomsaithe leathanach chun insamhlóir tríú páirtí a úsáid.
I sreabhadh FPGA Fabraice íon, cuir isteach do dhearadh ag baint úsáide as HDL nó iontráil sceitseach agus cuir sin ar aghaidh go díreach.
leis na huirlisí sintéise. Tacaítear leis an sreabhadh fós. Tá FPGAanna PolarFire agus PolarFire SoC suntasach
bloic IP crua dílseánaigh a éilíonn úsáid croíleacáin chumraíochta (SgCores) ó IP SoC Libero
catalóg. Tá láimhseáil speisialta ag teastáil le haghaidh aon bhloic ina bhfuil feidhmiúlacht SoC:
- Polar Dóiteáin
– PF_UPROM
– SEIRBHÍSÍ_CÓRAIS_PF
– PF_CCC
– PF CLK DIV
– PF_CRYPTO
– PF_DRI
– PF_INIT_MONITOR
– PF_NGMUX
– PF_OSC
– RAManna (TPSRAM, DPSRAM, URAM)
– PF_SRAM_AHBL_AXI
– PF_XCVR_ERM
– PF_XCVR_REF_CLK
– PF_TX_PLL
– PF_PCIE
– PF_IO
– PF_IOD_CDR
– PF_IOD_CDR_CCC
– PF_IOD_GENERIC_RX
– PF_IOD_GENERIC_TX
– PF_IOD_GENERIC_TX_CCC
– PF_RGMII_TO_GMII
– PF_IOD_OCTAL_DDR
– PF_DDR3
– PF_DDR4
– PF_LPDDR3
– PF_QDR
– PF_CORESMARTBERT
– PF_TAMPER
– PF_TVS, agus mar sin de.
Chomh maith leis na SgCores atá liostaithe thuas, tá go leor IPanna boga DirectCore ar fáil do theaghlaigh gléasanna PolarFire agus PolarFire SoC i gCatalóg Libero SoC a úsáideann acmhainní fabraice FPGA.
Maidir le hiontráil dearaidh, má úsáideann tú aon cheann de na comhpháirteanna roimhe seo, ní mór duit Libero SoC a úsáid le haghaidh cuid den iontráil dearaidh (Cumraíocht Chomhpháirte), ach is féidir leat leanúint leis an gcuid eile de d'Iontráil Dearaidh (iontráil HDL, agus mar sin de) lasmuigh de Libero. Chun sreabhadh dearaidh FPGA a bhainistiú lasmuigh de Libero, lean na céimeanna a chuirtear ar fáil sa chuid eile den treoir seo.
1.1 Timthriall Saoil Comhpháirte (Cuir Ceist)
Déanann na céimeanna seo a leanas cur síos ar shaolré comhpháirte SoC agus tugann siad treoracha maidir le conas na sonraí a láimhseáil.
- Gin an chomhpháirt ag baint úsáide as a chumraitheoir i Libero SoC. Gineann sé seo na cineálacha sonraí seo a leanas:
– HDL files
– Cuimhne files
– Spreagadh agus Insamhladh files
– SDC Comhpháirte file - Le haghaidh HDL files, iad a chruthú agus a chomhtháthú sa chuid eile den dearadh HDL ag baint úsáide as an uirlis/próiseas iontrála dearaidh seachtrach.
- Cuimhne soláthair fileagus spreagadh files chuig d'uirlis insamhalta.
- SDC Comhpháirte Soláthair file chun uirlis Srianta a Dhíorthú le haghaidh Giniúint Srianta. Féach Aguisín C—Srianta a Dhíorthú le haghaidh tuilleadh sonraí.
- Ní mór duit dara tionscadal Libero a chruthú, áit a n-allmhairíonn tú an liosta líonta iar-Shintéise agus meiteashonraí do chomhpháirte, rud a chomhlánaíonn an nasc idir an méid a ghineann tú agus an méid a chláraíonn tú.
1.2 Cruthú Tionscadail Libero SoC (Cuir Ceist)
Caithfear roinnt céimeanna dearaidh a rith laistigh de thimpeallacht Libero SoC (Tábla 1-1). Chun na céimeanna seo a rith, ní mór duit dhá thionscadal Libero SoC a chruthú. Úsáidtear an chéad tionscadal chun comhpháirteanna dearaidh a chumrú agus a ghiniúint, agus is chun an dearadh barrleibhéil a chur i bhfeidhm go fisiciúil atá an dara tionscadal.
1.3 Sreabhadh Saincheaptha (Cuir Ceist)
Taispeánann an figiúr seo a leanas:
- Is féidir Libero SoC a chomhtháthú mar chuid den sreabhadh dearaidh FPGA níos mó leis na huirlisí sintéise agus insamhalta tríú páirtí lasmuigh de thimpeallacht Libero SoC.
- Céimeanna éagsúla atá i gceist sa sreabhadh, ag tosú ó chruthú dearaidh agus fuála go dtí an gléas a chlárú.
- An malartú sonraí (ionchuir agus aschuir) a chaithfidh tarlú ag gach céim den sreabhadh dearaidh.
Leid:
- SNVM.cfg, UPROM.cfg
- *.mem file giniúint le haghaidh Insamhalta: glacann pa4rtupromgen.exe UPROM.cfg mar ionchur agus gineann sé UPROM.mem.
Seo a leanas na céimeanna sa sreabhadh saincheaptha:
- Cumraíocht agus giniúint comhpháirteanna:
a. Cruthaigh chéad tionscadal Libero (le feidhmiú mar Thionscadal Tagartha).
b. Roghnaigh an Croílár ón gCatalóg. Cliceáil faoi dhó ar an gcroílár chun ainm comhpháirte a thabhairt dó agus cumraigh an comhpháirt.
Onnmhairíonn sé seo sonraí comhpháirteanna go huathoibríoch agus files. Gintear Léirithe Comhpháirte freisin. Féach Léirithe Comhpháirte le haghaidh sonraí. Le haghaidh tuilleadh sonraí, féach Cumraíocht Comhpháirte. - Comhlánaigh do dhearadh RTL lasmuigh de Libero:
a. Cruthaigh an comhpháirt HDL files.
b. Suíomh an HDL fileTá s liostaithe sna Manifítí Comhpháirte files. - Gin srianta SDC do na comhpháirteanna. Bain úsáid as an bhfóntas Derive Constraints chun an srian ama a ghiniúint. file(SDC) bunaithe ar:
a. Comhpháirt HDL files
b. SDC Comhpháirte files
c. HDL Úsáideora files
Le haghaidh tuilleadh sonraí, féach Aguisín C—Díorthaigh Srianta. - Uirlis shintéise/uirlis insamhalta:
a. Faigh HDL files, spreagadh files, agus sonraí comhpháirte ó na suíomhanna sonracha mar a luaitear sna Manifílí Comhpháirte.
b. Déan an dearadh a shintéisiú agus a insamhladh le huirlisí tríú páirtí lasmuigh de Libero SoC. - Cruthaigh do dhara Tionscadal Libero (Feidhmiúcháin).
- Bain sintéis as an slabhra uirlisí sreafa dearaidh (Tionscadal > Socruithe Tionscadail > Sreabhadh Dearaidh > díghlan an bosca seiceála Cumasaigh Sintéis).
- Iompórtáil an fhoinse dearaidh files (liosta glan *.vm iar-shintéise ón uirlis sintéise):
– Iompórtáil netlist *.vm iar-shintéise (File>Iompórtáil> Glanliosta Verilog Sintéisithe (VM)).
– Meiteashonraí comhpháirte *.cfg files le haghaidh uPROM agus/nó sNVM. - Iompórtáil aon chomhpháirt bloc Libero SoC files. An bloc fileCaithfidh s a bheith sa chomhad *.cxz file formáid.
Chun tuilleadh eolais a fháil ar conas bloc a chruthú, féach Treoir Úsáideora PolarFire Block Flow. - Iompórtáil na srianta dearaidh:
– Srianadh I/O allmhairithe files (Bainisteoir Srianta > Tréithe I/OA > Iompórtáil).
– Pleanáil urláir *.pdc a allmhairiú files (Bainisteoir Srianta > Pleanálaí Urláir > Iompórtáil).
– Srian ama *.sdc a allmhairiú files (Bainisteoir Srianta > Amchlár >Iompórtáil). Iompórtáil an SDC file ginte tríd an uirlis Derive Constraint.
– Srianadh allmhairithe *.ndc files (Bainisteoir Srianta > NetlistAttributes > Iompórtáil), más ann. - Srian file agus comhlachas uirlisí
– Sa Bhainisteoir Srianta, ceangail an *.pdc files chun an *.sdc a chur agus a threorú files chun fíoruithe áite agus bealaigh agus ama a dhéanamh, agus an *.ndc files chun Netlist a thiomsú. - Cur i bhfeidhm iomlán dearaidh
– Suíomh agus bealach, am agus cumhacht a fhíorú, sonraí agus cuimhní tosaigh dearaidh a chumrú, agus cláreoireacht file glúin. - Bailíochtú an dearadh
– Déan an dearadh a bhailíochtú ar FPGA agus dífhabhtaigh de réir mar is gá ag baint úsáide as na huirlisí dearaidh a chuirtear ar fáil leis an tsraith dearaidh Libero SoC.
Cumraíocht Chomhpháirte (Cuir Ceist)
Is é an chéad chéim sa sreabhadh saincheaptha ná do chomhpháirteanna a chumrú ag baint úsáide as tionscadal tagartha Libero (ar a dtugtar an chéad tionscadal Libero i dTábla 1-1 freisin). Sna céimeanna ina dhiaidh sin, úsáideann tú sonraí ón tionscadal tagartha seo.
Má tá tú ag úsáid aon chomhpháirteanna atá liostaithe níos luaithe, faoin gceannteideal Tharview i do dhearadh, déan na céimeanna a bhfuil cur síos orthu sa chuid seo.
Mura bhfuil tú ag úsáid aon cheann de na comhpháirteanna thuas, is féidir leat do RTL a scríobh lasmuigh de Libero agus é a allmhairiú go díreach isteach i do chuid uirlisí Sintéise agus Insamhalta. Ansin, is féidir leat dul ar aghaidh go dtí an chuid iar-shintéise agus do liosta glan *.vm iar-shintéise a allmhairiú isteach i do thionscadal cur chun feidhme Libero deiridh (ar a dtugtar an dara tionscadal Libero freisin i dTábla 1-1).
2.1 Cumraíocht Chomhpháirte ag Úsáid Libero (Cuir Ceist)
Tar éis na comhpháirteanna is gá a úsáid a roghnú ón liosta roimhe seo, déan na céimeanna seo a leanas:
- Cruthaigh tionscadal Libero nua (Cumraíocht agus Giniúint Chroí): Roghnaigh an Gléas agus an Teaghlach ar a ndíreoidh tú do dhearadh deiridh.
- Bain úsáid as ceann amháin nó níos mó de na croíleacáin a luaitear i Custom Flow.
a. Cruthaigh SmartDesign agus cumraigh an croí atá ag teastáil agus cruthaigh é sa chomhpháirt SmartDesign.
b. Cuir na bioráin uile chun cinn go dtí an leibhéal is airde.
c. Gin an SmartDesign.
d. Cliceáil faoi dhó ar an uirlis Insamhladh (aon cheann de na roghanna Réamh-Shintéise nó Iar-Shintéise nó Iar-Leagan Amach) chun an insamhlóir a ghairm. Is féidir leat an insamhlóir a fhágáil tar éis é a ghairm. Gineann an chéim seo an insamhladh. fileriachtanach do do thionscadal.
Leid: Tusa ní mór duit an chéim seo a dhéanamh más mian leat do dhearadh a insamhladh lasmuigh de Libero.
Le haghaidh tuilleadh eolais, féach Insamhladh do Dhearaidh.
e. Sábháil do thionscadal—seo do thionscadal tagartha.
2.2 Léirithe Comhpháirte (Cuir Ceist)
Nuair a ghineann tú do chomhpháirteanna, sraith de fileGintear s do gach comhpháirt. Tugann tuarascáil Manifíl na gComhpháirteanna sonraí faoin tsraith filea ghintear agus a úsáidtear i ngach céim ina dhiaidh sin (Sintéis, Insamhladh, Giniúint Firmware, agus mar sin de). Tugann an tuarascáil seo suíomhanna na n-earraí uile a ghintear duit. files ag teastáil chun dul ar aghaidh leis an Sreabhadh Saincheaptha. Is féidir leat rochtain a fháil ar an manifest comhpháirte sa limistéar Tuarascálacha: Cliceáil Dearadh > Tuarascálacha chun an cluaisín Tuarascálacha a oscailt. Sa chluaisín Tuarascálacha, feiceann tú sraith de manifest.txt files (Os cionnview), ceann amháin do gach comhpháirt a ghineann tú.
Leid: Ní mór duit comhpháirt nó modúl a shocrú mar '”fréamh”' chun an léiriú comhpháirte a fheiceáil file ábhar sa chluaisín Tuarascálacha.
Nó is féidir leat rochtain a fháil ar an tuarascáil aonair ar an manuscript files do gach croí-chomhpháirt a ghintear nó comhpháirt SmartDesign ó /comhpháirt/obair/ / / _manifest.txt nó /comhpháirt/obair/ / _manifest.txt. Is féidir leat rochtain a fháil ar an manifest freisin file ábhar gach comhpháirte a ghintear ón táb nua Comhpháirteanna i Libero, áit a bhfuil an file Luaitear suíomhanna i ndáil leis an eolaire tionscadail.Dírigh ar na tuarascálacha Comhpháirte Manifest seo a leanas:
- Má rinne tú croíleacáin a chruthú i SmartDesign, léigh an file _manifest.txt.
- Má chruthaigh tú comhpháirteanna do chroíthe, léigh an _manifest.txt.
Ní mór duit na tuarascálacha Component Manifests uile a bhaineann le do dhearadh a úsáid. Mar shamplaampm.sh., má tá SmartDesign i do thionscadal le príomhchomhpháirt amháin nó níos mó cruthaithe ann agus má tá sé beartaithe agat iad go léir a úsáid i do dhearadh deiridh, ansin ní mór duit a roghnú fileatá liostaithe i dtuarascálacha Comhpháirteanna Manifests na gcomhpháirteanna sin go léir le húsáid i do shreabhadh dearaidh.
2.3 Léirmhíniú an Fhoirliosta Files (Cuir Ceist)
Nuair a osclaíonn tú manifest comhpháirte file, feiceann tú cosáin chuig filei do thionscadal Libero agus leideanna maidir le cá háit sa sreabhadh dearaidh le húsáid. D’fhéadfá na cineálacha seo a leanas a fheiceáil files i léirmheas file:
- Foinse HDL files do gach uirlis Sintéise agus Insamhalta
- Spreagadh files do gach uirlis insamhalta
- Srian files
Seo a leanas Forlámhas Comhpháirte de chroí-chomhpháirt PolarFire.Gach cineál de file riachtanach síos an tsruth i do shreabhadh dearaidh. Déanann na hailt seo a leanas cur síos ar chomhtháthú an fileón manuscript isteach i do shreabhadh dearaidh.
Giniúint Srianta (Cuir Ceist)
Agus cumraíocht agus giniúint á dhéanamh, cinntigh go scríobhfar/gginfear an srian SDC/PDC/NDC files don dearadh iad a chur ar aghaidh chuig na huirlisí Sintéise, Cuir-agus-Bealach, agus Fíoraigh Ama.
Bain úsáid as an bhfóntas Derive Constraints lasmuigh de thimpeallacht Libero chun srianta a ghiniúint in ionad iad a scríobh de láimh. Chun an fóntas Derive Constraint a úsáid lasmuigh de thimpeallacht Libero, ní mór duit:
- HDL úsáideora soláthair, HDL comhpháirte, agus srian SDC comhpháirte files
- Sonraigh an modúl barrleibhéil
- Sonraigh an áit inar cheart an srian díorthaithe a ghiniúint files
Tá srianta chomhpháirte an SDC ar fáil faoi /comhpháirt/obair/ / / eolaire tar éis cumraíocht agus giniúint na gcomhpháirteanna.
Le haghaidh tuilleadh sonraí ar conas srianta a ghiniúint do do dhearadh, féach Aguisín C—Díorthaigh Srianta.
Do Dhearadh a Sintéisiú (Cuir Ceist)
Ceann de phríomhghnéithe an Custom Flow ná ligean duit sintéis tríú páirtí a úsáid
uirlis lasmuigh de Libero. Tacaíonn an sreabhadh saincheaptha le húsáid Synopsys SynplifyPro. Chun do chuid a shintéisiú
tionscadal, bain úsáid as an nós imeachta seo a leanas:
- Cruthaigh tionscadal nua i d’uirlis Sintéise, ag díriú ar an teaghlach gléasanna, an bás agus an pacáiste céanna leis an tionscadal Libero a chruthaigh tú.
a. Iompórtáil do RTL féin filemar a dhéanann tú de ghnáth.
b. Socraigh aschur na Sintéise mar Verilog Structural (.vm).
Leid: Struchtúrach Is é Verilog (.vm) an t-aon fhormáid aschuir sintéise a thacaítear léi i PolarFire. - Iompórtáil Comhpháirt HDL fileisteach i do thionscadal Sintéise:
a. Maidir le gach Tuarascáil ar Léirithe Comhpháirte: Maidir le gach ceann file faoi fhoinse HDL files do gach uirlis Sintéise agus Insamhalta, allmhairigh an file isteach i do Thionscadal Sintéise. - Iompórtáil an file polarfire_syn_comps.v (má tá Synopsys Synplify in úsáid) ó
Suíomh suiteála>/data/aPA5M chuig do thionscadal Sintéise. - Iompórtáil an SDC a gineadh roimhe seo file tríd an uirlis Srianta Díorthaithe (féach Aguisín
A—Samp(Srianta SDC) isteach san uirlis Sintéise. An srian seo file cuireann sé srian ar an uirlis sintéise chun dúnadh ama a bhaint amach le níos lú iarrachta agus níos lú athrá dearaidh.
Tábhachtach:
- Má tá sé ar intinn agat an *.sdc céanna a úsáid file Chun srian a chur ar Place-and-Route le linn chéim chur i bhfeidhm an dearaidh, ní mór duit an *.sdc seo a iompórtáil isteach sa tionscadal sintéise. Déantar é seo chun a chinntiú nach bhfuil aon mhí-oiriúnuithe in ainm réada dearaidh sa netlist sintéisithe agus sna srianta Place-and-Route le linn chéim chur i bhfeidhm an phróisis dearaidh. Mura gcuireann tú an *.sdc seo san áireamh file Sa chéim Sintéise, d’fhéadfadh teip a bheith ar an netlist a ghintear ón Sintéis sa chéim Cuir agus Bealach mar gheall ar neamhréireanna in ainmneacha réada dearaidh.
a. Iompórtáil Tréithe Netlist *.ndc, más ann dóibh, isteach san uirlis Sintéise.
b. Rith Sintéis. - Tá suíomh aschuir d'uirlis Sintéise mar an netlist *.vm file gineadh post Sintéise. Ní mór duit an netlist a allmhairiú isteach i dTionscadal Chur i bhFeidhm Libero chun leanúint ar aghaidh leis an bpróiseas deartha.
Ag Insamhladh Do Dhearaidh (Cuir Ceist)
Chun do dhearadh a insamhladh lasmuigh de Libero (is é sin, trí úsáid a bhaint as do thimpeallacht insamhalta agus d’insamhlóir féin), déan na céimeanna seo a leanas:
- Dearadh Files:
a. Insamhladh Réamh-Shintéise:
• Iompórtáil do RTL isteach i do thionscadal insamhalta.
• Do gach Tuarascáil ar Léirithe Comhpháirte.
– Iompórtáil gach ceann file faoi fhoinse HDL files do gach uirlis Sintéise agus Insamhalta i do thionscadal insamhalta.
• Tiomsaigh iad seo filede réir threoracha d’insamhlóra.
b. Insamhladh iar-shintéise:
• Iompórtáil do liosta glan *.vm iar-shintéise (a gineadh i Synthesizing Your Design) isteach i do thionscadal insamhalta agus tiomsaigh é.
c. Insamhladh iar-leagan amach:
• Ar dtús, críochnaigh cur i bhfeidhm do dhearaidh (féach Cur i bhFeidhm Do Dhearaidh). Cinntigh go bhfuil do thionscadal Libero deiridh i riocht iar-leagan amach.
• Cliceáil faoi dhó ar Gin Ar Ais/Anótáilte Filesa fhuinneog Libero Design Flow. Gineann sé dhá cheann files:
/dearthóir/ / _ba.v/vhd /dearthóir/
/ _ba.sdf
• Iompórtáil an dá cheann seo fileisteach i do uirlis insamhalta. - Spreagadh agus Cumraíocht files:
a. Maidir le gach Tuarascáil ar Léirithe Comhpháirte:
• Cóipeáil gach rud filefaoin Spreagadh Files do gach rannóg Uirlisí Insamhalta chuig an eolaire fréimhe de do thionscadal Insamhalta.
b. Cinntigh go bhfuil aon Tcl fileDéantar na liostaí roimhe seo (i gcéim 2.a) a fhorghníomhú ar dtús, roimh thús an insamhalta.
c. UPROM.mem: Má úsáideann tú croí UPROM i do dhearadh leis an rogha Úsáid ábhar le haghaidh insamhalta cumasaithe do chliant stórála sonraí amháin nó níos mó ar mian leat insamhalta a dhéanamh orthu, ní mór duit an comhad inrite pa4rtupromgen (pa4rtupromgen.exe ar Windows) a úsáid chun an UPROM.mem a ghiniúint. fileGlacann an comhad inrite pa4rtupromgen an UPROM.cfg file mar ionchuir trí script Tcl file agus cuireann sé an UPROM.mem aschurtha file riachtanach le haghaidh insamhaltaí. An UPROM.mem seo file ní mór é a chóipeáil chuig an bhfillteán insamhalta sula ndéantar an t-insamhalta a rith.ampCuirtear an comhad a thaispeánann úsáid an chomhaid inrite pa4rtupromgen ar fáil sna céimeanna seo a leanas. file atá ar fáil san eolaire /comhpháirt/obair/ / sa tionscadal Libero a d'úsáid tú chun an chomhpháirt UPROM a ghiniúint.
d. snvm.mem: Má úsáideann tú croílár na Seirbhísí Córais i do dhearadh agus má chumraíonn tú an cluaisín sNVM sa chroílár leis an rogha Úsáid ábhar le haghaidh insamhalta cumasaithe do chliant amháin nó níos mó ar mian leat insamhalta a dhéanamh orthu, beidh snvm.mem ann. file gintear go huathoibríoch chuig
an t-eolaire /comhpháirt/obair/ / sa tionscadal Libero a d'úsáid tú chun an chomhpháirt Seirbhísí Córais a ghiniúint. Seo snvm.mem file ní mór é a chóipeáil chuig an bhfillteán insamhalta sula ndéantar an insamhalta a rith. - Cruthaigh fillteán oibre agus fo-fhillteán darb ainm insamhalta faoin bhfillteán oibre.
Tá súil ag an inrite pa4rtupromgen go mbeidh an fo-fhillteán insamhalta i láthair san fhillteán oibre agus go gcuirfear an script *.tcl san fho-fhillteán insamhalta. - Cóipeáil an UPROM.cfg file ón gcéad tionscadal Libero a cruthaíodh le haghaidh giniúint comhpháirteanna isteach sa fhillteán oibre.
- Greamaigh na horduithe seo a leanas i script *.tcl agus cuir san fhillteán insamhalta a cruthaíodh i gcéim 3 é.
Sample *.tcl le haghaidh gléasanna PolarFire agus PolarFire Soc Family chun URPOM.mem a ghiniúint file
ó UPROM.cfg
socraigh_gléas -fam -bás -pkg
socraigh_ionchur_cfg -conair
socraigh_sim_mem -conairFile/UPROM.mem>
gen_sim -use_init bréagach
Chun an t-ainm inmheánach ceart le húsáid don bhás agus don phacáiste a fheiceáil, féach an comhad *.prjx file den chéad tionscadal Libero (a úsáidtear chun comhpháirteanna a ghiniúint).
Ní mór an argóint use_init a shocrú go bréagach.
Úsáid an t-ordú set_sim_mem chun an cosán chuig an aschur a shonrú file UPROM.mem is ea
ginte nuair a fhorghníomhaítear an script file leis an gcomhad inrite pa4rtupromgen. - Ag an pras ordaithe nó críochfort cygwin, téigh go dtí an t-eolaire oibre a cruthaíodh i gcéim 3.
Cuir an t-ordú pa4rtupromgen i bhfeidhm leis an rogha–script agus cuir an script *.tcl a cruthaíodh sa chéim roimhe sin chuige.
Le haghaidh Windows
/designer/bin/pa4rtupromgen.exe \
–script./insamhalta/ .tcl
Le haghaidh Linux:
/bin/pa4rtupromgen
–script./insamhalta/ .tcl - Tar éis fhorghníomhú rathúil an chomhaid inrite pa4rtupromgen, seiceáil go bhfuil an comhad UPROM.mem file gintear san áit a shonraítear san ordú set_sim_mem sa script *.tcl.
- Chun an sNVM a insamhladh, cóipeáil an snvm.mem file ó do chéad tionscadal Libero (a úsáidtear le haghaidh cumraíocht comhpháirteanna) isteach sa fhillteán insamhalta barrleibhéil de do thionscadal insamhalta chun insamhalta a rith (lasmuigh de Libero SoC). Chun ábhar UPROM a insamhladh, cóipeáil an UPROM.mem ginte. file isteach sa fhillteán insamhalta barrleibhéil de do thionscadal insamhalta chun insamhalta a rith (lasmuigh de Libero SoC).
Tábhachtach: Go Chun feidhmiúlacht Chomhpháirteanna SoC a insamhladh, íoslódáil na leabharlanna insamhalta PolarFire réamh-thiomsaithe agus iompórtáil iad isteach i do thimpeallacht insamhalta mar a thuairiscítear anseo. Le haghaidh tuilleadh sonraí, féach Aguisín B—Leabharlanna Insamhalta a Iompórtáil isteach i dTimpeallacht Insamhalta.
Do Dhearadh a Chur i bhFeidhm (Cuir Ceist)
Tar éis duit an insamhalta Sintéise agus Iar-Shintéise a chríochnú i do thimpeallacht, ní mór duit Libero a úsáid arís chun do dhearadh a chur i bhfeidhm go fisiciúil, anailís ama agus cumhachta a rith, agus do chláreagrú a ghiniúint. file.
- Cruthaigh tionscadal Libero nua le haghaidh chur i bhfeidhm fisiciúil agus leagan amach an dearaidh. Cinntigh go ndírítear ar an ngléas céanna agus atá sa tionscadal tagartha a chruthaigh tú i gCumraíocht na gComhpháirteanna.
- Tar éis chruthú tionscadail, bain Sintéis ón slabhra uirlisí sa fhuinneog Sreabhadh Dearaidh (Tionscadal > Socruithe Tionscadail > Sreabhadh Dearaidh > Díthiceáil Cumasaigh Sintéis).
- Iompórtáil do iar-shintéise *.vm file isteach sa tionscadal seo, (File > Iompórtáil > Netlist Verilog Sintéisithe (VM)).
Leid: Moltar duit nasc a chruthú leis seo file, ionas má athshintéisíonn tú do dhearadh, úsáideann Libero an netlist iar-shintéise is déanaí i gcónaí.
a. Sa fhuinneog Ordlathas Dearaidh, tabhair faoi deara ainm an mhodúil fréimhe. - Iompórtáil na srianta isteach sa tionscadal Libero. Bain úsáid as an mBainisteoir Srianta chun srianta *.pdc/*.sdc/*.ndc a iompórtáil.
a. Srianadh ionchuir/aschur *.pdc a allmhairiú files (Bainisteoir Srianta > Tréithe I/O > Iompórtáil).
b. Srianadh *.pdc maidir le Pleanáil Urláir a Iompórtáil files (Bainisteoir Srianta > Pleanálaí Urláir > Iompórtáil).
c. Srian ama *.sdc a allmhairiú files (Bainisteoir Srianta > Amchlár > Iompórtáil). Má tá aon cheann de na croíleacáin atá liostaithe i Tharview, cinntigh go n-allmhaireofar an SDC file ginte tríd an uirlis srianta díorthaithe.
d. Srianadh *.ndc a allmhairiú files (Bainisteoir Srianta > Tréithe Netlist > Iompórtáil). - Srianta Comhlachaithe Files chun uirlisí a dhearadh.
a. Oscail Bainisteoir Srianta (Bainistigh Srianta > Oscail Bainistigh Srianta View).
Seiceáil an bosca seiceála Fíorú Áite agus Bealaigh agus Ama in aice leis an tsrian file srian a bhunú file agus comhlachas uirlisí. Comhlach an srian *.pdc le Place-andRoute agus an *.sdc le Place-and-Route agus le Fíorú Ama araon. Comhlach an *.ndc file chun Netlist a thiomsú.
Leid: Má Teipeann ar Place and Route leis an srian *.sdc seo file, ansin allmhairigh an *.sdc céanna seo file chun sintéis a dhéanamh agus sintéis a athreáchtáil.
- Cliceáil Tiomsaigh Netlist agus ansin Cuir agus Bealach chun an chéim leagan amach a chríochnú.
- Leis an uirlis Cumraigh Sonraí agus Cuimhní Tosaithe Dearaidh, is féidir leat bloic dearaidh a thosú, amhail LSRAM, µSRAM, XCVR (tarchuradóirí), agus PCIe, ag baint úsáide as sonraí atá stóráilte i gcuimhne stórála µPROM neamh-luaineach, sNVM, nó SPI Flash seachtrach. Tá na cluaisíní seo a leanas san uirlis chun sonraíocht an tseicheamh tosaithe dearaidh, sonraíocht na gcliant tosaithe, agus cliaint sonraí úsáideora a shainiú.
– Táb Tosaithe Dearaidh
– cluaisín µPROM
– cluaisín sNVM
– Cluaisín SPI Flash
– Tab RAManna Fabraice
Úsáid na cluaisíní san uirlis chun sonraí agus cuimhní tosaigh an dearaidh a chumrú.Tar éis an chumraíocht a chríochnú, déan na céimeanna seo a leanas chun na sonraí tosaithe a chlárú:
• Gin cliaint tosaithe
• Gin nó easpórtáil an sruth giotán
• Cláraigh an gléas
Le haghaidh tuilleadh eolais ar conas an uirlis seo a úsáid, féach ar Threoir Úsáideora Libero SoC Design Flow. Le haghaidh tuilleadh eolais ar na horduithe Tcl a úsáidtear chun cluaisíní éagsúla san uirlis a chumrú agus cumraíocht chuimhne a shonrú. files (*.cfg), féach Treoir Thagartha Orduithe Tcl. - Ginigh Clárchlárú File ón tionscadal seo agus bain úsáid as chun do FPGA a chlárú.
Aguisín A—SampSrianta SDC (Cuir Ceist
Gineann Libero SoC srianta ama SDC do chroíthe IP áirithe, amhail CCC, OSC, Tarchuradóir agus araile. Méadaíonn cur na srianta SDC chuig uirlisí dearaidh an seans go mbainfear amach dúnadh ama le níos lú iarrachta agus níos lú athrá dearaidh. Tugtar an cosán ordlathach iomlán ón gcás barrleibhéil do na réad dearaidh uile a bhfuil tagairt dóibh sna srianta.
7.1 Srianta Ama SDC (Cuir Ceist)
Sa tionscadal tagartha croí-IP Libero, an srian SDC barrleibhéil seo file ar fáil ón mBainisteoir Srianta (Sreabhadh Dearaidh > Oscail Bainistigh Srianta View >Amchlár > Díorthaigh Srianta).
Tábhachtach: Féach seo file chun srianta an SDC a shocrú má tá CCC, OSC, Tarchuradóir, agus comhpháirteanna eile i do dhearadh. Athraigh an cosán ordlathach iomlán, más gá, chun go n-oirfidh sé d’ordlathas dearaidh nó bain úsáid as an bhfóntas Derive_Constraints agus na céimeanna in Aguisín C—Díorthaigh Srianta ar an SDC leibhéal comhpháirte. file.
Sábháil an file chuig ainm difriúil agus an SDC a allmhairiú file don uirlis shintéise, don Uirlis Cuir-agus-Bealach, agus do Fíoruithe Ama, díreach cosúil le haon srian SDC eile files.
7.1.1 SDC Díorthaithe File (Cuir Ceist)
# Seo file gineadh bunaithe ar an bhfoinse SDC seo a leanas files:
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TARCHUR_PLL/TARCHUR_PLL_0/TARCHUR_PLL_TARCHUR_PLL_0_PF_TX_PLL.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_TOSACHÓIR/FIC0_TOSACHÓIR_0/FIC0_TOSACHÓIR.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /tiomáint/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** Aon mhodhnuithe ar seo file Caillfear é má athrítear srianta díorthaithe. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} - tréimhse 6.25
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] cruthaigh_clog -ainm {REF_CLK_PAD_P} -tréimhse 10 [ faigh_ports { REF_CLK_PAD_P } ] cruthaigh_clog -ainm {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - tréimhse 8
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] cruthaigh_clog_ginte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
AMACH0} -iolraigh_faoi 25 -roinn_faoi 32 -foinse
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -chéim 0
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] cruthaigh_clog_ginte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
AMACH1} -iolraigh_faoi 25 -roinn_faoi 32 -foinse
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -chéim 0
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] cruthaigh_clog_ginte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
AMACH2} -iolraigh_faoi 25 -roinn_faoi 32 -foinse
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -chéim 0
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] cruthaigh_clog_ginte -ainm {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
AMACH3} -iolraigh_faoi 25 -roinn_faoi 64 -foinse
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -chéim 0
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] cruthaigh_clog_ginte -ainm {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_go_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -roinn_faoi 2 -foinse
[ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_go_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ faigh_bioráin { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_go_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] socraigh_cosán_bréagach -tríd [ faigh_líonraí { DMA_INITIATOR_inst_0/ARESETN* } ] socraigh_cosán_bréagach -ó [ faigh_cealla { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -go [ faigh_cealla { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] socraigh_cosán_bréagach -ó [ faigh_cealla { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -go [ faigh_cealla { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] socraigh_cosán_bréagach -tríd [ faigh_líonraí { FIC0_INITIATOR_inst_0/ARESETN* } ] socraigh_cosán_bréagach -go [ faigh_bioráin { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] socraigh_cosán_bréagach -ó [ faigh_bioráin { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] socraigh_cosán_bréagach -tríd [ faigh_líonraí { PCIE_INITIATOR_inst_0/ARESETN* } ]
Aguisín B—Leabharlanna Insamhalta a Iompórtáil isteach i dTimpeallacht Insamhalta (Cuir Ceist)
Is é ModelSim ME Pro an insamhlóir réamhshocraithe le haghaidh insamhalta RTL le Libero SoC.
Tá leabharlanna réamh-thiomsaithe don insamhlóir réamhshocraithe ar fáil le suiteáil Libero ag an eolaire /Designer/lib/modelsimpro/precompiled/vlog do theaghlaigh a dtacaítear leo®. Tacaíonn Libero SoC le heagráin insamhlóirí tríú páirtí eile de ModelSim, Questasim, VCS, Xcelium freisin.
, Active HDL, agus Riviera Pro. Íoslódáil na leabharlanna réamh-thiomsaithe faoi seach ó Libero SoC v12.0 agus níos déanaí bunaithe ar an insamhlóir agus a leagan.
Cosúil le timpeallacht Libero, run.do file ní mór é a chruthú chun insamhalta a rith lasmuigh de Libero.
Cruthaigh run.do simplí file a bhfuil orduithe ann chun leabharlann a bhunú le haghaidh torthaí tiomsaithe, mapáil leabharlainne, tiomsú agus insamhalta. Lean na céimeanna chun run.do bunúsach a chruthú. file.
- Cruthaigh leabharlann loighciúil chun torthaí tiomsaithe a stóráil ag baint úsáide as an ordú vlib vlib presynth.
- Mapáil ainm loighciúil na leabharlainne chuig eolaire leabharlainne réamh-thiomsaithe ag baint úsáide as an ordú vmap vmap .
- Tiomsaigh foinse files—úsáid orduithe tiomsaitheora teanga-shonracha chun dearadh a thiomsú files isteach san eolaire oibre.
– vlog le haghaidh .v/.sv
– vcom le haghaidh .vhd - Luchtaigh an dearadh le haghaidh insamhalta ag baint úsáide as an ordú vsim trí ainm aon mhodúil barrleibhéil a shonrú.
- Insamhlaigh an dearadh ag baint úsáide as an ordú rith.
Tar éis an dearadh a lódáil, socraítear an t-am insamhalta go náid, agus is féidir leat an t-ordú rith a iontráil chun an insamhalta a thosú.
Sa fhuinneog trascríbhinne insamhlóra, forghníomhaigh run.do file mar run.do rith an insamhalta. Sample run.do file mar seo a leanas.
socraigh ACTELLIBNAME go ciúin PolarFire socraigh PROJECT_DIR “W:/Test/basic_test” go ciúin má
{[file tá presynth/_info ann]} { macalla “INFO: Tá leabharlann insamhalta presynth ann” } eile
{ file scrios -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work réamhshintéiseoir
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -work réamhshintéiseoir “$
"{PROIJECT_DIR}/stimulus/tb.v" vsim -L PolarFire -L réamhshintéiseoir -t 1ps réamhshintéiseoir.tb cuir tonn /tb/* leis"
rith logáil 1000ns /tb/* scoir
Aguisín C—Díorthaigh Srianta (Cuir Ceist)
Déantar cur síos san aguisín seo ar na horduithe Derive Constraints Tcl.
9.1 Díorthaigh Srianta Orduithe Tcl (Cuir Ceist)
Cabhraíonn an fóntais derive_constraints leat srianta a dhíorthú ón RTL nó ón gcumraitheoir lasmuigh de thimpeallacht dearaidh Libero SoC. Chun srianta a ghiniúint do do dhearadh, beidh an HDL Úsáideora, an HDL Comhpháirte, agus na Srianta Comhpháirte ag teastáil uait. files. Srianta chomhpháirte an SDC filetá s ar fáil faoi /comhpháirt/obair/ / / eolaire tar éis cumraíocht agus giniúint na gcomhpháirteanna.
Gach srian comhpháirte file Tá an t-ordú set_component tcl ann (a shonraíonn ainm an chomhpháirte) agus an liosta srianta a ghintear tar éis na cumraíochta. Gintear na srianta bunaithe ar an gcumraíocht agus tá siad sainiúil do gach comhpháirt.
Example 9-1. Srianadh Comhpháirte File don Chroílár PF_CCC
Seo seanampleibhéal srianta comhpháirte file don chroí PF_CCC:
tacar_comhpháirt PF_CCC_C0_PF_CCC_C0_0_PF_CCC
#Micrisliseanna Corp.
# Dáta: 2021-Deireadh Fómhair-26 04:36:00
# Bonnchlog le haghaidh PLL #0
cruthaigh_clog - tréimhse 10 [ faigh_bioráin { pll_inst_0 / REF_CLK_0 } ] cruthaigh_clog_ginte - roinn_faoi 1 - foinse [ faigh_bioráin { pll_inst_0 / }
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] Anseo, is srianta cloig tagartha agus aschuir iad create_clock agus create_generated_clock faoi seach, a ghintear bunaithe ar an gcumraíocht.
9.1.1 Ag obair le derive_constraints Fóntais (Cuir Ceist)
Díorthaigh srianta a théann tríd an dearadh agus leithdháil srianta nua do gach cás den chomhpháirt bunaithe ar SDC na comhpháirte a cuireadh ar fáil roimhe seo. files. I gcás na gclog tagartha CCC, scaipeann sé ar ais tríd an dearadh chun foinse an chloig tagartha a aimsiú. Más ionchur/aschur an fhoinse, socrófar an srian cloig tagartha ar an ionchur/aschur. Más aschur CCC nó foinse cloig eile é (m.sh.amp(le, Tarchuradóir, ocsailitheoir), úsáideann sé an clog ón gcomhpháirt eile agus tuairiscíonn sé foláireamh mura n-oireann na eatraimh. Le srianta díorthaithe, leithdháilfear srianta freisin do roinnt macraí cosúil le hocsailitheoirí ar-sliseanna má tá siad agat i do RTL.
Chun an fóntais derive_constraints a fhorghníomhú, ní mór duit .tcl a sholáthar. file argóint líne ordaithe leis an bhfaisnéis seo a leanas san ord sonraithe.
- Sonraigh faisnéis faoin ngléas ag baint úsáide as an bhfaisnéis atá sa chuid set_device.
- Sonraigh cosán chuig an RTL fileag baint úsáide as an bhfaisnéis sa rannán read_verilog nó read_vhdl.
- Socraigh an modúl barrleibhéil ag baint úsáide as an bhfaisnéis sa rannán set_top_level.
- Sonraigh cosán chuig SDC an chomhpháirte fileag baint úsáide as an bhfaisnéis i rannóg read_sdc nó read_ndc.
- Rith an fileag baint úsáide as an bhfaisnéis sa chuid derive_constraints.
- Sonraigh an cosán chuig na srianta díorthaithe SDC file ag baint úsáide as an bhfaisnéis atá sa rannán write_sdc nó write_pdc nó write_ndc.
Example 9-2. Forghníomhú agus Ábhar an derive.tcl File
Seo a leanas seanampan argóint líne ordaithe chun an fóntais derive_constraints a fhorghníomhú.
$ /bin{64}/derive_constraints derive.tcl
Ábhar an derive.tcl file:
# Faisnéis faoin ngléas
socraigh_gléas -teaghlach PolarFire -bás MPF100T -luas -1
# RTL files
léigh_verilog -mód córas_verilog tionscadal/comhpháirt/obair/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
léigh_verilog -mód córas_verilog {tionscadal/comhpháirt/obair/txpll0/txpll0.v}
léigh_verilog -mód córas_verilog {tionscadal/comhpháirt/obair/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
léigh_verilog -mód córas_verilog {tionscadal/comhpháirt/obair/xcvr0/xcvr0.v}
léigh_vhdl -mód vhdl_2008 {tionscadal/hdl/xcvr1.vhd}
#Component SDC files
socraigh_barr_leibhéal {xcvr1}
léigh_sdc -component {tionscadal/comhpháirt/obair/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
léigh_sdc -component {tionscadal/comhpháirt/obair/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#Úsáid an t-ordú derive_constraint
srianta_díorthaithe
#Toradh SDC/PDC/NDC files
scríobh_sdc {tionscadal/srian/xcvr1_derived_constraints.sdc}
scríobh_pdc {tionscadal/srian/fp/xcvr1_díorthaithe_srianta.pdc}
9.1.2 socraigh_gléas (Cuir Ceist)
Cur síos
Sonraigh sloinne, ainm an bháis, agus grád luais.
socraigh_gléas -teaghlach -bás -luas
Argóintí
Paraiméadar | Cineál | Cur síos |
-teaghlach | Teaghrán | Sonraigh an sloinne. Is iad na luachanna féideartha ná PolarFire®, PolarFire SoC. |
-bás | Teaghrán | Sonraigh ainm an bháis. |
-luas | Teaghrán | Sonraigh grád luas an fheiste. Is iad STD nó -1 na luachanna féideartha. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Paraiméadar riachtanach — tá an bás ar iarraidh | Tá an rogha bás éigeantach agus ní mór í a shonrú. |
ERR0005 | Bás anaithnid 'MPF30' | Níl luach an rogha -die ceart. Féach ar an liosta luachanna féideartha i dtuairisc an rogha. |
ERR0023 | Paraiméadar—tá luach ar iarraidh sa bhás | Sonraítear an rogha bás gan luach. |
ERR0023 | Paraiméadar riachtanach — tá an teaghlach ar iarraidh | Tá an rogha teaghlaigh éigeantach agus ní mór í a shonrú. |
ERR0004 | Teaghlach anaithnid 'PolarFire®' | Níl an rogha teaghlaigh ceart. Féach ar an liosta luachanna féideartha i dtuairisc an rogha. |
…………… ar lean | ||
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Tá luach ar iarraidh sa pharaiméadar—teaghlach | Sonraítear an rogha teaghlaigh gan luach. |
ERR0023 | Paraiméadar riachtanach — luas ar iarraidh | Tá an rogha luais éigeantach agus ní mór í a shonrú. |
ERR0007 | Luas anaithnid ' | Níl an rogha luais ceart. Féach ar an liosta luachanna féideartha i dtuairisc an rogha. |
ERR0023 | Paraiméadar—luach ar iarraidh | Sonraítear an rogha luais gan luach. |
Example
socraigh_gléas -teaghlach {PolarFire} -bás {MPF300T_ES} -luas -1
socraigh_gléas -teaghlach SmartFusion 2 -die M2S090T -luas -1
9.1.3 léigh_verilog (Cuir Ceist)
Cur síos
Léigh Verilog file ag baint úsáide as Fíorú.
léigh_verilog [-lib ] [-mód ]fileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
-lib | Teaghrán | Sonraigh an leabharlann ina bhfuil na modúil atá le cur leis an leabharlann. |
-mód | Teaghrán | Sonraigh an caighdeán Verilog. Is iad na luachanna féideartha ná verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. Níl luachanna íogair ó thaobh cás de. Is é verilog_2k an réamhshocrú. |
fileainm | Teaghrán | Verilog file ainm. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Tá luach ar iarraidh i bparaiméadar—lib | Sonraítear an rogha lib gan luach. |
ERR0023 | Tá luach ar iarraidh sa mhodh paraiméadair | Sonraítear an rogha mód gan luach. |
ERR0015 | Mód anaithnid ' | Níl an modh verilog sonraithe ar eolas. Féach ar an liosta de mhodh verilog féideartha i dtuairisc ar an rogha mód. |
ERR0023 | Paraiméadar riachtanach file tá an t-ainm ar iarraidh | Gan verilog file cosán ar fáil. |
ERR0016 | Theip air mar gheall ar pharsálaí Verific | Earráid chomhréire i Verilog fileIs féidir parsálaí Verific a fheiceáil sa chonsól os cionn na teachtaireachta earráide. |
ERR0012 | Ní ghlaoitear ar set_device | Níl an fhaisnéis faoin ngléas sonraithe. Bain úsáid as an ordú set_device chun cur síos a dhéanamh ar an ngléas. |
Example
léigh_verilog -mód córas_verilog {comhpháirt/obair/barr/barr.v}
léigh_verilog -mód córas_verilog_mfcu dearadh.v
9.1.4 léigh_vhdl (Cuir Ceist)
Cur síos
Cuir VHDL leis file isteach sa liosta de VHDL files.
léigh_vhdl [-lib ] [-mód ]fileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
-lib | — | Sonraigh an leabharlann ina gcaithfear an t-ábhar a chur leis. |
-mód | — | Sonraíonn sé seo an caighdeán VHDL. Is é VHDL_93 an réamhshocrú. Is iad na luachanna féideartha ná vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. Níl luachanna íogair ó thaobh cás de. |
fileainm | — | VHDL file ainm. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Tá luach ar iarraidh i bparaiméadar—lib | Sonraítear an rogha lib gan luach. |
ERR0023 | Tá luach ar iarraidh sa mhodh paraiméadair | Sonraítear an rogha mód gan luach. |
ERR0018 | Mód anaithnid ' | Níl an modh VHDL sonraithe ar eolas. Féach ar an liosta de mhodhanna VHDL féideartha i dtuairisc ar an rogha mód. |
ERR0023 | Paraiméadar riachtanach file tá an t-ainm ar iarraidh | Gan VHDL file cosán ar fáil. |
ERR0019 | Ní féidir invalid_path.v a chlárú file | An VHDL sonraithe file níl ann nó níl ceadanna léite aige. |
ERR0012 | Ní ghlaoitear ar set_device | Níl an fhaisnéis faoin ngléas sonraithe. Bain úsáid as an ordú set_device chun cur síos a dhéanamh ar an ngléas. |
Example
léigh_vhdl -mód vhdl_2008 osc2dfn.vhd
léigh_vhdl {hdl/top.vhd}
9.1.5 socraigh_an_leibhéal_barr (Cuir Ceist)
Cur síos
Sonraigh ainm an mhodúil barrleibhéil in RTL.
socraigh_an_leibhéal_is_topa [-lib ]
Argóintí
Paraiméadar | Cineál | Cur síos |
-lib | Teaghrán | An leabharlann le cuardach a dhéanamh ar an modúl nó an eintiteas barrleibhéil (Roghnach). |
ainm | Teaghrán | Ainm an mhodúil nó an eintitis barrleibhéil. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Tá an leibhéal uachtarach paraiméadar riachtanach ar iarraidh | Tá an rogha barrleibhéil éigeantach agus ní mór í a shonrú. |
ERR0023 | Tá luach ar iarraidh i bparaiméadar—lib | Sonraítear an rogha lib gan luachanna. |
ERR0014 | Ní féidir an leibhéal is airde a aimsiú sa leabharlann | Níl an modúl barrleibhéil sonraithe sainmhínithe sa leabharlann a cuireadh ar fáil. Chun an earráid seo a dheisiú, ní mór ainm an mhodúil barr nó na leabharlainne a cheartú. |
ERR0017 | Theip ar an mionléiriú | Earráid sa phróiseas mionléirithe RTL. Is féidir an teachtaireacht earráide a fheiceáil ón gconsól. |
Example
socraigh_barr_leibhéal {barr}
socraigh_barr_leibhéal -lib hdl barr
9.1.6 read_sdc (Cuir Ceist)
Cur síos
Léigh SDC file isteach sa bhunachar sonraí comhpháirteanna.
read_sdc -compáirtfileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
-comhpháirt | — | Is bratach éigeantach í seo don ordú read_sdc nuair a dhíorthaímid srianta. |
fileainm | Teaghrán | An cosán chuig an SDC file. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Paraiméadar riachtanach file tá an t-ainm ar iarraidh. | An rogha éigeantach file níl ainm sonraithe. |
ERR0000 | SDC file <fileNí féidir _path> a léamh. | An SDC sonraithe file níl ceadanna léite aige. |
ERR0001 | Ní féidir oscailtfile_cosán> file. | An SDC file níl ann. Caithfear an cosán a cheartú. |
ERR0008 | Tá an t-ordú set_component ar iarraidh ifile_cosán> file | An chomhpháirt shonraithe de SDC file ní shonraíonn sé an chomhpháirt. |
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0009 | <List of errors from sdc file> | An SDC file tá orduithe sdc míchearta ann. Mar shamplaample,
nuair a bhíonn earráid i srian set_multicycle_path: Earráid agus an t-ordú read_sdc á fhorghníomhú: ifile_cosán> fileEarráid san ordú set_multicycle_path: Paraiméadar anaithnid [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (Cuir Ceist)
Cur síos
Léigh NDC file isteach sa bhunachar sonraí comhpháirteanna.
read_ndc -componentfileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
-comhpháirt | — | Is bratach éigeantach í seo don ordú read_ndc nuair a dhíorthaímid srianta. |
fileainm | Teaghrán | An cosán chuig an NDC file. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0001 | Ní féidir oscailtfile_cosán> file | An NDC file níl ann. Caithfear an cosán a cheartú. |
ERR0023 | Paraiméadar riachtanach—Tá AtclParamO_ ar iarraidh. | An rogha éigeantach fileníl ainm sonraithe. |
ERR0023 | Paraiméadar riachtanach — tá an chomhpháirt ar iarraidh. | Tá an rogha comhpháirte éigeantach agus ní mór í a shonrú. |
ERR0000 | NDC file 'fileNí féidir _path>' a léamh. | An NDC sonraithe file níl ceadanna léite aige. |
Example
léigh_ndc -component {component/work/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (Cuir Ceist)
Cur síos
SDC an chomhpháirte a thosú fileisteach sa bhunachar sonraí ar leibhéal an dearaidh.
srianta_díorthaithe
Argóintí
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0013 | Níl an barrleibhéal sainmhínithe | Ciallaíonn sé seo nach bhfuil an modúl nó an t-eintiteas barrleibhéil sonraithe. Chun an glao seo a shocrú, eisigh an an t-ordú set_top_level roimh an ordú derive_constraints. |
Example
srianta_díorthaithe
9.1.9 write_sdc (Cuir Ceist)
Cur síos
Scríobhann srian file i bhformáid SDC.
scríobh_sdcfileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
<fileainm > | Teaghrán | An cosán chuig an SDC file ginfear é. Is rogha éigeantach í seo. Má tá an file ann, déanfar é a athscríobh. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0003 | Ní féidir oscailtfile cosán> file. | File Níl an cosán ceart. Seiceáil an bhfuil na heolairí tuismitheora ann. |
ERR0002 | SDC file 'file Ní féidir cosán>' a scríobh. | An SDC sonraithe file níl cead scríbhneoireachta aige. |
ERR0023 | Paraiméadar riachtanach file tá an t-ainm ar iarraidh. | An SDC file Is rogha éigeantach í an cosán agus ní mór í a shonrú. |
Example
scríobh_sdc “díorthaithe.sdc”
9.1.10 write_pdc (Cuir Ceist)
Cur síos
Scríobhann srianta fisiceacha (Díorthaigh Srianta amháin).
scríobh_pdcfileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
<fileainm > | Teaghrán | An cosán chuig an PDC file ginfear é. Is rogha éigeantach í seo. Má tá an file má tá an cosán ann, déanfar é a athscríobh. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireachtaí Earráide | Cur síos |
ERR0003 | Ní féidir oscailtfile cosán> file | Tá an file Níl an cosán ceart. Seiceáil an bhfuil na heolairí tuismitheora ann. |
ERR0002 | PDC file 'file Ní féidir an cosán>' a scríobh. | An PDC sonraithe file níl cead scríbhneoireachta aige. |
ERR0023 | Paraiméadar riachtanach file tá an t-ainm ar iarraidh | An PDC file Is rogha éigeantach í an cosán agus ní mór í a shonrú. |
Example
scríobh_pdc “díorthaithe.pdc”
9.1.11 write_ndc (Cuir Ceist)
Cur síos
Scríobhann srianta NDC isteach i file.
scríobh_ndcfileainm >
Argóintí
Paraiméadar | Cineál | Cur síos |
fileainm | Teaghrán | An cosán chuig an NDC file ginfear é. Is rogha éigeantach í seo. Má tá an file ann, déanfar é a athscríobh. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireachtaí Earráide | Cur síos |
ERR0003 | Ní féidir oscailtfile_cosán> file. | File Níl an cosán ceart. Níl na heolairí tuismitheora ann. |
ERR0002 | NDC file 'fileNí féidir _path>' a scríobh. | An NDC sonraithe file níl cead scríbhneoireachta aige. |
ERR0023 | Tá an paraiméadar riachtanach _AtclParamO_ ar iarraidh. | An NDC file Is rogha éigeantach í an cosán agus ní mór í a shonrú. |
Example
scríobh_ndc “díorthaithe.ndc”
9.1.12 add_include_path (Cuir Ceist)
Cur síos
Sonraíonn cosán le cuardach a chur san áireamh fileagus RTL á léamh files.
cuir_cosán_le_cuir_san_cuid
Argóintí
Paraiméadar | Cineál | Cur síos |
eolaire | Teaghrán | Sonraíonn cosán le cuardach a chur san áireamh fileagus RTL á léamh files. Tá an rogha seo éigeantach. |
Cineál Tuairisceáin | Cur síos |
0 | D’éirigh leis an ordú. |
Cineál Tuairisceáin | Cur síos |
1 | Theip ar an ordú. Tá earráid ann. Is féidir leat an teachtaireacht earráide a fheiceáil sa chonsól. |
Liosta Earráidí
Cód Earráide | Teachtaireacht Earráid | Cur síos |
ERR0023 | Tá an paraiméadar riachtanach san áireamh sa chonair ar iarraidh. | Tá an rogha eolaire éigeantach agus ní mór í a sholáthar. |
Nóta: Má mura bhfuil an cosán eolaire ceart, ansin cuirfear add_include_path ar aghaidh gan earráid.
Mar sin féin, teipfidh ar orduithe read_verilog/read_vhd mar gheall ar pharsálaí Verific.
Example
cuir_cuir_an_cosán_le_cuir ...
Stair Athbhreithnithe (Cuir Ceist)
Déanann an stair athbhreithnithe cur síos ar na hathruithe a cuireadh i bhfeidhm sa doiciméad. Liostaítear na hathruithe de réir athbhreithnithe, ag tosú leis an bhfoilseachán is déanaí.
Athbhreithniú | Dáta | Cur síos |
F | 08/2024 | Déantar na hathruithe seo a leanas san athbhreithniú seo: • Roinn nuashonraithe Aguisín B—Leabharlanna Insamhalta a Iompórtáil isteach i dTimpeallacht Insamhalta. |
E | 08/2024 | Déantar na hathruithe seo a leanas san athbhreithniú seo: • Roinn nuashonraithe Tharview. • An chuid SDC Díorthaithe nuashonraithe File. • Roinn nuashonraithe Aguisín B—Leabharlanna Insamhalta a Iompórtáil isteach i dTimpeallacht Insamhalta. |
D | 02/2024 | Tá an doiciméad seo eisithe le Libero 2024.1 SoC Design Suite gan athruithe ó v2023.2. Roinn nuashonraithe Ag obair le fóntais derive_constraints |
C | 08/2023 | Tá an doiciméad seo eisithe le Libero 2023.2 SoC Design Suite gan athruithe ó v2023.1. |
B | 04/2023 | Tá an doiciméad seo eisithe le Libero 2023.1 SoC Design Suite gan athruithe ó v2022.3. |
A | 12/2022 | Athbhreithniú Tosaigh. |
Micrishlis Tacaíocht FPGA
Tacaíonn grúpa táirgí FPGA micrishlis a chuid táirgí le seirbhísí tacaíochta éagsúla, lena n-áirítear Seirbhís do Chustaiméirí, Ionad Tacaíochta Teicniúla do Chustaiméirí, a websuíomh, agus oifigí díolacháin ar fud an domhain.
Moltar do chustaiméirí cuairt a thabhairt ar acmhainní ar líne Micrishlis roimh theagmháil a dhéanamh le tacaíocht mar is dócha gur freagraíodh a gcuid fiosruithe cheana féin.
Déan teagmháil leis an Ionad Tacaíochta Teicniúla tríd an websuíomh ag www.microchip.com/support. Luaigh uimhir Chuid an Ghléis FPGA, roghnaigh catagóir an cháis chuí, agus uaslódáil dearadh files agus cás tacaíochta teicniúla á chruthú.
Déan teagmháil le Seirbhís do Chustaiméirí le haghaidh tacaíochta táirge neamhtheicniúil, amhail praghsáil táirge, uasghrádú táirgí, faisnéis cothrom le dáta, stádas ordú, agus údarú.
- Ó Mheiriceá Thuaidh, cuir glaoch ar 800.262.1060
- Ón gcuid eile den domhan, glaoigh ar 650.318.4460
- Facs, ó áit ar bith ar domhan, 650.318.8044
Eolas Micrishlis
An Mhicrishliseanna Websuíomh
Soláthraíonn micrishlis tacaíocht ar líne trínár websuíomh ag www.microchip.com/. seo webúsáidtear suíomh le déanamh files agus eolas ar fáil go héasca do chustaiméirí. Áirítear le cuid den ábhar atá ar fáil:
- Tacaíocht Táirge – Bileoga sonraí agus earráidí, nótaí feidhmchláir agus sample cláir, acmhainní deartha, treoracha úsáideora agus doiciméid tacaíochta crua-earraí, eisiúintí bogearraí is déanaí agus bogearraí cartlainne
- Tacaíocht Theicniúil Ghinearálta – Ceisteanna Coitianta (CCanna), iarratais ar thacaíocht theicniúil, pléghrúpaí ar líne, liostú chomhaltaí an chláir chomhpháirtíochta dearaidh micrishlis
- Gnó an Mhicrishlis – Roghnóir táirgí agus treoracha ordaithe, na preaseisiúintí is déanaí do Mhicrishlis, liosta de na seimineáir agus imeachtaí, liostaí na n-oifigí díolacháin Micrishlis, dáileoirí agus ionadaithe monarchan
Seirbhís Fógra um Athrú Táirge
Cuidíonn seirbhís fógra um athrú táirge Micrishlis do chustaiméirí a choinneáil ar an eolas faoi tháirgí Micrishlis. Gheobhaidh síntiúsóirí fógra ríomhphoist aon uair a bheidh athruithe, nuashonruithe, athbhreithnithe nó earráidí ann a bhaineann le teaghlach táirge sonraithe nó le huirlis shainspéise forbartha. Chun clárú, téigh go dtí www.microchip.com/pcn agus lean na treoracha clárúcháin.
Tacaíocht do Chustaiméirí
Is féidir le húsáideoirí táirgí Micrishlis cúnamh a fháil trí roinnt bealaí:
- Dáileoir nó Ionadaí
- Oifig Díolacháin Áitiúil
- Innealtóir Réitigh Leabaithe (ESE)
- Tacaíocht Theicniúil
Ba cheart do chustaiméirí dul i dteagmháil lena dáilitheoir, a n-ionadaí nó ESE le haghaidh tacaíochta. Tá oifigí díolacháin áitiúla ar fáil freisin chun cabhrú le custaiméirí. Tá liosta de na hoifigí agus na láithreacha díolacháin sa doiciméad seo. Tá tacaíocht theicniúil ar fáil tríd an websuíomh ag: www.microchip.com/support
Feistí Micrishlis Gné Cosanta Cód
Tabhair faoi deara na sonraí seo a leanas maidir le gné cosanta an chóid ar tháirgí Micrishlis:
- Comhlíonann táirgí micrishlis na sonraíochtaí atá ina mBileog Sonraí Micrishlis ar leith.
- Creideann micrishlis go bhfuil a theaghlach táirgí slán nuair a úsáidtear iad ar an mbealach atá beartaithe, laistigh de shonraíochtaí oibriúcháin, agus faoi ghnáthchoinníollacha.
- Luachann micrishlis agus cosnaíonn sé a chearta maoine intleachtúla go tréan. Tá cosc dian ar iarrachtaí chun gnéithe cosanta cód táirge Micrishlis a shárú agus d'fhéadfadh go sáródh siad Acht Cóipchirt na Mílaoise Digiteach.
- Ní féidir le Micrishlis ná aon mhonaróir leathsheoltóra eile slándáil a chóid a ráthú. Ní chiallaíonn cosaint cód go bhfuilimid ag ráthú go bhfuil an táirge “dobhriste”. Tá cosaint cód ag athrú i gcónaí. Tá micrishlis tiomanta d'fheabhsú leanúnach a dhéanamh ar ghnéithe cosanta cód ár dtáirgí.
Fógra Dlíthiúil
Ní féidir an foilseachán seo agus an fhaisnéis anseo a úsáid ach amháin le táirgí Micrishlis, lena n-áirítear chun táirgí Micrishlis a dhearadh, a thástáil agus a chomhtháthú le d'iarratas. Sáraíonn úsáid na faisnéise seo ar aon bhealach eile na téarmaí seo. Ní sholáthraítear faisnéis maidir le feidhmchláir ghléis ach amháin ar mhaithe leatsa agus is féidir nuashonruithe a chur ina n-ionad. Is ortsa atá an fhreagracht a chinntiú go dtagann d’iarratas le do shonraíochtaí. Déan teagmháil le d’oifig áitiúil díolacháin Micrishlis chun tacaíocht bhreise a fháil nó, faigh tacaíocht bhreise ag www.microchip.com/en-us/support/design-help/client-support-services.
SOLÁTHAR AN EOLAS SEO TRÍ MICROCHIP “MAR ATÁ”. Ní dhéanann Micrishlis aon uiríll ná barántais de chineál ar bith cibé acu sainráite nó intuigthe, scríofa nó ó bhéal, reachtúil nó eile, a bhaineann leis an bhfaisnéis lena n-áirítear ach gan a bheith teoranta do bharántais intuigthe neamh-infhréamhaithe, ceannas, agus aclaíocht chun críche áirithe, nó barántais A BHAINEANN LEIS A CHOINNÍOLL, CÁILÍOCHT, NÓ FEIDHMÍOCHT. NÍ BHFUIL MICREATHONNACH Dlite ar AON CAILLTEANAS DÍREACH, SPEISIALTA, PIONÓISEACH, TIONCHAIR, DÁMHACHTA, NÓ COSTAS, NÓ COSTAS D'AON CHINEÁL A BHAINEANN LEIS AN bhFAISNÉIS NÓ A ÚSÁID, FAOI BHFUIL SIN A CHUR CHUN CINN, TAR ÉIS AN FHAISNÉIS NÓ A ÚSÁID, TAR ÉIS A BHEITH FAOI CHOINNÍOLL FÉIDEARTHA NÓ TÁ NA DAMÁISTÍ IN AGHAIDH. GO MÉID IOMLÁN A CEADAITHE DON DLÍ, NÍ BHÍONN DLITEANAS IOMLÁN MICREATHONNACH AR GACH ÉILEAMH AR BHEALACH AR AON A BHAINEANN LEIS AN bhFAISNÉIS NÓ A ÚSÁID NÍ MÓR LEIS AN MÉID TÁILLÍ, MÁS ANN, ACH ÍOCADH TÚ DÍREACH LE MICREATHONNACH DON EOLAS.
Is ar riosca an cheannaitheora amháin a úsáidtear gléasanna Micreasliseanna in iarratais tacaíochta beatha agus/nó sábháilteachta, agus aontaíonn an ceannaitheoir Microchip a chosaint, a shlánú agus a choinneáil neamhdhíobhálach ó aon damáiste, éileamh, cás dlí nó costas a eascraíonn as an úsáid sin. Ní thugtar aon cheadúnais, go hintuigthe ná ar shlí eile, faoi aon chearta maoine intleachtúla de chuid Microchip mura luaitear a mhalairt.
Trádmharcanna
Ainm agus lógó an Mhicrishlis, lógó an Mhicrishlis, Adaptec, AVR, lógó AVR, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maxtouch, MediaLB, megaAVR, Microsemi, lógó Microsemi, MOST, lógó MOST, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, lógó PIC32, PolarFire, Dearthóir Prochip, QTouch, SAM-BA, SenGenuity, SpynIC, SST, SST Logo, SuperFlash, Symmetricom Is trádmharcanna cláraithe de Microchip Technology Incorporated i SAM agus i dtíortha eile iad SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, agus XMEGA.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, HyperLight Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, lógó ProASIC Plus, Quiet-Wire, SmartFusion, SyncWorld, Is trádmharcanna cláraithe de Microchip Technology Incorporated i SAM iad TimeCesium, TimeHub, TimePictra, TimeProvider, agus ZL
Sochtadh Eochair Tadhlach, AKS, Aois Analógach-don-Digiteach, Aon Toilleoir, AnyIn, AnyOut, Aistriú Méadaithe, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net Meaitseáil , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IgaT, Clárú Srathach Inchiorcad, ICSP, INICnet, Comhthreomhar Chliste, IntelliMOS, Nascacht Idir-Sliseanna, JitterBlocker, Knob-on-Display, MarginptoLink,, uasView, memBrain, Mindi, MiWi, MPASM, MPF, lógó deimhnithe MPLAB, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Giniúint Cód Omniscient, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS 7, PowerSmart, Puresilicon , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Srathach Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, SynchroPHY, Total Endurance , Am Iontaofa, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewIs trádmharcanna de Microchip Technology Incorporated i SAM agus i dtíortha eile iad Span, WiperLock, XpressConnect, agus ZENA.
Is comhartha seirbhíse é SQTP de chuid Microchip Technology Incorporated i SAM
Is trádmharcanna cláraithe de chuid Microchip Technology Inc. iad lógó Adaptec, Minicíocht ar Éileamh, Teicneolaíocht Stórála Sileacain, agus Symmcom i dtíortha eile.
Is trádmharc cláraithe é GestIC de Microchip Technology Germany II GmbH & Co. KG, fochuideachta de chuid Microchip Technology Inc., i dtíortha eile.
Is maoin de chuid a gcuideachtaí faoi seach iad na trádmharcanna eile go léir a luaitear anseo.
2024, Microchip Technology Incorporated agus a fochuideachtaí. Gach ceart ar cosaint.
ISBN: 978-1-6683-0183-8
Córas Bainistíochta Cáilíochta
Chun eolas a fháil maidir le Córais Bainistíochta Cáilíochta Micrishlis, tabhair cuairt le do thoil www.microchip.com/quality.
Díolacháin agus Seirbhís ar fud an domhain
AMERICAS | ASIA/AN tAIGEAN | ASIA/AN tAIGEAN | EORAIP |
Oifig Chorparáideach 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Teil: 480-792-7200 Facs: 480-792-7277 Tacaíocht Theicniúil: www.microchip.com/support Web Seoladh: www.microchip.com Atlanta Duluth, GA Teil: 678-957-9614 Facs: 678-957-1455 Aibhistín, TX Teil: 512-257-3370 Boston Westborough, MA Teil: 774-760-0087 Facs: 774-760-0088 Chicago Itasca, IL Teil: 630-285-0071 Facs: 630-285-0075 Dallas Addison, TX Teil: 972-818-7423 Facs: 972-818-2924 Detroit Novi, MI Teil: 248-848-4000 Houston, TX Teil: 281-894-5983 Indianapolis Baile uasal, IN Teil: 317-773-8323 Facs: 317-773-5453 Teil: 317-536-2380 Los Angeles Misean Viejo, CA Teil: 949-462-9523 Facs: 949-462-9608 Teil: 951-273-7800 Raleigh, NC Teil: 919-844-7510 Nua Eabhrac, NY Teil: 631-435-6000 San Jose, CA Teil: 408-735-9110 Teil: 408-436-4270 Ceanada - Toronto Teil: 905-695-1980 Facs: 905-695-2078 |
An Astráil - Sydney Teil: 61-2-9868-6733 An tSín - Beijing Teil: 86-10-8569-7000 An tSín - Chengdu Teil: 86-28-8665-5511 An tSín - Chongqing Teil: 86-23-8980-9588 An tSín - Dongguan Teil: 86-769-8702-9880 An tSín - Guangzhou Teil: 86-20-8755-8029 An tSín - Hangzhou Teil: 86-571-8792-8115 An tSín - Hong Cong SAR Teil: 852-2943-5100 An tSín - Nanjing Teil: 86-25-8473-2460 An tSín - Qingdao Teil: 86-532-8502-7355 An tSín - Shanghai Teil: 86-21-3326-8000 An tSín - Shenyang Teil: 86-24-2334-2829 An tSín - Shenzhen Teil: 86-755-8864-2200 An tSín - Suzhou Teil: 86-186-6233-1526 An tSín - Wuhan Teil: 86-27-5980-5300 An tSín - Xian Teil: 86-29-8833-7252 An tSín - Xiamen Teil: 86-592-2388138 An tSín - Zhuhai Teil: 86-756-3210040 |
India - Bangalore Teil: 91-80-3090-4444 An India - Deilí Nua Teil: 91-11-4160-8631 An India - Pune Teil: 91-20-4121-0141 An tSeapáin - Osaka Teil: 81-6-6152-7160 An tSeapáin - Tóiceo Teil: 81-3-6880- 3770 An Chóiré - Daegu Teil: 82-53-744-4301 An Chóiré - Seoul Teil: 82-2-554-7200 An Mhalaeisia - Kuala Lumpur Teil: 60-3-7651-7906 An Mhalaeisia - Penang Teil: 60-4-227-8870 Na hOileáin Fhilipíneacha - Mainile Teil: 63-2-634-9065 Singeapór Teil: 65-6334-8870 Taiwan - Hsin Chu Teil: 886-3-577-8366 Taiwan - Kaohsiung Teil: 886-7-213-7830 Taiwan - Taipei Teil: 886-2-2508-8600 An Téalainn - Bancác Teil: 66-2-694-1351 Vítneam - Ho Chi Minh Teil: 84-28-5448-2100 |
An Ostair - Wels Teil: 43-7242-2244-39 Facs: 43-7242-2244-393 An Danmhairg - Cóbanhávan Teil: 45-4485-5910 Facs: 45-4485-2829 An Fhionlainn - Espoo Teil: 358-9-4520-820 An Fhrainc - Páras Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 An Ghearmáin - Garching Teil: 49-8931-9700 An Ghearmáin - Haan Teil: 49-2129-3766400 An Ghearmáin - Heilbronn Teil: 49-7131-72400 An Ghearmáin - Karlsruhe Teil: 49-721-625370 An Ghearmáin - München Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 An Ghearmáin - Rosenheim Teil: 49-8031-354-560 Iosrael - Hod Hasharon Teil: 972-9-775-5100 An Iodáil - Milan Teil: 39-0331-742611 Facs: 39-0331-466781 An Iodáil - Padova Teil: 39-049-7625286 An Ísiltír - Drunen Teil: 31-416-690399 Facs: 31-416-690340 An Iorua - Trondheim Teil: 47-72884388 An Pholainn - Vársá Teil: 48-22-3325737 An Rómáin – Búcairist Tel: 40-21-407-87-50 An Spáinn - Maidrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 An tSualainn - Gothenberg Tel: 46-31-704-60-40 An tSualainn - Stócólm Teil: 46-8-5090-4654 An Ríocht Aontaithe - Wokingham Teil: 44-118-921-5800 Facs: 44-118-921-5820 |
Doiciméid / Acmhainní
![]() |
MICROCHIP DS00004807F Sreabhadh Saincheaptha FPGA Teaghlaigh PolarFire [pdfTreoir Úsáideora DS00004807F Sreabhadh Saincheaptha FPGA Teaghlach PolarFire, DS00004807F, Sreabhadh Saincheaptha FPGA Teaghlach PolarFire, Sreabhadh Saincheaptha FPGA Teaghlach, Sreabhadh Saincheaptha, Sreabhadh |