Дастури корбар PolarFire Family FPGA Custom Flow
Libero SoC v2024.2
Муқаддима (Савол диҳед)
Нармафзори Libero System-on-Chip (SoC) муҳити тарҳрезии пурраи ҳамгирошудаи Field Programmable Gate Array (FPGA) -ро таъмин мекунад. Аммо, чанде аз корбарон метавонанд мехоҳанд, ки синтез ва абзорҳои симулятсияи тарафи сеюмро берун аз муҳити Libero SoC истифода баранд. Акнун Libero метавонад ба муҳити тарроҳии FPGA ворид карда шавад. Тавсия дода мешавад, ки Libero SoC барои идоракунии тамоми ҷараёни тарроҳии FPGA истифода шавад.
Ин дастури корбар ҷараёнҳои фармоишии дастгоҳҳои PolarFire ва PolarFire SoC-ро тавсиф мекунад, ки раванди ҳамгироии Libero-ро ҳамчун як қисми ҷараёни тарҳрезии калонтари FPGA. Оилаҳои дастгоҳи дастгирӣшаванда® Дар ҷадвали зерин оилаҳои дастгоҳҳое оварда шудаанд, ки Libero SoC дастгирӣ мекунанд. Аммо, баъзе маълумот дар ин дастур метавонад танҳо ба як оилаи мушаххаси дастгоҳҳо дахл дошта бошад. Дар ин ҳолат, чунин маълумот равшан муайян карда мешавад.
Ҷадвали 1. Оилаҳои дастгоҳҳое, ки аз ҷониби Libero SoC дастгирӣ мешаванд
Оилаи дастгоҳ | Тавсифи |
PolarFire® | FPGA-ҳои PolarFire қувваи камтарини соҳаро дар зичии миёна бо амният ва эътимоди истисноӣ таъмин мекунанд. |
PolarFire SoC | PolarFire SoC аввалин SoC FPGA бо кластери CPU-и детерминистӣ, ҳамоҳангшудаи RISC-V ва зерсистемаи хотираи муайянкунандаи L2 мебошад, ки ба Linux® ва замимаҳои вақти воқеӣ имкон медиҳад. |
Барview (Савол диҳед)
Дар ҳоле, ки Libero SoC як муҳити тарҳрезии пурраи ҳамгирошуда барои таҳияи тарҳҳои SoC ва FPGA-ро фароҳам меорад, он инчунин чандирии иҷро кардани синтез ва симулятсияро бо абзорҳои тарафи сеюм берун аз муҳити Libero SoC таъмин мекунад. Аммо, баъзе қадамҳои тарроҳӣ бояд дар муҳити Libero SoC боқӣ монанд.
Дар ҷадвали зерин қадамҳои асосии ҷараёни тарҳрезии FPGA номбар шудаанд ва қадамҳоеро нишон медиҳанд, ки барои онҳо Libero SoC бояд истифода шавад.
Ҷадвали 1-1. Ҷараёни тарроҳии FPGA
Қадами ҷараёни тарҳрезӣ | Бояд Libero-ро истифода барад | Тавсифи |
Вуруди тарҳрезӣ: HDL | Не | Агар хоҳед, муҳаррири HDL/асбоби санҷиши тарафи сеюмро берун аз Libero® SoC истифода баред. |
Вуруди тарҳрезӣ: Конфигураторҳо | Бале | Аввалин лоиҳаи Libero барои тавлиди ҷузъи асосии каталоги IP эҷод кунед. |
Насли худкори маҳдудияти PDC/SDC | Не | Маҳдудиятҳои ҳосилшуда ба ҳама HDL ниёз доранд files ва утилитаи derive_constraints ҳангоми берун аз Libero SoC иҷрошуда, тавре ки дар Замимаи C - Маҳдудиятҳои ҳосилшуда тавсиф шудааст. |
Симуляция | Не | Агар хоҳед, абзори тарафи сеюмро берун аз Libero SoC истифода баред. Зеркашии китобхонаҳои моделиронии қаблан тартибдодашударо барои дастгоҳи мавриди ҳадаф, симулятори мақсаднок ва версияи ҳадафии Libero, ки барои татбиқи пуштибон истифода мешавад, талаб мекунад. |
Синтез | Не | Агар хоҳед, асбоби тарафи сеюмро берун аз Libero SoC истифода баред. |
Амалисозии тарроҳӣ: Идоракунии маҳдудиятҳо, тартиб додани рӯйхати Netlist, Ҷой ва масир (ниг.view) | Бале | Лоиҳаи дуюми Libero-ро барои татбиқи пушти сар эҷод кунед. |
Тафтиши вақт ва қудрат | Бале | Дар лоиҳаи дуюми Libero монед. |
Маълумот ва хотираҳои ибтидоии тарроҳиро танзим кунед | Бале | Ин асбобро барои идора кардани намудҳои гуногуни хотираҳо ва оғозкунии тарҳрезӣ дар дастгоҳ истифода баред. Дар лоиҳаи дуюм монед. |
Барномасозӣ File Насл | Бале | Дар лоиҳаи дуюм монед. |
Муҳим: Шумо бояд китобхонаҳои пешакӣ тартибдодашударо, ки дар ин сайт дастрасанд, зеркашӣ кунед Китобхонаҳои симулятсияи пешакӣ тартибдодашуда саҳифа барои истифодаи симулятори тарафи сеюм.
Дар ҷараёни тозаи Fabric FPGA, тарҳи худро бо истифода аз HDL ё вуруди схемавӣ ворид кунед ва онро мустақиман гузаронед
ба воситахои синтез. Ҷараён ҳоло ҳам дастгирӣ карда мешавад. FPGA-ҳои PolarFire ва PolarFire SoC назаррасанд
блокҳои хусусии сахти IP, ки истифодаи ядроҳои конфигуратсияро (SgCores) аз Libero SoC IP талаб мекунанд
каталог. Барои ҳама блокҳое, ки дорои функсияҳои SoC мебошанд, коркарди махсус лозим аст:
- PolarFire
– PF_UPROM
– PF_SYSTEM_SERVICES
– PF_CCC
– PF CLK DIV
– PF_CRYPTO
– PF_DRI
– PF_INIT_MONITOR
– PF_NGMUX
– PF_OSC
- RAM-ҳо (TPSRAM, DPSRAM, URAM)
– PF_SRAM_AHBL_AXI
– PF_XCVR_ERM
– PF_XCVR_REF_CLK
– PF_TX_PLL
– PF_PCIE
– PF_IO
– PF_IOD_CDR
– PF_IOD_CDR_CCC
– PF_IOD_GENERIC_RX
– PF_IOD_GENERIC_TX
– PF_IOD_GENERIC_TX_CCC
– PF_RGMII_TO_GMII
– PF_IOD_OCTAL_DDR
– PF_DDR3
– PF_DDR4
– PF_LPDDR3
– PF_QDR
– PF_CORESMARTBERT
– ПФ_ТAMPER
– PF_TVS ва ғайра.
Илова ба SgCores дар боло номбаршуда, дар каталоги Libero SoC барои оилаҳои дастгоҳҳои PolarFire ва PolarFire SoC бисёр IP-ҳои мулоими DirectCore мавҷуданд, ки захираҳои матоъҳои FPGA-ро истифода мебаранд.
Барои вуруди тарроҳӣ, агар шумо яке аз ҷузъҳои қаблиро истифода баред, шумо бояд Libero SoC-ро барои як қисми вуруди тарроҳӣ (Конфигуратсияи Компонент) истифода баред, аммо шумо метавонед қисми боқимондаи Вурудоти тарҳрезии худро (вориди HDL ва ғайра) берун аз Libero идома диҳед. Барои идора кардани ҷараёни тарроҳии FPGA берун аз Libero, қадамҳои дар боқимондаи ин дастур пешбинишударо иҷро кунед.
1.1 Сикли ҳаёти компонент (Савол диҳед)
Қадамҳои зерин давраи ҳаёти як ҷузъи SoC-ро тавсиф мекунанд ва дастурҳоро оид ба коркарди маълумот пешниҳод мекунанд.
- Бо истифода аз конфигуратори он дар Libero SoC ҷузъро тавлид кунед. Ин намудҳои зерини маълумотро тавлид мекунад:
- HDL files
– Хотира files
- Ҳавасмандкунӣ ва симулятсия files
– Қисмати SDC file - Барои HDL fileс, онҳоро дар боқимондаи тарроҳии HDL бо истифода аз асбоб/раванди вуруди тарроҳии берунӣ эҷод кунед ва ҳамгиро кунед.
- Таъмини хотира fileс ва ангезанда files ба воситаи симулятсияи шумо.
- Қисмати таъминоти SDC file Барои ба даст овардани абзори маҳдудият барои тавлиди маҳдудият. Барои тафсилоти бештар ба Замимаи C-Маҳдудиятҳои ҳосилшуда нигаред.
- Шумо бояд як лоиҳаи дуюми Libero эҷод кунед, ки дар он шумо рӯйхати шабакаҳои пас аз синтез ва метамаълумоти ҷузъҳои худро ворид мекунед, бо ин васила робитаи байни он чизе, ки шумо тавлид кардаед ва он чизе, ки шумо барнома мекунед, ба итмом мерасонед.
1.2 Эҷоди лоиҳаи Libero SoC (Савол диҳед)
Баъзе қадамҳои тарроҳӣ бояд дар дохили муҳити Libero SoC иҷро карда шаванд (Ҷадвали 1-1). Барои иҷро кардани ин қадамҳо, шумо бояд ду лоиҳаи Libero SoC эҷод кунед. Лоиҳаи якум барои конфигуратсия ва тавлиди ҷузъҳои тарроҳӣ истифода мешавад ва лоиҳаи дуюм барои амалисозии ҷисмонии тарҳи сатҳи олӣ мебошад.
1.3 Ҷараёни фармоишӣ (Савол диҳед)
Дар расми зерин нишон дода шудааст:
- Libero SoC-ро метавон ҳамчун як қисми ҷараёни тарроҳии калонтари FPGA бо асбобҳои синтез ва симулятсияи тарафи сеюм берун аз муҳити Libero SoC муттаҳид кард.
- Қадамҳои гуногун дар ҷараён, сар карда аз эҷоди тарроҳӣ ва дӯзандагӣ то барномасозии дастгоҳ.
- Мубодилаи маълумот (воридҳо ва баромадҳо), ки бояд дар ҳар як қадами ҷараёни тарҳрезӣ рух диҳад.
Маслиҳат:
- SNVM.cfg, UPROM.cfg
- *.мэм file насл барои Simulation: pa4rtupromgen.exe мегирад UPROM.cfg ҳамчун вуруд ва тавлид UPROM.mem.
Қадамҳо дар ҷараёни фармоишӣ инҳоянд:
- Конфигуратсия ва тавлиди ҷузъҳо:
а. Лоиҳаи аввалини Libero эҷод кунед (барои ҳамчун лоиҳаи истинод хизмат кардан).
б. Асосро аз каталог интихоб кунед. Ядро ду маротиба клик кунед, то ба он номи ҷузъ диҳад ва ҷузъро танзим кунед.
Ин ба таври худкор маълумоти ҷузъро содир мекунад ва fileс. Манифестҳои компонент низ тавлид карда мешаванд. Барои тафсилот ба Манифестҳои Компонентҳо нигаред. Барои тафсилоти бештар ба Конфигуратсияи ҷузъҳо нигаред. - Тарҳи RTL-и худро берун аз Libero анҷом диҳед:
а. Компоненти HDL-ро эҷод кунед files.
б. Ҷойгиршавии HDL files дар Манифестҳои Компонентҳо номбар шудааст files. - Барои ҷузъҳо маҳдудиятҳои SDC эҷод кунед. Барои тавлиди маҳдудияти вақт аз утилитаи Derive Constraints истифода баред file(SDC) дар асоси:
а. Қисмати HDL files
б. Қисмати SDC files
в. Истифодабарандаи HDL files
Барои тафсилоти бештар, нигаред ба Замимаи C - Маҳдудиятҳои ҳосилшуда. - Воситаи синтез/асбоби симулятсия:
а. HDL гиред fileс, ангезанда fileс, ва маълумоти ҷузъӣ аз маконҳои мушаххас, тавре ки дар Манифестҳои Компонентҳо қайд шудаанд.
б. Тарҳро бо асбобҳои тарафи сеюм берун аз Libero SoC синтез ва тақлид кунед. - Лоиҳаи дуюми Libero-и худро эҷод кунед.
- Синтезро аз занҷири абзори ҷараёни тарроҳӣ хориҷ кунед (Лоиҳа > Танзимоти лоиҳа > Ҷараёни тарроҳӣ > қуттии санҷиши Фаъолсозии Синтезро тоза кунед).
- Манбаи тарроҳиро ворид кунед files (пост-синтез * .vm netlist аз абзори синтез):
– Воридоти пас аз синтези * .vm рӯйхати шабака (File>Ворид> Синтезшудаи Verilog Netlist (VM)).
– Метамаълумоти ҷузъӣ *.cfg files барои uPROM ва/ё sNVM. - Ҳама ҷузъҳои блоки Libero SoC ворид кунед fileс. Блок files бояд дар *.cxz бошад file формат.
Барои маълумоти бештар дар бораи чӣ гуна сохтани блок, нигаред Дастури корбар PolarFire Block Flow. - Маҳдудиятҳои тарроҳиро ворид кунед:
- Маҳдудияти воридотӣ / баромад fileс (Менеҷери маҳдудиятҳо > I/OAttributes > Воридот).
– Банақшагирии фаршҳои воридотӣ *.pdc files (Менеҷери маҳдудиятҳо > Банақшагирии ошёна > Воридот).
– Маҳдудияти вақти воридот *.sdc fileс (Менеҷери маҳдудиятҳо > Вақт > воридот). SDC-ро ворид кунед file тавассути асбоби маҳдудкунии Derive тавлидшуда.
– Маҳдудияти воридот *.ndc files (Менеҷери маҳдудиятҳо > NetlistAttributes > Воридот), агар мавҷуд бошад. - Маҳдудият file ва ассотсиатсияи асбобҳо
– Дар менеҷери маҳдудият, *.pdc -ро пайваст кунед fileс ба чойгир кардан ва маршрут кардан, *.сдк files барои ҷойгиркунӣ ва тафтиши масир ва вақт ва *.ndc fileс барои тартиб додани рӯйхати Netlist. - Татбиқи пурраи тарҳрезӣ
- Ҷойгир ва масир, санҷидани вақт ва қудрат, танзим кардани маълумот ва хотираҳои тарроҳӣ ва барномасозӣ file насл. - Тарҳро тасдиқ кунед
– Тарҳро дар FPGA тасдиқ кунед ва дар ҳолати зарурӣ бо истифода аз асбобҳои тарроҳии бо маҷмӯи тарроҳии Libero SoC пешниҳодшуда ислоҳ кунед.
Конфигуратсияи ҷузъҳо (Савол диҳед)
Қадами аввал дар ҷараёни фармоишӣ ин танзим кардани ҷузъҳои шумо бо истифода аз лоиҳаи истинод ба Libero (инчунин лоиҳаи аввалини Libero дар ҷадвали 1-1 номида мешавад) мебошад. Дар қадамҳои минбаъда шумо маълумотро аз ин лоиҳаи истинод истифода мебаред.
Агар шумо ягон ҷузъҳои қаблан номбаршударо истифода баред, дар зерview дар тарҳрезии худ қадамҳои дар ин бахш тавсифшударо иҷро кунед.
Агар шумо ягон ҷузъҳои дар боло зикршударо истифода набаред, шумо метавонед RTL-и худро берун аз Libero нависед ва мустақиман онро ба абзорҳои Синтез ва Симуляцияи худ ворид кунед. Пас шумо метавонед ба бахши пасазсинтез гузаред ва танҳо рӯйхати холиси баъд аз синтези *.vm-ро ба лоиҳаи ниҳоии татбиқи Libero ворид кунед (инчунин дар ҷадвали 1-1 лоиҳаи дуюми Libero номида мешавад).
2.1 Конфигуратсияи ҷузъҳо бо истифода аз Libero (Савол диҳед)
Пас аз интихоби ҷузъҳое, ки бояд аз рӯйхати қаблӣ истифода шаванд, қадамҳои зеринро иҷро кунед:
- Лоиҳаи нави Libero эҷод кунед (конфигуратсия ва тавлиди аслӣ): Дастгоҳ ва оилаеро, ки тарҳи ниҳоии худро ба он равона кардаед, интихоб кунед.
- Як ё якчанд ядроҳои дар ҷараёни фармоишӣ зикршударо истифода баред.
а. SmartDesign эҷод кунед ва асосии дилхоҳро танзим кунед ва онро дар ҷузъи SmartDesign эҷод кунед.
б. Ҳама пинҳоро ба сатҳи боло пешбарӣ кунед.
в. SmartDesign -ро эҷод кунед.
г. Асбоби Simulate (ҳар яке аз имконоти Pre-Synthesis, Post-Synthesis ё Post-Layout) -ро ду маротиба клик кунед, то симуляторро даъват кунед. Шумо метавонед аз симулятор пас аз даъват шуданаш берун равед. Ин қадам симулятсияро эҷод мекунад fileбарои лоиҳаи шумо зарур аст.
Маслиҳат: Шумо Агар шумо хоҳед, ки тарроҳии худро берун аз Libero тақлид кунед, бояд ин қадамро иҷро кунед.
Барои маълумоти иловагӣ, нигаред ба Simulating Design худ.
д. Лоиҳаи худро захира кунед - ин лоиҳаи истинод ба шумост.
2.2 Манифестҳои компонентҳо (Савол диҳед)
Вақте ки шумо ҷузъҳои худро тавлид мекунед, маҷмӯи files барои ҳар як ҷузъ тавлид мешавад. Ҳисоботи Манифести Компонентҳо маҷмӯи fileҳо дар ҳар як қадами минбаъда тавлид ва истифода мешаванд (Синтез, Симуляция, Насли нармафзор ва ғайра). Ин гузориш ба шумо макони ҳамаи тавлидшудаҳоро медиҳад fileбарои идома додани ҷараёни фармоишӣ лозим аст. Шумо метавонед ба манифести компонент дар минтақаи Ҳисоботҳо дастрасӣ пайдо кунед: Барои кушодани ҷадвали Ҳисоботҳо Тарҳ > Ҳисоботҳоро клик кунед. Дар ҷадвали Ҳисоботҳо шумо маҷмӯи manifest.txt-ро мебинед fileс (Биёview), якто барои ҳар як ҷузъи тавлидкардаатон.
Маслиҳат: Барои дидани манифести компонент шумо бояд ҷузъ ё модулро ҳамчун '"root"' насб кунед file мундариҷа дар ҷадвали Ҳисоботҳо.
Интихобан, шумо метавонед ба гузориши манифести инфиродӣ дастрасӣ пайдо кунед fileс барои ҳар як ҷузъи асосии тавлидшуда ё ҷузъи SmartDesign аз /компонент/кор/ / / _manifest.txt ё /компонент/кор/ / _manifest.txt. Шумо инчунин метавонед ба манифест дастрасӣ пайдо кунед file мундариҷаи ҳар як ҷузъи аз ҷадвали нави ҷузъҳо дар Libero тавлидшуда, ки дар он file маконҳо дар бораи директорияи лоиҳа зикр шудаанд.Ба гузоришҳои манифести компонентҳои зерин диққат диҳед:
- Агар шумо ядроҳоро ба SmartDesign эҷод карда бошед, хонед file _manifest.txt.
- Агар шумо ҷузъҳоро барои ядроҳо сохта бошед, хонед _manifest.txt.
Шумо бояд ҳамаи гузоришҳои Манифестҳои Компонентҳоро, ки ба тарҳи шумо дахл доранд, истифода баред. Барои мисолample, агар лоиҳаи шумо дорои SmartDesign бо як ё якчанд ҷузъҳои асосии дар он сохташуда бошад ва шумо ният доред, ки ҳамаи онҳоро дар тарҳи ниҳоии худ истифода баред, пас шумо бояд интихоб кунед files дар гузоришҳои Манифестҳои Компонентҳо дар бораи ҳамаи он ҷузъҳо барои истифода дар ҷараёни тарроҳии шумо номбар шудаанд.
2.3 Тарҷумаи Манифест Fileс (Савол диҳед)
Вақте ки шумо манифести компонентро мекушоед file, шумо роҳҳоро мебинед files дар лоиҳаи Libero-и худ ва ишораҳо дар бораи он ки дар ҷараёни тарроҳӣ барои истифода бурдани онҳо. Шумо метавонед намудҳои зеринро бинед fileс дар манифест file:
- Манбаи HDL files барои ҳама абзорҳои синтез ва симулятсия
- Ҳавасмандкунӣ files барои ҳама абзорҳои Simulation
- Маҳдудият files
Дар зер Манифести ҷузъи ҷузъи асосии PolarFire оварда шудааст.Ҳар як намуди file дар ҷараёни тарроҳии шумо дар поёноб зарур аст. Фаслҳои зерин интегратсияро тавсиф мекунанд fileс аз манифест ба ҷараёни тарроҳии шумо.
тавлиди маҳдудият (Савол диҳед)
Ҳангоми иҷрои конфигуратсия ва тавлид, навиштан/таҷдиди маҳдудияти SDC/PDC/NDC-ро таъмин кунед files барои тарҳрезӣ барои интиқоли онҳо ба асбобҳои Синтез, Ҷойгир ва Масир ва Санҷиши вақт.
Утилитаи Derive Constraints -ро берун аз муҳити Libero истифода баред, то маҳдудиятҳоро ба ҷои навиштани дастӣ эҷод кунед. Барои истифодаи барномаи Derive Constraint берун аз муҳити Libero, шумо бояд:
- Таъмини корбар HDL, ҷузъи HDL ва ҷузъҳои SDC маҳдуд files
- Модули сатҳи болоро муайян кунед
- Ҷойеро, ки дар он маҳдудияти ҳосилшуда тавлид мешавад, муайян кунед files
Маҳдудиятҳои ҷузъи SDC дар зер дастрасанд /компонент/кор/ / / директория пас аз конфигуратсия ва тавлиди ҷузъҳо.
Барои тафсилоти бештар дар бораи чӣ гуна эҷод кардани маҳдудиятҳо барои тарҳи худ, нигаред ба Замимаи C - Маҳдудиятҳои ҳосилшуда.
Синтез кардани тарҳи шумо (Савол диҳед)
Яке аз хусусиятҳои асосии Ҷараёни фармоишӣ ин ба шумо имкон медиҳад, ки синтези тарафи сеюмро истифода баред
асбоб берун аз Libero. Ҷараёни фармоишӣ истифодаи Synopsys SynplifyPro -ро дастгирӣ мекунад. Барои синтез кардани шумо
лоиҳа, тартиби зеринро истифода баред:
- Дар абзори Синтези худ як лоиҳаи нав созед, ки ҳадафи ҳамон як оилаи дастгоҳ, мурдан ва бастаи лоиҳаи Libero, ки шумо эҷод кардаед, равона карда шавад.
а. RTL-и худро ворид кунед fileчунон ки шумо одатан мекунед.
б. Натиҷаи Синтезро ҳамчун Verilog Structural (.vm) таъин кунед.
Маслиҳат: сохторӣ Verilog (.vm) ягона формати баромади синтези дастгирӣшаванда дар PolarFire мебошад. - Воридоти ҷузъи HDL files ба лоиҳаи Синтези шумо:
а. Барои ҳар як ҷузъи Ҳисоботи Манифест: Барои ҳар як file дар зери манбаи HDL files барои ҳама абзорҳои Синтез ва Симуляция, ворид кунед file ба лоиҳаи синтези худ. - Ворид кунед file polarfire_syn_comps.v (агар истифода Synopsys Synplify) аз
Ҷойгоҳи насб>/data/aPA5M ба лоиҳаи Синтези шумо. - SDC-и қаблан тавлидшударо ворид кунед file тавассути абзори Маҳдудияти ҳосилшуда (ниг. Замима
А—Сample SDC Constraints) ба абзори Синтез. Ин маҳдудият file асбоби синтезро барои ноил шудан ба бастани вақт бо кӯшиши камтар ва итератсияҳои тарроҳии камтар маҳдуд мекунад.
Муҳим:
- Агар шумо ният доред, ки ҳамон *.sdc-ро истифода баред file Барои маҳдуд кардани Ҷой ва Масир дар марҳилаи татбиқи тарҳ, шумо бояд ин *.sdc -ро ба лоиҳаи синтез ворид кунед. Ин барои таъмини он аст, ки дар рӯйхати шабакаҳои синтезшуда ва маҳдудиятҳои Ҷой ва Масир дар марҳилаи амалисозии раванди тарроҳӣ ягон номувофиқатии номи объекти тарроҳӣ мавҷуд нест. Агар шумо ин *.sdc-ро дохил накунед file дар қадами Синтез, рӯйхати шабака, ки аз Синтез тавлид шудааст, метавонад қадами Ҷойгир ва Масирро аз сабаби номувофиқ будани номи объекти тарҳрезӣ ноком кунад.
а. Аттрибутҳои Netlist *.ndc, агар мавҷуд бошад, ба абзори Синтез ворид кунед.
б. Синтезро иҷро кунед. - Ҷойгиршавии асбоби Синтези шумо рӯйхати netlist *.vm дорад file синтези пост тавлидшуда. Шумо бояд рӯйхати шабакаро ба Лоиҳаи Татбиқи Libero ворид кунед, то раванди тарроҳиро идома диҳед.
Моделсозии тарҳи шумо (Савол диҳед)
Барои тақлид кардани тарроҳии худ берун аз Libero (яъне бо истифода аз муҳити симулятсия ва симулятори худ), қадамҳои зеринро иҷро кунед:
- Тарҳрезӣ Files:
а. Симуляцияи пеш аз синтез:
• RTL-и худро ба лоиҳаи симулятсияи худ ворид кунед.
• Барои ҳар як Ҳисоботи Манифестҳои Компонент.
- Ҳар якро ворид кунед file дар зери манбаи HDL files барои ҳама абзорҳои Синтез ва Симуляция дар лоиҳаи моделиронии шумо.
• Инҳоро тартиб диҳед fileмувофиқи дастурҳои симулятори шумо.
б. Симуляцияи пас аз синтез:
• Рӯйхати пас аз синтези *.vm-и худро (дар синтези тарҳи шумо тавлид шудааст) ба лоиҳаи симулятсияи худ ворид кунед ва онро тартиб диҳед.
в. Симуляцияи пас аз тарҳрезӣ:
• Аввалан, иҷрои тарҳи худро анҷом диҳед (ниг. Татбиқи тарҳи худ). Боварӣ ҳосил кунед, ки лоиҳаи ниҳоии Libero шумо дар ҳолати пас аз тарҳрезӣ қарор дорад.
• Ду маротиба клик кунед Эҷоди BackAnnotated Files дар равзанаи Libero Design Flow. Он ду тавлид мекунад files:
/дизайнер/ / _ba.v/vhd /дизайнер/
/ _ba.sdf
• Ҳардуи инҳоро ворид кунед files ба воситаи симулятсияи шумо. - Ҳавасмандкунӣ ва конфигуратсия files:
а. Барои ҳар як Ҳисоботи Манифести Компонент:
• Ҳамаашро нусхабардорӣ кунед fileс дар зери Стимул Files барои ҳамаи бахшҳои Tools Simulation ба феҳристи решаи лоиҳаи Simulation-и худ.
б. Боварӣ ҳосил кунед, ки ҳама гуна Tcl files дар рӯйхатҳои қаблӣ (дар қадами 2.a) аввал пеш аз оғози моделсозӣ иҷро карда мешаванд.
в. UPROM.mem: Агар шумо ядрои UPROM-ро дар тарҳрезии худ бо опсияи Истифодаи мундариҷа барои моделиронӣ истифода баред, ки барои як ё якчанд муштарии нигаҳдории маълумот, ки шумо мехоҳед симулятсия кунед, фаъол кунед, шумо бояд pa4rtupromgen иҷрошавандаро (pa4rtupromgen.exe дар тирезаҳо) барои тавлиди UPROM.mem истифода баред. file. Дар pa4rtupromgen иҷрошаванда мегирад UPROM.cfg file ҳамчун вуруд тавассути скрипти Tcl file ва UPROM.mem-ро мебарорад file барои симулятсияҳо лозим аст. Ин UPROM.mem file пеш аз иҷрои моделсозӣ бояд ба папкаи моделиронӣ нусхабардорӣ карда шавад. Як собиқample нишон додани истифодаи иҷрошавандаи pa4rtupromgen дар қадамҳои зерин таъмин карда мешавад. UPROM.cfg file дар директория дастрас аст /компонент/кор/ / дар лоиҳаи Libero, ки шумо барои тавлиди ҷузъи UPROM истифода кардаед.
г. snvm.mem: Агар шумо дар тарҳрезии худ ядрои Хидматҳои системаро истифода баред ва ҷадвали sNVM-ро дар аслӣ бо опсияи Истифодаи мундариҷа барои симулятсия барои як ё якчанд мизоҷ, ки мехоҳед симулятсия кунед, фаъол созед, snvm.mem file ба таври худкор тавлид мешавад
директория /компонент/кор/ / дар лоиҳаи Libero, ки шумо барои тавлиди ҷузъи Хидматҳои Система истифода бурдаед. Ин snvm.mem file пеш аз иҷрои моделсозӣ бояд ба папкаи моделиронӣ нусхабардорӣ карда шавад. - Дар зери папкаи корӣ папкаи корӣ ва зерпапкаи бо номи симулятсия эҷод кунед.
Дар pa4rtupromgen иҷрошаванда интизор мавҷудияти зерпапкаи моделиронӣ дар ҷузвдони корӣ ва скрипти *.tcl аст, ки дар зерпапкаи моделиронӣ ҷойгир. - UPROM.cfg-ро нусхабардорӣ кунед file аз лоиҳаи аввалини Libero, ки барои тавлиди ҷузъҳо ба ҷузвдони корӣ сохта шудааст.
- Фармонҳои зеринро дар скрипти *.tcl гузоред ва онро дар папкаи моделиронӣ, ки дар қадами 3 сохта шудааст, ҷойгир кунед.
Sample *.tcl барои дастгоҳҳои PolarFire ва PolarFire Soc Family барои тавлиди URPOM.mem file
аз UPROM.cfg
set_device -fam -мурдан -пкг
set_input_cfg -роҳ
set_sim_mem -роҳFile/UPROM.mem>
gen_sim -use_init false
Барои номи дурусти дохилӣ, ки барои чӯб ва баста истифода мешавад, ба *.prjx нигаред file лоиҳаи аввалини Libero (барои тавлиди ҷузъҳо истифода мешавад).
Далели use_init бояд бардурӯғ муқаррар карда шавад.
Фармони set_sim_mem -ро истифода баред, то роҳи баромадро муайян кунед file UPROM.mem, яъне
ҳангоми иҷрои скрипт тавлид мешавад file бо pa4rtupromgen иҷрошаванда. - Дар сатри фармон ё терминали cygwin, ба директорияи корӣ, ки дар қадами 3 сохта шудааст, равед.
Фармони pa4rtupromgen-ро бо варианти–скрипт иҷро кунед ва ба он скрипти *.tcl-ро, ки дар қадами қаблӣ сохта шудааст, интиқол диҳед.
Барои Windows
/designer/bin/pa4rtupromgen.exe \
-скрипт./симулятсия/ .tcl
Барои Linux:
/bin/pa4rtupromgen
-скрипт./симулятсия/ .tcl - Пас аз иҷрои бомуваффақияти pa4rtupromgen иҷрошаванда, санҷед, ки UPROM.mem file аст, ки дар макони муайян дар фармони set_sim_mem дар скрипти *.tcl тавлидшуда.
- Барои тақлид кардани sNVM, snvm.mem-ро нусхабардорӣ кунед file аз лоиҳаи аввалини Libero (барои конфигуратсияи ҷузъҳо истифода мешавад) ба папкаи симулятсияи сатҳи болоии лоиҳаи моделиронии шумо барои иҷро кардани симулятсия (берун аз Libero SoC). Барои тақлид кардани мундариҷаи UPROM, UPROM.mem-и тавлидшударо нусхабардорӣ кунед file ба папкаи симулятсияи сатҳи болоии лоиҳаи симулятсияи худ ворид кунед, то симулятсияро иҷро кунед (берун аз Libero SoC).
Муҳим: Ба функсияҳои ҷузъҳои SoC-ро тақлид кунед, китобхонаҳои моделиронии пешакӣ тартибдодашудаи PolarFire-ро зеркашӣ кунед ва онҳоро ба муҳити симулятсияи худ, тавре ки дар ин ҷо тавсиф шудааст, ворид кунед. Барои тафсилоти бештар нигаред ба Замимаи B—Воридоти китобхонаҳои симулятсия ба муҳити симулятсия.
Татбиқи тарҳи шумо (Савол диҳед)
Пас аз ба итмом расонидани симулятсияи синтез ва пас аз синтез дар муҳити худ, шумо бояд Libero-ро дубора истифода баред, то тарҳи худро ба таври ҷисмонӣ амалӣ созед, таҳлили вақт ва қудратро иҷро кунед ва барномасозии худро эҷод кунед. file.
- Барои татбиқи ҷисмонӣ ва тарҳрезии тарроҳӣ як лоиҳаи нави Libero эҷод кунед. Боварӣ ҳосил кунед, ки ҳамон дастгоҳеро, ки дар лоиҳаи истинод, ки шумо дар Конфигуратсияи Компонент офаридаед, ҳадаф қарор диҳед.
- Пас аз эҷоди лоиҳа, Синтезро аз занҷири асбобҳо дар равзанаи Ҷараёни тарҳрезӣ хориҷ кунед (Лоиҳа > Танзимоти лоиҳа > Ҷараёни тарроҳӣ > Нишондиҳандаи Фаъолсозии синтез).
- Пастсинтези худро *.vm ворид кунед file дар ин лоиҳа, (File > Воридот > Синтезшудаи Verilog Netlist (VM)).
Маслиҳат: Тавсия дода мешавад, ки шумо ба ин пайванд эҷод кунед file, то ки агар шумо тарҳи худро дубора синтез кунед, Libero ҳамеша рӯйхати охирини пас аз синтезро истифода мебарад.
а. Дар равзанаи иерархияи тарроҳӣ номи модули решаро қайд кунед. - Маҳдудиятҳоро ба лоиҳаи Libero ворид кунед. Барои ворид кардани маҳдудиятҳои *.pdc/*.sdc/*.ndc Менеҷери маҳдудкуниро истифода баред.
а. Маҳдудияти воридоти I/O *.pdc files (Менеҷери Маҳдудиятҳо > Хусусиятҳои I/O > Воридот).
б. Маҳдудияти воридоти ошёнаи *.pdc files (Менеҷери маҳдудиятҳо > Банақшагирии ошёна > Воридот).
в. Маҳдудияти вақти воридот *.sdc fileс (Менеҷери Маҳдудиятҳо > Вақт > Воридот). Агар тарҳи шумо дорои яке аз ядроҳои дар Over номбаршуда бошадview, воридоти SDC-ро таъмин кунед file тавассути асбоби маҳдудкунии ҳосилшуда тавлид шудааст.
г. Маҳдудияти воридот *.ndc files (Менеҷери Маҳдудиятҳо > Хусусиятҳои Netlist > Воридот). - Маҳдудиятҳои алоқаманд Fileбарои тарҳрезии асбобҳо.
а. Менеҷери маҳдудиятҳоро кушоед (Идоракунии маҳдудиятҳо > Идоракунии маҳдудиятҳоро кушоед View).
Қуттии санҷиши Ҷой ва масир ва вақтро дар паҳлӯи маҳдудият санҷед file барои муқаррар кардани маҳдудият file ва ассотсиатсияи асбобҳо. Маҳдудияти *.pdc -ро ба Place-andRoute ва *.sdc -ро ҳам ба Санҷиши Ҷой ва Масир ва ҳам вақт пайваст кунед. Ассотсиатсияи *.ndc file Барои тартиб додани Netlist.
Маслиҳат: Агар Ҷойгир ва масир бо ин маҳдудияти *.sdc ноком мешавад file, пас ин ҳамон *.sdc ворид кунед file ба синтез ва такрори синтез.
- Барои анҷом додани қадами тарҳбандии Тартиби Netlist ва пас Ҷойгир ва Масирро клик кунед.
- Асбоби конфигуратсияи маълумотҳои ибтидоии тарҳрезӣ ва хотираҳо ба шумо имкон медиҳад, ки блокҳои тарроҳиро ба монанди LSRAM, µSRAM, XCVR (интиқолдиҳанда) ва PCIe бо истифода аз маълумоти дар µPROM, sNVM ё хотираи берунаи нигаҳдории Flash нигоҳ доштаро оғоз кунед. Восита дорои ҷадвалҳои зерин барои муайян кардани мушаххасоти пайдарпаии оғозкунии тарроҳӣ, мушаххасоти муштариёни оғозсозӣ, муштариёни маълумоти корбар аст.
- Ҷадвали оғози тарҳрезӣ
– ҷадвали µPROM
– ҷадвали sNVM
- Ҷадвали SPI Flash
- Ҷадвали RAMs матоъ
Барои танзим кардани маълумот ва хотираҳои тарроҳии оғозёбӣ, ҷадвалҳоро дар асбоб истифода баред.Пас аз ба итмом расонидани конфигуратсия, барои барномарезии маълумоти ибтидоӣ қадамҳои зеринро иҷро кунед:
• Эҷоди муштариёни оғозёбӣ
• Ҷараёни битро тавлид ё содирот кунед
• Дастгоҳро барномарезӣ кунед
Барои маълумоти муфассал дар бораи чӣ гуна истифода бурдани ин асбоб, ба дастури корбарии Libero SoC Design Flow нигаред. Барои маълумоти бештар дар бораи фармонҳои Tcl, ки барои танзими ҷадвалҳои гуногун дар асбоб ва муайян кардани конфигуратсияи хотира истифода мешаванд files (*.cfg), нигаред Дастури истинод ба фармонҳои Tcl. - Эҷоди як барномасозӣ File аз ин лоиҳа ва онро барои барномарезии FPGA-и худ истифода баред.
Замимаи А—СampМаҳдудиятҳои SDC (Савол диҳед
Libero SoC маҳдудиятҳои вақти SDC-ро барои ядроҳои муайяни IP, ба монанди CCC, OSC, Transceiver ва ғайра тавлид мекунад. Гузаронидани маҳдудиятҳои SDC ба асбобҳои тарроҳӣ имкони вохӯрии бастани вақтро бо кӯшиши камтар ва такрори камтари тарҳрезӣ афзоиш медиҳад. Роҳи пурраи иерархӣ аз мисоли сатҳи боло барои ҳама объектҳои тарроҳии дар маҳдудиятҳо истинодшуда дода мешавад.
7.1 Маҳдудиятҳои вақт барои SDC (Савол диҳед)
Дар лоиҳаи истинодҳои асосии Libero IP, ин маҳдудияти SDC сатҳи боло file аз Менеҷери Маҳдудият дастрас аст (Ҷараёни тарҳрезӣ > Маҳдудияти Идоракунии кушода View > Вақт > Маҳдудиятҳо ҳосил кунед).
Муҳим: нигаред ин file Барои муқаррар кардани маҳдудиятҳои SDC, агар тарҳи шумо дорои CCC, OSC, Transceiver ва ҷузъҳои дигар бошад. Барои мувофиқ кардани иерархияи тарроҳии худ, агар лозим бошад, роҳи пурраи иерархикиро тағир диҳед ё утилитаи Derive_Constraints ва қадамҳои Замимаи C - Маҳдудиятҳои ҳосилшударо дар сатҳи ҷузъи SDC истифода баред file.
захира кунед file ба номи дигар ва SDC ворид кунед file ба асбоби синтез, Воситаи Ҷойгиркунӣ ва Масир ва Санҷиши вақт, ба мисли ҳама гуна маҳдудиятҳои SDC files.
7.1.1 SDC ҳосилшуда File (Савол диҳед)
#Ин file дар асоси манбаи зерини SDC тавлид шудааст files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
TRANSMIT_PLL/TRANSMIT_PLL_0/TRANSMIT_PLL_TRANSMIT_PLL_0_PF_TX_PLL.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** Ҳама гуна тағирот ба ин file агар маҳдудиятҳои ҳосилшуда дубора иҷро карда шаванд, гум мешавад. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -давраи 6.25
[ даст_pins { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] эҷод_соат -ном {REF_CLK_PAD_P} -давраи 10 [ get_ports { REF_CLK_PAD_P } ] эҷод_соат -ном {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/TRAN_0ll_p/x
DIV_CLK} -давраи 8
[ get_pins {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] эҷод_соати_generated -ном {CLOCKS_AND_RESETS_inst_0/CCC_FIC_X_CCP_0/CCC_FIC_X_CCP_0/ll/
OUT0} -зарб_ба 25 -тақсим_ба 32 -манбаъ
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -марҳилаи 0
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] эҷод_соати_generated -ном {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_PF_0/ll/
OUT1} -зарб_ба 25 -тақсим_ба 32 -манбаъ
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -марҳилаи 0
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] эҷод_соати_generated -ном {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_PF_0/ll/
OUT2} -зарб_ба 25 -тақсим_ба 32 -манбаъ
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -марҳилаи 0
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] эҷод_соати_generated -ном {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK_PF_0/ll/
OUT3} -зарб_ба 25 -тақсим_ба 64 -манбаъ
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -марҳилаи 0
[ get_pins {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_soat -ном {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_IV_MHz/CLK_DC_DCI/
Y_DIV} -тақсим_ба 2 -манбаъ
[ get_pins {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -тавассути [ get_nets {DMA_INITIATOR_inst_0/ARESETN* } ] дастёбӣ_хат {чашмаки бардурӯғ DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -ба [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -аз [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -to [ get_cells {DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -тавассути [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -ба [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE_PF_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -аз [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -тавассути [get_nets ITIA } RESTORIE_st]
Замимаи B - Воридоти китобхонаҳои моделиронӣ ба муҳити симулятсия (Савол диҳед)
Симулятори пешфарз барои симулятсияи RTL бо Libero SoC ModelSim ME Pro мебошад.
Китобхонаҳои пешакӣ тартибдодашуда барои симулятори пешфарз бо насби Libero дар директория дастрасанд /Designer/lib/modelsimpro/precompiled/vlog for® оилаҳои дастгирӣшаванда. Libero SoC инчунин дигар версияҳои симуляторҳои тарафи сеюми ModelSim, Questasim, VCS, Xcelium -ро дастгирӣ мекунад
, Active HDL ва Riviera Pro. Китобхонаҳои мувофиқи пешакӣ тартибдодашударо аз Libero SoC v12.0 ва дертар дар асоси симулятор ва версияи он.
Монанд ба муҳити Libero, run.do file бояд барои иҷро кардани симулятсия берун аз Libero сохта шавад.
Як run.do оддӣ эҷод кунед file ки дорои фармонҳои таъсиси китобхона барои натиҷаҳои ҷамъоварӣ, харитасозии китобхона, компиляция ва симулятсия. Барои сохтани run.do асосӣ қадамҳоро иҷро кунед file.
- Бо истифода аз фармони vlib vlib presynth китобхонаи мантиқӣ эҷод кунед, то натиҷаҳоро захира кунед.
- Номи китобхонаи мантиқиро бо истифода аз фармони vmap vmap ба феҳристи китобхонаи пешакӣ тартибдодашуда харита кунед .
- Тартиб додани манбаъ files—барои тартиб додани тарҳ фармонҳои компилятори ба забон хосро истифода баред fileс ба феҳристи корӣ.
– влог барои .v/.sv
– vcom барои .vhd - Тарҳро барои симулятсия бо истифода аз фармони vsim бо нишон додани номи ҳама гуна модули сатҳи боло бор кунед.
- Тарҳро бо истифода аз фармони иҷро тақлид кунед.
Пас аз боркунии тарҳ, вақти моделиронӣ ба сифр муқаррар карда мешавад ва шумо метавонед фармони иҷроро барои оғоз кардани моделиронӣ ворид кунед.
Дар равзанаи транскрипти симулятор run.do -ро иҷро кунед file ҳамчун run.do симулятсияро иҷро кунед. Сample run.do file таври зерин.
оромона ACTELLIBNAME насб кунед PolarFire PROJECT_DIR "W:/Test/basic_test"-ро оромона насб кунед, агар
{[file мавҷуд аст presynth/_info]} { echo "INFO: Китобхонаи симулятсияи presynth вуҷуд дорад" } дигаре
{ file нест кардан -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
"X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire" vlog -sv -кор presynth
"${PROJECT_DIR}/hdl/top.v" vlog "+incdir+${PROJECT_DIR}/stimulus" -sv -кор presynth "$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb илова кардани мавҷ /tb/*
иҷро 1000ns гузориш / tb / * баромадан
Замимаи C – Маҳдудиятҳои ҳосилшуда (Савол диҳед)
Ин замима фармонҳои Derive Constraints Tcl-ро тавсиф мекунад.
9.1 Фармонҳои Tcl Маҳдудиятҳоро ҳосил кунед (Савол диҳед)
Утилитаи derive_constraints ба шумо кӯмак мекунад, ки маҳдудиятҳоро аз RTL ё конфигуратор берун аз муҳити тарроҳии Libero SoC ба даст оред. Барои эҷоди маҳдудиятҳо барои тарҳи худ, ба шумо корбар HDL, Component HDL ва Component Constraints лозим аст. fileс. Маҳдудиятҳои ҷузъи SDC files дар зери дастрас мебошанд /компонент/кор/ / / директория пас аз конфигуратсия ва тавлиди ҷузъҳо.
Маҳдудияти ҳар як ҷузъи file аз фармони set_component tcl (номи ҷузъро муайян мекунад) ва рӯйхати маҳдудиятҳое, ки пас аз конфигуратсия тавлид мешаванд, иборат аст. Маҳдудиятҳо дар асоси конфигуратсия тавлид мешаванд ва барои ҳар як ҷузъ хосанд.
Example 9-1. Маҳдудияти компонент File барои асосии PF_CCC
Дар ин ҷо собиқ астample аз маҳдудияти ҷузъи file барои ядрои PF_CCC:
set_component PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# Microchip Corp.
# Сана: 2021-26 октябр 04:36:00
# Соати асосӣ барои PLL #0
эҷод_соат -давраи 10 [ get_pins {pll_inst_0/REF_CLK_0 } ] create_generated_clock -тақсим_ба 1 -манбаъ [get_pins {pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] Дар ин ҷо create_clock ва create_generated_clock мувофиқан маҳдудиятҳои соати истинод ва баромад мебошанд, ки дар асоси конфигуратсия тавлид мешаванд.
9.1.1 Кор бо утилитаи derive_constraints (Савол диҳед)
Маҳдудиятҳоро тавассути тарҳрезӣ гузаронед ва барои ҳар як мисоли ҷузъ дар асоси ҷузъи қаблан пешниҳодшудаи SDC маҳдудиятҳои нав ҷудо кунед fileс. Барои соатҳои истинодҳои CCC, он тавассути тарҳрезӣ бармегардад, то манбаи соати истинодро пайдо кунад. Агар манба як вуруд / баромад бошад, маҳдудияти соати истинод дар вуруд/беророт муқаррар карда мешавад. Агар он баромади CCC ё манбаи дигари соат бошад (масаланample, Transceiver, oscillator), он соатро аз ҷузъҳои дигар истифода мебарад ва дар сурати мувофиқат накардани фосилаҳо огоҳӣ медиҳад. Маҳдудиятҳои ҳосилшуда инчунин барои баъзе макросҳо, ба монанди осцилляторҳои чипӣ, агар шумо онҳоро дар RTL-и худ дошта бошед, маҳдудиятҳо ҷудо мекунанд.
Барои иҷрои утилитаи derive_constraints, шумо бояд .tcl-ро таъмин кунед file далели сатри фармон бо маълумоти зерин бо тартиби муайян.
- Маълумоти дастгоҳро бо истифода аз маълумот дар қисмати set_device муайян кунед.
- Роҳро ба RTL муайян кунед fileс бо истифода аз маълумоти дар фасли read_verilog ё read_vhdl.
- Модули сатҳи болоро бо истифода аз маълумоти дар қисмати set_top_level насб кунед.
- Роҳро ба ҷузъи SDC муайян кунед fileс бо истифода аз маълумоти дар фасли read_sdc ё read_ndc.
- Иҷро кунед fileс бо истифода аз маълумоти дар фасли derive_constraints.
- Роҳро ба маҳдудиятҳои ҳосилшудаи SDC муайян кунед file бо истифода аз маълумот дар фасли write_sdc ё write_pdc ё write_ndc.
Example 9-2. Иҷро ва мундариҷаи derive.tcl File
Дар зер як собиқ астample далели сатри фармон барои иҷрои утилитаи derive_constraints.
$ /bin{64}/derive_constraints derive.tcl
Мундариҷаи derive.tcl file:
# Маълумот дар бораи дастгоҳ
set_device -family PolarFire -бимирад MPF100T -суръат -1
#RTL files
read_verilog -mode system_verilog project/component/work/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {лоиҳа/компонент/кор/txpll0/txpll0.v}
read_verilog -mode system_verilog {лоиҳа/компонент/кор/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
read_verilog -mode system_verilog {лоиҳа/компонент/кор/xcvr0/xcvr0.v}
read_vhdl -mode vhdl_2008 {loyiha/hdl/xcvr1.vhd}
# Қисмати SDC files
сатҳи_боло {xcvr1}
read_sdc -компонент {лоиҳа/компонент/кор/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
read_sdc -компонент {лоиҳа/компонент/кор/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#Фармони derive_constraint-ро истифода баред
ҳосил_маҳдудиятҳо
Натиҷаи #SDC/PDC/NDC files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 танзим_дастгоҳ (Савол диҳед)
Тавсифи
Номи оила, номи марг ва дараҷаи суръатро муайян кунед.
set_device - оила -мурдан -суръат
Далелҳо
Параметр | Навъи | Тавсифи |
-оила | Сатр | Номи оиларо муайян кунед. Арзишҳои имконпазир PolarFire®, PolarFire SoC мебошанд. |
-мурдан | Сатр | Номи матоъро муайян кунед. |
-суръат | Сатр | Сатҳи суръати дастгоҳро муайян кунед. Арзишҳои имконпазир STD ё -1 мебошанд. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Параметри зарурӣ - ченак мавҷуд нест | Варианти марг ҳатмист ва бояд муайян карда шавад. |
ХАТО 0005 | Намуди номаълуми 'MPF30' | Арзиши опсияи -die дуруст нест. Ба рӯйхати имконпазири арзишҳо дар тавсифи опсия нигаред. |
ХАТО 0023 | Параметр-марта арзиши гумшуда аст | Варианти бимирад бе арзиш муайян карда мешавад. |
ХАТО 0023 | Параметри зарурӣ - оила мавҷуд нест | Варианти оилавӣ ҳатмист ва бояд муайян карда шавад. |
ХАТО 0004 | Оилаи номаълуми 'PolarFire®' | Варианти оилавӣ дуруст нест. Ба рӯйхати имконпазири арзишҳо дар тавсифи опсия нигаред. |
……… идома дод | ||
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Параметр-оила арзиши гумшуда аст | Варианти оилавӣ бе арзиш муайян карда мешавад. |
ХАТО 0023 | Параметри зарурӣ - суръат мавҷуд нест | Варианти суръат ҳатмист ва бояд муайян карда шавад. |
ХАТО 0007 | Суръати номаълум ' ' | Варианти суръат дуруст нест. Ба рӯйхати имконпазири арзишҳо дар тавсифи опсия нигаред. |
ХАТО 0023 | Параметр-суръат арзиши гумшуда аст | Варианти суръат бе арзиш муайян карда мешавад. |
Example
set_device -оила {PolarFire} -марг {MPF300T_ES} -суръат -1
set_device -оилаи SmartFusion 2 -dee M2S090T -суръат -1
9.1.3 read_verilog (Савол диҳед)
Тавсифи
Verilog-ро хонед file бо истифода аз Verific.
read_verilog [-lib ] [-режим ]fileном>
Далелҳо
Параметр | Навъи | Тавсифи |
-либ | Сатр | Китобхонаеро, ки дорои модулҳои ба китобхона илова карда мешаванд, муайян кунед. |
-режим | Сатр | Стандарти Verilog-ро муайян кунед. Қиматҳои имконпазир verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu мебошанд. Арзишҳо беҳассос мебошанд. Пешфарз Verilog_2k аст. |
fileном | Сатр | Verilog file ном. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Параметр-lib арзиши гумшуда аст | Опсияи lib бе арзиш муайян карда шудааст. |
ХАТО 0023 | Параметр-режим арзиши гумшуда аст | Варианти режим бе арзиш муайян карда мешавад. |
ХАТО 0015 | Ҳолати номаълум ' ' | Ҳолати муайяншудаи верилог номаълум аст. Рӯйхати ҳолати имконпазири верилогро дар тавсифи варианти режим бубинед. |
ХАТО 0023 | Параметри зарурӣ file ном нест | Не вилог file роҳ дода шудааст. |
ХАТО 0016 | Бо сабаби таҳлилгари Verific ноком шуд | Хатогии синтаксис дар verilog file. Таҳлилгари Verific-ро дар консол дар болои паёми хато мушоҳида кардан мумкин аст. |
ХАТО 0012 | set_device даъват карда намешавад | Маълумот дар бораи дастгоҳ муайян карда нашудааст. Барои тавсифи дастгоҳ фармони set_device -ро истифода баред. |
Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -mode system_verilog_mfcu design.v
9.1.4 read_vhdl (Савол диҳед)
Тавсифи
Иловаи VHDL file ба рӯйхати VHDL files.
read_vhdl [-lib ] [-режим ]fileном>
Далелҳо
Параметр | Навъи | Тавсифи |
-либ | — | Китобхонаеро, ки дар он мундариҷа бояд илова карда шавад, муайян кунед. |
-режим | — | Стандарти VHDL-ро муайян мекунад. Пешфарз VHDL_93 аст. Арзишҳои имконпазир vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl мебошанд. Арзишҳо беҳассос мебошанд. |
fileном | — | VHDL file ном. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Параметр-lib арзиши гумшуда аст | Опсияи lib бе арзиш муайян карда шудааст. |
ХАТО 0023 | Параметр-режим арзиши гумшуда аст | Варианти режим бе арзиш муайян карда мешавад. |
ХАТО 0018 | Ҳолати номаълум ' ' | Ҳолати муайяншудаи VHDL номаълум аст. Рӯйхати ҳолати имконпазири VHDL-ро дар тавсифи варианти режим бубинед. |
ХАТО 0023 | Параметри зарурӣ file ном нест | VHDL нест file роҳ дода шудааст. |
ХАТО 0019 | Бақайдгирии invalid_path.v ғайриимкон аст file | VHDL муайяншуда file вуҷуд надорад ё иҷозати хондан надорад. |
ХАТО 0012 | set_device даъват карда намешавад | Маълумот дар бораи дастгоҳ муайян карда нашудааст. Барои тавсифи дастгоҳ фармони set_device -ро истифода баред. |
Example
read_vhdl -mode vhdl_2008 osc2dfn.vhd
read_vhdl {hdl/top.vhd}
9.1.5 сатҳи_боло (Савол диҳед)
Тавсифи
Номи модули сатҳи болоро дар RTL муайян кунед.
set_top_level [-lib ]
Далелҳо
Параметр | Навъи | Тавсифи |
-либ | Сатр | Китобхона барои ҷустуҷӯи модул ё объекти сатҳи боло (Ихтиёрӣ). |
ном | Сатр | Модули сатҳи боло ё номи объект. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Сатҳи болоии параметри зарурӣ мавҷуд нест | Варианти сатҳи боло ҳатмист ва бояд муайян карда шавад. |
ХАТО 0023 | Параметр-lib арзиши гумшуда аст | Опсияи lib бе арзишҳо муайян карда мешавад. |
ХАТО 0014 | Сатҳи болоро ёфтан мумкин нест дар китобхона | Модули сатҳи болоӣ дар китобхонаи пешниҳодшуда муайян карда нашудааст. Барои ислоҳи ин хато, номи модули боло ё китобхона бояд ислоҳ карда шавад. |
ХАТО 0017 | Таҳлил ноком шуд | Хатогӣ дар раванди таҳияи RTL. Паёми хатогиро аз консол дидан мумкин аст. |
Example
сатҳи_боло {боло}
set_top_level -lib hdl боло
9.1.6 read_sdc (Савол диҳед)
Тавсифи
SDC-ро хонед file ба базаи компонентҳо.
read_sdc -компонентfileном>
Далелҳо
Параметр | Навъи | Тавсифи |
-компонент | — | Вақте ки мо маҳдудиятҳоро ба даст меорем, ин парчами ҳатмӣ барои фармони read_sdc аст. |
fileном | Сатр | Роҳ ба SDC file. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Параметри зарурӣ file ном нест. | Варианти ҳатмӣ file ном муайян нашудааст. |
ХАТО 0000 | SDC file <file_path> хондан мумкин нест. | SDC муайяншуда file иҷозати хондан надорад. |
ХАТО 0001 | Кушодан ғайриимкон астfile_ рох> file. | SDC file вуҷуд надорад. Роҳро бояд ислоҳ кард. |
ХАТО 0008 | Фармони set_component дарfile_ рох> file | Ҷузъи мушаххаси SDC file ҷузъро муайян намекунад. |
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0009 | <List of errors from sdc file> | SDC file дорои фармонҳои SDC нодуруст аст. Барои мисолampле,
вақте ки дар маҳдудияти set_multicycle_path хатогӣ вуҷуд дорад: Хатогӣ ҳангоми иҷрои фармони read_sdc: дарfile_ рох> file: Хатогӣ дар фармони set_multicycle_path: Параметри номаълум [get_cells {reg_a}]. |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (Савол диҳед)
Тавсифи
NDC хонед file ба базаи компонентҳо.
read_ndc -компонентfileном>
Далелҳо
Параметр | Навъи | Тавсифи |
-компонент | — | Ин парчами ҳатмӣ барои фармони read_ndc аст, вақте ки мо маҳдудиятҳоро ба даст меорем. |
fileном | Сатр | Роҳ ба сӯи NDC file. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0001 | Кушодан ғайриимкон астfile_ рох> file | NDC file вуҷуд надорад. Роҳро бояд ислоҳ кард. |
ХАТО 0023 | Параметри зарурӣ - AtclParamO_ нест. | Варианти ҳатмӣ fileном муайян нашудааст. |
ХАТО 0023 | Параметри зарурӣ - ҷузъи мавҷуд нест. | Опсияи компонент ҳатмист ва бояд муайян карда шавад. |
ХАТО 0000 | NDC file 'file_path>' хондан мумкин нест. | NDC муайяншуда file иҷозати хондан надорад. |
Example
read_ndc -компонент {компонент/кор/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (Савол диҳед)
Тавсифи
Компоненти фаврии SDC fileс ба базаи сатҳи тарҳрезӣ.
ҳосил_маҳдудиятҳо
Далелҳо
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0013 | Сатҳи болоӣ муайян карда нашудааст | Ин маънои онро дорад, ки модул ё объекти сатҳи боло муайян карда нашудааст. Барои ислоҳ кардани ин занг, пахш кунед фармони set_top_level пеш аз фармони derive_constraints. |
Example
ҳосил_маҳдудиятҳо
9.1.9 write_sdc (Савол диҳед)
Тавсифи
Маҳдудият менависад file дар формати SDC.
write_sdcfileном>
Далелҳо
Параметр | Навъи | Тавсифи |
<fileном> | Сатр | Роҳ ба SDC file тавлид мешавад. Ин як варианти ҳатмист. Агар file вуҷуд дорад, аз болои он навишта мешавад. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0003 | Кушодан ғайриимкон астfile роҳ> file. | File роҳ дуруст нест. Санҷед, ки оё директорияҳои волидайн вуҷуд доранд. |
ХАТО 0002 | SDC file 'file рох>' навиштан мумкин нест. | SDC муайяншуда file иҷозати навиштан надорад. |
ХАТО 0023 | Параметри зарурӣ file ном нест. | SDC file роҳ як варианти ҳатмист ва бояд муайян карда шавад. |
Example
write_sdc "derived.sdc"
9.1.10 write_pdc (Савол диҳед)
Тавсифи
Маҳдудиятҳои физикиро менависад (танҳо маҳдудиятҳои ҳосилшуда).
write_pdcfileном>
Далелҳо
Параметр | Навъи | Тавсифи |
<fileном> | Сатр | Роҳ ба PDC file тавлид мешавад. Ин як варианти ҳатмист. Агар file роҳ вуҷуд дорад, он аз нав навишта мешавад. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёмҳои хатогӣ | Тавсифи |
ХАТО 0003 | Кушодан ғайриимкон астfile роҳ> file | Дар file роҳ дуруст нест. Санҷед, ки оё директорияҳои волидайн вуҷуд доранд. |
ХАТО 0002 | PDC file 'file рох>' навиштан мумкин нест. | PDC муайяншуда file иҷозати навиштан надорад. |
ХАТО 0023 | Параметри зарурӣ file ном нест | PDC file роҳ як варианти ҳатмист ва бояд муайян карда шавад. |
Example
write_pdc "derived.pdc"
9.1.11 write_ndc (Савол диҳед)
Тавсифи
Маҳдудиятҳои NDC-ро ба a менависад file.
write_ndcfileном>
Далелҳо
Параметр | Навъи | Тавсифи |
fileном | Сатр | Роҳ ба сӯи NDC file тавлид мешавад. Ин як варианти ҳатмист. Агар file вуҷуд дорад, аз болои он навишта мешавад. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёмҳои хатогӣ | Тавсифи |
ХАТО 0003 | Кушодан ғайриимкон астfile_ рох> file. | File роҳ дуруст нест. Директорияҳои волидайн вуҷуд надоранд. |
ХАТО 0002 | NDC file 'file_path>' навиштан мумкин нест. | NDC муайяншуда file иҷозати навиштан надорад. |
ХАТО 0023 | Параметри зарурии _AtclParamO_ мавҷуд нест. | NDC file роҳ як варианти ҳатмист ва бояд муайян карда шавад. |
Example
write_ndc "derived.ndc"
9.1.12 add_include_path (Савол диҳед)
Тавсифи
Роҳи ҷустуҷӯро дар бар мегирад fileҳангоми хондани RTL files.
add_include_path
Далелҳо
Параметр | Навъи | Тавсифи |
директория | Сатр | Роҳи ҷустуҷӯро дар бар мегирад fileҳангоми хондани RTL fileс. Ин вариант ҳатмист. |
Навъи бозгашт | Тавсифи |
0 | Фармон муваффақ шуд. |
Навъи бозгашт | Тавсифи |
1 | Фармон ноком шуд. Хатогие ҳаст. Шумо метавонед паёми хатогиро дар консол мушоҳида кунед. |
Рӯйхати хатогиҳо
Рамзи хато | Паёми хато | Тавсифи |
ХАТО 0023 | Параметри зарурии дохил кардани роҳ мавҷуд нест. | Опсияи директория ҳатмист ва бояд пешниҳод карда шавад. |
Эзоҳ: Агар роҳи директория дуруст нест, пас add_include_path бе хато гузаронида мешавад.
Аммо, фармонҳои read_verilog/read_vhd аз сабаби таҳлилгари Verific ноком мешаванд.
Example
add_include_path ҷузъи/кор/COREABC0/COREABC0_0/rtl/vlog/core
Таърихи таҷдиди назар (Савол диҳед)
Таърихи таҷдиди назар тағйиротҳоеро, ки дар ҳуҷҷат амалӣ карда шудаанд, тавсиф мекунад. Тағйирот аз рӯи навор аз нашри охирин сар карда номбар карда мешаванд.
Барраси | Сана | Тавсифи |
F | 08/2024 | Дар таҳрири мазкур тағйироти зерин ворид карда мешаванд: • Фасли навкардашудаи Замимаи В—Воридоти китобхонаҳои моделиронӣ ба муҳити симулятсия. |
E | 08/2024 | Дар таҳрири мазкур тағйироти зерин ворид карда мешаванд: • Фасли навшудаview. • Бахши навшудаи SDC-и ҳосилшуда File. • Фасли навкардашудаи Замимаи В—Воридоти китобхонаҳои моделиронӣ ба муҳити симулятсия. |
D | 02/2024 | Ин ҳуҷҷат бо Libero 2024.1 SoC Design Suite бидуни тағирот аз v2023.2 нашр шудааст. Бахши навсозӣ Кор бо утилитаи derive_constraints |
C | 08/2023 | Ин ҳуҷҷат бо Libero 2023.2 SoC Design Suite бидуни тағирот аз v2023.1 нашр шудааст. |
B | 04/2023 | Ин ҳуҷҷат бо Libero 2023.1 SoC Design Suite бидуни тағирот аз v2022.3 нашр шудааст. |
A | 12/2022 | Баррасии ибтидоӣ. |
Дастгирии Microchip FPGA
Гурӯҳи маҳсулоти Microchip FPGA маҳсулоти худро бо хидматҳои гуногуни дастгирӣ дастгирӣ мекунад, аз ҷумла Хадамоти муштариён, Маркази дастгирии техникии муштариён, webсайт ва офисҳои фурӯш дар саросари ҷаҳон.
Ба мизоҷон тавсия дода мешавад, ки пеш аз тамос бо дастгирӣ ба захираҳои онлайни Microchip муроҷиат кунанд, зеро эҳтимол дорад, ки ба дархостҳои онҳо аллакай ҷавоб дода шудааст.
Тавассути маркази дастгирии техникӣ тамос гиред webсайт дар www.microchip.com/support. Рақами Қисмати дастгоҳи FPGA -ро қайд кунед, категорияи парвандаи мувофиқро интихоб кунед ва тарҳро бор кунед fileс ҳангоми ташкили парвандаи дастгирии техникӣ.
Барои дастгирии ғайритехникии маҳсулот, ба монанди нархгузории маҳсулот, такмилдиҳии маҳсулот, маълумоти навсозӣ, ҳолати фармоиш ва иҷозат ба Хадамоти муштариён тамос гиред.
- Аз Амрикои Шимолӣ ба 800.262.1060 занг занед
- Аз тамоми ҷаҳон ба рақами 650.318.4460 занг занед
- Факс, аз ҳар гӯшаи ҷаҳон, 650.318.8044
Маълумоти микрочип
Микрочип Webсайт
Microchip тавассути мо дастгирии онлайн пешниҳод мекунад webсайт дар www.microchip.com/. Ин webсайт барои сохтан истифода мешавад fileс ва маълумот ба осонӣ ба мизоҷон дастрас аст. Баъзе аз мундариҷаи дастрас иборатанд аз:
- Дастгирии маҳсулот - Варақаҳои маълумот ва хатогиҳо, қайдҳои барнома ва сampбарномаҳо, захираҳои тарроҳӣ, дастурҳои корбар ва ҳуҷҷатҳои дастгирии сахтафзор, версияҳои охирини нармафзор ва нармафзори бойгонӣ
- Дастгирии умумии техникӣ - Саволҳои зуд-зуд пурсидашаванда (FAQs), дархостҳои дастгирии техникӣ, гурӯҳҳои мубоҳисавии онлайн, рӯйхати аъзоёни барномаи шарики тарроҳии Microchip
- Бизнеси Microchip - Дастурҳои интихобкунанда ва фармоиши маҳсулот, пресс-релизҳои охирини Microchip, рӯйхати семинарҳо ва чорабиниҳо, рӯйхати офисҳои фурӯши Microchip, дистрибюторҳо ва намояндагони корхонаҳо
Хадамоти огоҳинома дар бораи тағирёбии маҳсулот
Хидмати огоҳинома оид ба тағирёбии маҳсулот аз Microchip кӯмак мекунад, ки муштариён дар бораи маҳсулоти Microchip ҷорӣ бошанд. Муштариён ҳар дафъае, ки тағирот, навсозӣ, таҷдиди назар ё хатогиҳои марбут ба як оилаи маҳсулот ё асбоби таҳияи мавриди таваҷҷӯҳ вуҷуд доранд, огоҳии почтаи электронӣ мегиранд. Барои бақайдгирӣ, равед www.microchip.com/pcn ва дастурҳои бақайдгирӣ риоя кунед.
Дастгирии муштариён
Истифодабарандагони маҳсулоти Microchip метавонанд тавассути якчанд канал кӯмак гиранд:
- Дистрибютор ё Намоянда
- Идораи фурӯши маҳаллӣ
- Муҳандиси ҳалли дарунсохт (ESE)
- Пуштибонии фаннӣ
Мизоҷон бояд барои дастгирӣ бо дистрибютор, намоянда ё ESE тамос гиранд. Идораҳои фурӯши маҳаллӣ низ барои кӯмак ба мизоҷон дастрасанд. Рӯйхати офисҳои фурӯш ва маконҳо ба ин ҳуҷҷат дохил карда шудааст. Дастгирии техникӣ тавассути дастрас аст webсайт дар: www.microchip.com/support
Хусусияти ҳифзи коди дастгоҳҳои Microchip
Ба тафсилоти зерини хусусияти муҳофизати код дар маҳсулоти Microchip диққат диҳед:
- Маҳсулоти Microchip ба мушаххасоти дар варақаи маълумотии Microchip мушаххаси онҳо мавҷудбуда мувофиқат мекунанд.
- Microchip боварӣ дорад, ки оилаи маҳсулоти он ҳангоми истифодаи мувофиқ, дар доираи мушаххасоти корӣ ва дар шароити муқаррарӣ бехатар аст.
- Microchip арзиш дорад ва ба таври хашмгин ҳуқуқҳои моликияти зеҳнии худро муҳофизат мекунад. Кӯшишҳо барои вайрон кардани хусусиятҳои муҳофизати рамзи маҳсулоти Microchip қатъиян манъ аст ва метавонад Санади рақамии Ҳазорсолаи муаллифиро вайрон кунад.
- На Microchip ва на ягон истеҳсолкунандаи дигари нимноқил бехатарии рамзи онро кафолат дода наметавонад. Муҳофизати код маънои онро надорад, ки мо кафолат медиҳем, ки маҳсулот "шикастнашаванда" аст. Муҳофизати код доимо такмил меёбад. Microchip ӯҳдадор аст, ки хусусиятҳои муҳофизати рамзи маҳсулоти моро пайваста такмил диҳад.
Огоҳии ҳуқуқӣ
Ин нашрия ва маълумоти ин ҷо метавонад танҳо бо маҳсулоти Microchip, аз ҷумла барои тарҳрезӣ, озмоиш ва ҳамгироии маҳсулоти Microchip бо барномаи шумо истифода шавад. Истифодаи ин маълумот ба ҳар тариқи дигар ин шартҳоро вайрон мекунад. Маълумот дар бораи замимаҳои дастгоҳ танҳо барои роҳати шумо дода мешавад ва мумкин аст бо навсозиҳо иваз карда шаванд. Боварӣ ҳосил кунед, ки аризаи шумо ба мушаххасоти шумо мувофиқат мекунад, масъулияти шумост. Барои дастгирии иловагӣ ба идораи фурӯши маҳаллии Microchip муроҷиат кунед ё дар ин суроға кӯмаки иловагӣ гиред www.microchip.com/en-us/support/design-help/client-support-services.
ИН МАЪЛУМОТ АЗ ТАРАФИ МИКРОЧИП «ЧУНОН КИ АСТ» ТАЪМИН МЕШАВАД. MICROCHIP ҲЕҶ гуна изҳорот ё кафолат намедиҳад, хоҳ ошкоро, хоҳ дарвоқеъ, хаттӣ, хоҳ шифоҳӣ, қонунӣ ё ба тариқи дигар, ки ба маълумоти марбут ба, аз ҷумла,, вале НА МАҲДУДИ РӮЗҲО, Қобилият, ва мувофиқ будан ба Мақсадҳои мушаххас ё Кафолатҳо БА ВАЗЪИЯТ, СИФАТ Ё ИЧРОИ ОН МАСЪАЛАНД. БА ҲЕҶ ҲОЛО МИКРОЧИП БАРОИ ЯГОН ТАЛАФИ ҒАЙРОМИ, МАХСУС, ҶАЗОӢ, ТАСОДУФӢ Ё ДАР ПОКИБОНӢ, ЗАРАРӢ, ХАРОҶОТ Ё ХАРОҶИ ҲАР ОН ЧИ КИ БА МАХСУСӢ, КОРҲО, РОЧИП АЗ ХАБАР МЕДИХАД ИМКОНИЯТ Ё ЗАРАРИ ПЕШГИРӢ МЕШАВАНД. МАЪЛУМОТИ УМУМИИ МИКРОЧИП АЗ РУИ ТАМОМИ ДАЪВОХО БА МАЪЛУМОТ ВА ИСТИФОДИ ОН БА ДОДАХОИ ПУРРА, КИ КОНУН Ичозат медихад, аз маблаги хирочхо, АГАР ЯГОН АСТ, КИ ИН МАТБУОТИ ШУМОРО ЗИЁД НАМЕШАВАД. .
Истифодаи дастгоҳҳои Microchip дар таъмини ҳаёт ва/ё барномаҳои бехатарӣ комилан ба зиммаи харидор аст ва харидор розӣ мешавад, ки Microchip-ро аз ҳама гуна хисорот, даъвоҳо, даъвоҳо ва хароҷотҳое, ки дар натиҷаи чунин истифода ба вуҷуд меоянд, муҳофизат, ҷуброн ва нигоҳ медорад. Ҳеҷ гуна иҷозатнома ба таври ғайримустақим ё ба тариқи дигар дар зери ягон ҳуқуқҳои моликияти зеҳнии Microchip интиқол дода намешавад, агар тартиби дигаре пешбинӣ нашуда бошад.
Тамғаҳои молӣ
Ном ва логотипи Microchip, логотипи Microchip, Adaptec, AVR, логотипи AVR, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, maMDlu, maMDlu MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST логотип, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 логотип, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logometr, SuperFlash, Sym , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron ва XMEGA тамғаҳои ба қайд гирифташудаи Microchip Technology Incorporated дар ИМА ва дигар кишварҳо мебошанд.
AgileSwitch, ClockWorks, Ширкати Embedded Control Solutions, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus логотипи, Quiet-Wire, SmartFuld, SmartFuld TimeCesium, TimeHub, TimePictra, TimeProvider ва ZL тамғаҳои ба қайд гирифташудаи Microchip Technology Incorporated дар ИМА мебошанд
Паҳнкунии калидҳои ҳамсоя, AKS, аналогӣ барои синну соли рақамӣ, ҳама гуна конденсатор, AnyIn, AnyOut, Гузаришҳои васеъшуда, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoCompanion, ADMICDP Мутобиқати комил , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, барномасозии силсилавии дарунсохт, ICSP, INICnet, параллелизатсияи интеллектуалӣ, IntelliMOS, Пайвастшавӣ байни чипҳо, JitterBlocker, Knob-on-Displey, MarginCink, максView, memBrain, Mindi, MiWi, MPASM, MPF, логотипи MPLAB Certified, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, тавлиди коди ҳамаҷониба, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, PSScon 7, Powermart , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Synch Endurance , Вақти боэътимод, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect ва ZENA тамғаҳои тиҷоратии Microchip Technology Incorporated дар ИМА ва дигар кишварҳо мебошанд.
SQTP як тамғаи хидматрасонии Microchip Technology Incorporated дар ИМА мебошад
Логотипи Adaptec, Frequency on Demand, Silicon Storage Technology ва Symmcom тамғаҳои ба қайд гирифташудаи Microchip Technology Inc. дар дигар кишварҳо мебошанд.
GestIC тамғаи молии ба қайд гирифташудаи Microchip Technology Germany II GmbH & Co. KG, филиали Microchip Technology Inc. дар дигар кишварҳо мебошад.
Ҳама тамғаҳои тиҷоратии дар ин ҷо зикршуда моликияти ширкатҳои дахлдори онҳо мебошанд.
2024, Microchip Technology Incorporated ва филиалҳои он. Ҳамаи ҳуқуқ маҳфуз аст.
ISBN: 978-1-6683-0183-8
Системаи идоракунии сифат
Барои гирифтани маълумот дар бораи системаҳои идоракунии сифати Microchip, лутфан боздид кунед www.microchip.com/quality.
Фурӯш ва хидмат дар саросари ҷаҳон
АМЕРИКА | ОСИЁ/ОРОМ | ОСИЁ/ОРОМ | ЕВРОПА |
Идораи корпоративӣ 2355 Вест Чандлер Blvd. Чандлер, AZ 85224-6199 Тел: 480-792-7200 Факс: 480-792-7277 Пуштибонии фаннӣ: www.microchip.com/support Web Адрес: ш. www.microchip.com Атланта Дулут, ҶА Тел: 678-957-9614 Факс: 678-957-1455 Остин, Техас Тел: 512-257-3370 Бостон Вестборо, MA Тел: 774-760-0087 Факс: 774-760-0088 Чикаго Итаска, Ил Тел: 630-285-0071 Факс: 630-285-0075 Даллас Аддисон, TX Тел: 972-818-7423 Факс: 972-818-2924 Детройт Нови, МИ Тел: 248-848-4000 Хьюстон, Техас Тел: 281-894-5983 Индианаполис Ноблсвилл, ИН Тел: 317-773-8323 Факс: 317-773-5453 Тел: 317-536-2380 Лос-Анҷелес Mission Viejo, CA Тел: 949-462-9523 Факс: 949-462-9608 Тел: 951-273-7800 Роли, NC Тел: 919-844-7510 Ню Йорк, Ню Йорк Тел: 631-435-6000 Сан-Хосе, Калифорния Тел: 408-735-9110 Тел: 408-436-4270 Канада – Торонто Тел: 905-695-1980 Факс: 905-695-2078 |
Австралия – Сидней Тел: 61-2-9868-6733 Чин – Пекин Тел: 86-10-8569-7000 Чин – Чэнду Тел: 86-28-8665-5511 Чин – Чунцин Тел: 86-23-8980-9588 Чин – Дунгуан Тел: 86-769-8702-9880 Чин – Гуанчжоу Тел: 86-20-8755-8029 Хитой – Ханчжоу Тел: 86-571-8792-8115 Чин - Ҳонконг САР Тел: 852-2943-5100 Хитой – Нанкин Тел: 86-25-8473-2460 Чин – Циндао Тел: 86-532-8502-7355 Чин – Шанхай Тел: 86-21-3326-8000 Хитой – Шэньян Тел: 86-24-2334-2829 Чин – Шенжен Тел: 86-755-8864-2200 Чин – Сучжоу Тел: 86-186-6233-1526 Чин - Вуҳан Тел: 86-27-5980-5300 Хитой - Сиан Тел: 86-29-8833-7252 Хитой – Сямэнь Тел: 86-592-2388138 Чин – Чжухай Тел: 86-756-3210040 |
Ҳиндустон – Бангалор Тел: 91-80-3090-4444 Ҳиндустон – Деҳлии Нав Тел: 91-11-4160-8631 Ҳиндустон - Пуна Тел: 91-20-4121-0141 Ҷопон – Осака Тел: 81-6-6152-7160 Ҷопон – Токио Тел: 81-3-6880- 3770 Корея – Тэгу Тел: 82-53-744-4301 Корея – Сеул Тел: 82-2-554-7200 Малайзия – Куала-Лумпур Тел: 60-3-7651-7906 Малайзия – Пенанг Тел: 60-4-227-8870 Филиппин – Манила Тел: 63-2-634-9065 Сингапур Тел: 65-6334-8870 Тайван – Ҳсин Чу Тел: 886-3-577-8366 Тайван – Каосюнг Тел: 886-7-213-7830 Тайван – Тайбэй Тел: 886-2-2508-8600 Таиланд – Бангкок Тел: 66-2-694-1351 Ветнам - Хо Ши Мин Тел: 84-28-5448-2100 |
Австрия – Велс Тел: 43-7242-2244-39 Факс: 43-7242-2244-393 Дания – Копенгаген Тел: 45-4485-5910 Факс: 45-4485-2829 Финляндия – Эспоо Тел: 358-9-4520-820 Фаронса - Париж Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Олмон - Гарчинг Тел: 49-8931-9700 Олмон - Ҳан Тел: 49-2129-3766400 Олмон – Ҳайлбронн Тел: 49-7131-72400 Олмон – Карлсруэ Тел: 49-721-625370 Олмон – Мюнхен Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Олмон – Розенхайм Тел: 49-8031-354-560 Исроил - Ҳод Ҳашарон Тел: 972-9-775-5100 Италия – Милан Тел: 39-0331-742611 Факс: 39-0331-466781 Италия — Падова Тел: 39-049-7625286 Нидерланд – Друнен Тел: 31-416-690399 Факс: 31-416-690340 Норвегия – Тронхайм Тел: 47-72884388 Полша – Варшава Тел: 48-22-3325737 Руминия – Бухарест Tel: 40-21-407-87-50 Испания – Мадрид Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 Шветсия – Гётеберг Tel: 46-31-704-60-40 Шветсия – Стокголм Тел: 46-8-5090-4654 Британияи Кабир - Вокингем Тел: 44-118-921-5800 Факс: 44-118-921-5820 |
Ҳуҷҷатҳо / Сарчашмаҳо
![]() |
MICROCHIP DS00004807F PolarFire Оила FPGA Ҷараёни одати [pdf] Дастури корбар DS00004807F PolarFire оилаи FPGA Ҷараёни фармоишӣ, DS00004807F, PolarFire оилаи FPGA Ҷараёни фармоишӣ, Ҷараёни фармоишии оилаи FPGA, Ҷараёни фармоишӣ, ҷараён |