මයික්‍රොචිප් - ලාංඡනය PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහ පරිශීලක මාර්ගෝපදේශය
ලිබෙරෝ SoC v2024.2

හැඳින්වීම (ප්රශ්නයක් අසන්න)

Libero System-on-Chip (SoC) මෘදුකාංගය සම්පූර්ණයෙන්ම ඒකාබද්ධ කරන ලද ක්ෂේත්‍ර වැඩසටහන්ගත කළ හැකි ගේට් අරා (FPGA) නිර්මාණ පරිසරයක් සපයයි. කෙසේ වෙතත්, පරිශීලකයින් කිහිප දෙනෙකුට Libero SoC පරිසරයෙන් පිටත තෙවන පාර්ශවීය සංස්ලේෂණය සහ සමාකරණ මෙවලම් භාවිතා කිරීමට අවශ්‍ය විය හැකිය. Libero දැන් FPGA නිර්මාණ පරිසරයට ඒකාබද්ධ කළ හැකිය. සම්පූර්ණ FPGA නිර්මාණ ප්‍රවාහය කළමනාකරණය කිරීම සඳහා Libero SoC භාවිතා කිරීම නිර්දේශ කෙරේ.
මෙම පරිශීලක මාර්ගෝපදේශය PolarFire සහ PolarFire SoC පවුලේ උපාංග සඳහා අභිරුචි ප්‍රවාහය විස්තර කරයි, එය විශාල FPGA සැලසුම් ප්‍රවාහයේ කොටසක් ලෙස Libero ඒකාබද්ධ කිරීමේ ක්‍රියාවලියකි. සහාය දක්වන උපාංග පවුල්® පහත වගුවේ Libero SoC සහාය දක්වන උපාංග පවුල් ලැයිස්තුගත කර ඇත. කෙසේ වෙතත්, මෙම මාර්ගෝපදේශයේ ඇති සමහර තොරතුරු අදාළ විය හැක්කේ නිශ්චිත උපාංග පවුලකට පමණි. මෙම අවස්ථාවේදී, එවැනි තොරතුරු පැහැදිලිව හඳුනාගෙන ඇත.
වගුව 1. Libero SoC මගින් සහාය දක්වන උපාංග පවුල්

උපාංග පවුල විස්තරය
PolarFire® PolarFire FPGAs, මධ්‍යම පරාස ඝනත්වයන් යටතේ, සුවිශේෂී ආරක්ෂාව සහ විශ්වසනීයත්වය සමඟින් කර්මාන්තයේ අඩුම බලය ලබා දෙයි.
PolarFire SoC PolarFire SoC යනු නිර්ණායකවාදී, සුසංයෝගී RISC-V CPU පොකුරක් සහ Linux® සහ තත්‍ය කාලීන යෙදුම් සක්‍රීය කරන නිර්ණායකවාදී L2 මතක උප පද්ධතියක් සහිත පළමු SoC FPGA වේ.

ඉවරයිview (ප්රශ්නයක් අසන්න)

Libero SoC, SoC සහ FPGA නිර්මාණ සංවර්ධනය කිරීම සඳහා සම්පූර්ණයෙන්ම ඒකාබද්ධ වූ අන්තයේ සිට අවසානය දක්වා නිර්මාණ පරිසරයක් සපයන අතර, Libero SoC පරිසරයෙන් පිටත තෙවන පාර්ශවීය මෙවලම් සමඟ සංස්ලේෂණය සහ අනුකරණය ක්‍රියාත්මක කිරීමට නම්‍යශීලී බවක් ද සපයයි. කෙසේ වෙතත්, සමහර සැලසුම් පියවර Libero SoC පරිසරය තුළ පැවතිය යුතුය.
පහත වගුවේ FPGA සැලසුම් ප්‍රවාහයේ ප්‍රධාන පියවර ලැයිස්තුගත කර ඇති අතර Libero SoC භාවිතා කළ යුතු පියවර දක්වයි.
වගුව 1-1. FPGA සැලසුම් ප්‍රවාහය

සැලසුම් ප්‍රවාහ පියවර ලිබෙරෝ භාවිතා කළ යුතුයි විස්තරය
නිර්මාණ ඇතුළත් කිරීම: HDL නැත අවශ්‍ය නම් Libero® SoC වලින් පිටත තෙවන පාර්ශවීය HDL සංස්කාරක/පරීක්ෂක මෙවලම භාවිතා කරන්න.
නිර්මාණ ඇතුළත් කිරීම: වින්‍යාස කරන්නන් ඔව් IP නාමාවලි මූලික සංරචක උත්පාදනය සඳහා පළමු Libero ව්‍යාපෘතිය සාදන්න.
ස්වයංක්‍රීය PDC/SDC සීමා උත්පාදනය නැත ව්‍යුත්පන්න සීමාවන්ට සියලුම HDL අවශ්‍ය වේ fileඋපග්‍රන්ථය C—Derive Constraints හි විස්තර කර ඇති පරිදි, Libero SoC වලින් පිටත සිදු කරන විට s සහ derive_constraints උපයෝගීතාවයක්.
අනුකරණය නැත අවශ්‍ය නම්, Libero SoC වලින් පිටත තෙවන පාර්ශවීය මෙවලමක් භාවිතා කරන්න. ඉලක්ක උපාංගය, ඉලක්ක සිමියුලේටරය සහ පසු අන්ත ක්‍රියාත්මක කිරීම සඳහා භාවිතා කරන ඉලක්ක ලිබෙරෝ අනුවාදය සඳහා පූර්ව සම්පාදනය කරන ලද සමාකරණ පුස්තකාල බාගත කිරීම අවශ්‍ය වේ.
සංශ්ලේෂණය නැත අවශ්‍ය නම් Libero SoC වලින් පිටත තෙවන පාර්ශවීය මෙවලමක් භාවිතා කරන්න.
සැලසුම් ක්‍රියාත්මක කිරීම: සීමාවන් කළමනාකරණය කිරීම, ජාල ලැයිස්තුව සම්පාදනය කිරීම, ස්ථානය සහ මාර්ගය (ඉහත බලන්න)view) ඔව් පසු අන්ත ක්‍රියාත්මක කිරීම සඳහා දෙවන ලිබරෝ ව්‍යාපෘතිය සාදන්න.
කාලය සහ බලය තහවුරු කිරීම ඔව් දෙවන ලිබෙරෝ ව්‍යාපෘතියේ රැඳී සිටින්න.
සැලසුම් ආරම්භක දත්ත සහ මතකයන් වින්‍යාස කරන්න ඔව් උපාංගයේ විවිධ මතක වර්ග කළමනාකරණය කිරීමට සහ සැලසුම් ආරම්භ කිරීමට මෙම මෙවලම භාවිතා කරන්න. දෙවන ව්‍යාපෘතියේ රැඳී සිටින්න.
වැඩසටහන්කරණය File පරම්පරාව ඔව් දෙවන ව්‍යාපෘතියේ රැඳී සිටින්න.

MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය වැදගත්: ඔබ හි ඇති පෙර සම්පාදනය කළ පුස්තකාල බාගත කළ යුතුය පෙර සම්පාදනය කරන ලද සමාකරණ පුස්තකාල තෙවන පාර්ශවීය සිමියුලේටරයක් ​​භාවිතා කිරීමට පිටුව.
පිරිසිදු රෙදි FPGA ප්‍රවාහයක් තුළ, HDL හෝ ක්‍රමානුරූප ඇතුළත් කිරීම භාවිතයෙන් ඔබේ සැලසුම ඇතුළත් කර එය කෙලින්ම යවන්න.
සංස්ලේෂණ මෙවලම් වෙත. ප්‍රවාහය තවමත් සහාය දක්වයි. PolarFire සහ PolarFire SoC FPGAs සැලකිය යුතු
Libero SoC IP වෙතින් වින්‍යාස මධ්‍ය (SgCores) භාවිතා කිරීම අවශ්‍ය වන හිමිකාර දෘඪ IP බ්ලොක්
නාමාවලිය. SoC ක්‍රියාකාරීත්වය ඇතුළත් ඕනෑම බ්ලොක් එකක් සඳහා විශේෂ හැසිරවීමක් අවශ්‍ය වේ:

  • PolarFire
    – PF_UPROM
    – PF_SYSTEM_සේවා
    – පීඑෆ්_සීසීසී
    – PF CLK DIV
    – PF_ක්‍රිප්ටෝ
    – පීඑෆ්_ඩීආර්අයි
    – PF_INIT_මොනිටරය
    – පීඑෆ්_එන්ජීඑම්එක්ස්
    – පීඑෆ්_ඕඑස්සී
    – RAM (TPSRAM, DPSRAM, URAM)
    – පීඑෆ්_එස්ආර්ඒඑම්_ඒඑච්බීඑල්_ඒඑක්අයි
    – පීඑෆ්_එක්ස්සීවීආර්_ඊආර්එම්
    – පීඑෆ්_එක්ස්සීවීආර්_ආර්ඊඑෆ්_සීඑල්කේ
    – පීඑෆ්_ටීඑක්ස්_පීඑල්එල්
    – පීඑෆ්_පීසීඅයිඊ
    – පීඑෆ්_අයිඕ
    – PF_IOD_CDR
    – PF_IOD_CDR_CCC
    – PF_IOD_ජනක_RX
    – PF_IOD_සාමාන්‍ය_TX
    – PF_IOD_GENERIC_TX_CCC
    – පීඑෆ්_ආර්ජීඑම්අයි_ටී_ජීඑම්අයිඅයි
    – PF_IOD_OCTAL_DDR
    – පීඑෆ්_ඩීඩීආර්3
    – පීඑෆ්_ඩීඩීආර්4
    – පීඑෆ්_එල්පීඩීඩීආර්3
    – PF_QDR
    – PF_CORESMARTBERT
    – පීඑෆ්_ටීAMPER
    – PF_TVS, ආදිය.

පෙර ලැයිස්තුගත කර ඇති SgCore වලට අමතරව, Libero SoC නාමාවලියෙහි FPGA රෙදි සම්පත් භාවිතා කරන PolarFire සහ PolarFire SoC උපාංග පවුල් සඳහා DirectCore මෘදු IP බොහොමයක් තිබේ.
නිර්මාණ ඇතුළත් කිරීම සඳහා, ඔබ පෙර සංරචක වලින් එකක් භාවිතා කරන්නේ නම්, ඔබ නිර්මාණ ඇතුළත් කිරීමේ කොටසක් සඳහා (සංරචක වින්‍යාසය) Libero SoC භාවිතා කළ යුතුය, නමුත් ඔබට Libero වලින් පිටත ඔබේ නිර්මාණ ඇතුළත් කිරීමේ ඉතිරි කොටස (HDL ඇතුළත් කිරීම සහ යනාදිය) දිගටම කරගෙන යා හැක. Libero වලින් පිටත FPGA සැලසුම් ප්‍රවාහය කළමනාකරණය කිරීමට, මෙම මාර්ගෝපදේශයේ ඉතිරි කොටසේ සපයා ඇති පියවර අනුගමනය කරන්න.
1.1 සංරචක ජීවන චක්‍රය (ප්රශ්නයක් අසන්න)
පහත පියවර මගින් SoC සංරචකයක ජීවන චක්‍රය විස්තර කරන අතර දත්ත හැසිරවිය යුතු ආකාරය පිළිබඳ උපදෙස් සපයයි.

  1. Libero SoC හි එහි වින්‍යාසකාරකය භාවිතයෙන් සංරචකය ජනනය කරන්න. මෙය පහත දත්ත වර්ග ජනනය කරයි:
    - HDL files
    - මතකය files
    - උත්තේජනය සහ සමාකරණය files
    – සංරචක SDC file
  2. HDL සඳහා fileබාහිර සැලසුම් ඇතුළත් කිරීමේ මෙවලම/ක්‍රියාවලිය භාවිතයෙන් HDL සැලසුමේ ඉතිරි කොටස් වලට ඒවා ක්ෂණිකව සකසා ඒකාබද්ධ කරන්න.
  3. සැපයුම් මතකය files සහ උත්තේජනය fileඔබගේ සමාකරණ මෙවලමට s සම්බන්ධ කරන්න.
  4. සැපයුම් සංරචක SDC file සීමා උත්පාදනය සඳහා සීමා කිරීමේ මෙවලම ව්‍යුත්පන්න කිරීමට. වැඩි විස්තර සඳහා උපග්‍රන්ථය C—ව්‍යුත්පන්න සීමා කිරීම් බලන්න.
  5. ඔබ දෙවන ලිබරෝ ව්‍යාපෘතියක් නිර්මාණය කළ යුතු අතර, එහිදී ඔබ පශ්චාත්-සංස්ලේෂණ ජාල ලැයිස්තුව සහ ඔබේ සංරචක මෙටාඩේටා ආයාත කළ යුතු අතර, එමඟින් ඔබ ජනනය කළ දේ සහ ඔබ වැඩසටහන්ගත කළ දේ අතර සම්බන්ධතාවය සම්පූර්ණ වේ.

1.2 ලිබරෝ SoC ව්‍යාපෘති නිර්මාණය (ප්රශ්නයක් අසන්න)
සමහර නිර්මාණ පියවර Libero SoC පරිසරය තුළ ක්‍රියාත්මක කළ යුතුය (වගුව 1-1). මෙම පියවර ක්‍රියාත්මක වීමට නම්, ඔබ Libero SoC ව්‍යාපෘති දෙකක් නිර්මාණය කළ යුතුය. පළමු ව්‍යාපෘතිය සැලසුම් සංරචක වින්‍යාසය සහ උත්පාදනය සඳහා භාවිතා කරන අතර, දෙවන ව්‍යාපෘතිය ඉහළ මට්ටමේ සැලසුමේ භෞතික ක්‍රියාත්මක කිරීම සඳහා වේ.
1.3 අභිරුචි ප්‍රවාහය (ප්රශ්නයක් අසන්න)
පහත රූපයේ දැක්වෙන්නේ:

  • Libero SoC පරිසරයෙන් පිටත තෙවන පාර්ශවීය සංස්ලේෂණය සහ සමාකරණ මෙවලම් සමඟ විශාල FPGA සැලසුම් ප්‍රවාහයේ කොටසක් ලෙස Libero SoC ඒකාබද්ධ කළ හැකිය.
  • නිර්මාණය නිර්මාණය කිරීම සහ මැසීමේ සිට උපාංගය ක්‍රමලේඛනය කිරීම දක්වා ප්‍රවාහයට සම්බන්ධ විවිධ පියවර.
  • එක් එක් සැලසුම් ප්‍රවාහ පියවරේදී සිදුවිය යුතු දත්ත හුවමාරුව (ආදාන සහ ප්‍රතිදාන).

MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - අභිරුචි ප්‍රවාහය අවසන්viewMICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය 1 ඉඟිය:

  1. SNVM.cfg, UPROM.cfg
  2. *.මෙම් file සිමියුලේෂන් සඳහා උත්පාදනය: pa4rtupromgen.exe UPROM.cfg ආදානය ලෙස ගෙන UPROM.mem ජනනය කරයි.

අභිරුචි ප්‍රවාහයේ පියවර පහත දැක්වේ:

  1. සංරචක වින්‍යාසය සහ උත්පාදනය:
    අ. පළමු ලිබරෝ ව්‍යාපෘතියක් සාදන්න (යොමු ව්‍යාපෘතියක් ලෙස සේවය කිරීමට).
    b. නාමාවලියෙන් Core එක තෝරන්න. සංරචක නාමයක් ලබා දී සංරචකය වින්‍යාස කිරීමට core එක මත දෙවරක් ක්ලික් කරන්න.
    මෙය ස්වයංක්‍රීයව සංරචක දත්ත අපනයනය කරන අතර files. Component Manifests ද ජනනය වේ. විස්තර සඳහා Component Manifests බලන්න. වැඩි විස්තර සඳහා, Component Configuration බලන්න.
  2. ලිබෙරෝවෙන් පිටත ඔබේ RTL නිර්මාණය සම්පූර්ණ කරන්න:
    a. HDL සංරචකය ක්ෂණික කරන්න files.
    ආ. HDL හි පිහිටීම fileසංරචක ප්‍රකාශනවල s ලැයිස්තුගත කර ඇත. files.
  3. සංරචක සඳහා SDC සීමාවන් ජනනය කරන්න. කාල සීමාව ජනනය කිරීමට ව්‍යුත්පන්න සීමා කිරීම් උපයෝගීතාව භාවිතා කරන්න. file(SDC) මත පදනම්ව:
    අ. සංරචක HDL files
    ආ. සංරචක SDC files
    ඇ. HDL භාවිතා කරන්නා files
    වැඩි විස්තර සඳහා, උපග්‍රන්ථය C - ව්‍යුත්පන්න සීමාවන් බලන්න.
  4. සංස්ලේෂණ මෙවලම/සමාකරණ මෙවලම:
    අ. HDL ලබා ගන්න files, උත්තේජනය fileසංරචක ප්‍රකාශනවල සඳහන් කර ඇති පරිදි, නිශ්චිත ස්ථාන වලින් සංරචක දත්ත සහ s.
    ආ. Libero SoC වලින් පිටත තෙවන පාර්ශවීය මෙවලම් සමඟ නිර්මාණය සංස්ලේෂණය කර අනුකරණය කරන්න.
  5. ඔබේ දෙවන (ක්‍රියාත්මක කිරීමේ) ලිබෙරෝ ව්‍යාපෘතිය සාදන්න.
  6. නිර්මාණ ප්‍රවාහ මෙවලම් දාමයෙන් සංස්ලේෂණය ඉවත් කරන්න (Project > Project Settings > Design Flow > Enable Synthesis චෙක් පෙට්ටිය හිස් කරන්න).
  7. නිර්මාණ මූලාශ්‍රය ආයාත කරන්න files (සංස්ලේෂණ මෙවලමෙන් පසු සංස්ලේෂණය *.vm netlist):
    – පශ්චාත් සංස්ලේෂණය ආයාත කරන්න *.vm netlist (File>ආනයනය>සංස්ලේෂණය කරන ලද වෙරිලොග් නෙට්ලිස්ට් (VM)).
    – සංරචක පාර-දත්ත *.cfg fileuPROM සහ/හෝ sNVM සඳහා s.
  8. ඕනෑම Libero SoC බ්ලොක් සංරචකයක් ආයාත කරන්න fileඑස්. බ්ලොක් එක files *.cxz හි තිබිය යුතුය file ආකෘතිය.
    බ්ලොක් එකක් නිර්මාණය කරන්නේ කෙසේද යන්න පිළිබඳ වැඩිදුර තොරතුරු සඳහා, බලන්න PolarFire බ්ලොක් ප්‍රවාහ පරිශීලක මාර්ගෝපදේශය.
  9. සැලසුම් සීමාවන් ආයාත කරන්න:
    – ආනයන I/O සීමාව files (සීමා කළමනාකරු > I/OAttributes > ආයාත කරන්න).
    – බිම් සැලැස්ම ආනයනය කරන්න *.pdc files (සීමා කළමනාකරු > බිම් සැලසුම්කරු > ආනයනය).
    – ආයාත කරන්න *.sdc කාල සීමාව files (සීමා කළමනාකරු > කාල නිර්ණය >ආනයනය). SDC ආයාත කරන්න file Derive Constraint මෙවලම හරහා ජනනය කරන ලදී.
    – ආයාත කරන්න *.ndc සීමාව files (Constraints Manager > NetlistAttributes > Import), තිබේ නම්.
  10. සීමා කිරීම file සහ මෙවලම් සංගමය
    – සීමා කළමනාකරු තුළ, *.pdc සම්බන්ධ කරන්න fileස්ථානගත කිරීමට සහ මාර්ගයට යැවීමට, *.sdc fileස්ථානගත කිරීමට සහ මාර්ගය සහ කාල සත්‍යාපනයන්, සහ *.ndc fileNetlist සම්පාදනය කිරීමට s.
  11. සම්පූර්ණ සැලසුම් ක්‍රියාත්මක කිරීම
    - ස්ථානය සහ මාර්ගය, වේලාව සහ බලය සත්‍යාපනය කිරීම, සැලසුම් ආරම්භක දත්ත සහ මතකයන් වින්‍යාස කිරීම සහ ක්‍රමලේඛනය කිරීම file පරම්පරාව.
  12. නිර්මාණය වලංගු කරන්න
    – Libero SoC නිර්මාණ කට්ටලය සමඟ සපයා ඇති නිර්මාණ මෙවලම් භාවිතයෙන් FPGA මත සැලසුම වලංගු කර අවශ්‍ය පරිදි දෝෂහරණය කරන්න.

සංරචක වින්‍යාසය (ප්රශ්නයක් අසන්න)

අභිරුචි ප්‍රවාහයේ පළමු පියවර වන්නේ ලිබරෝ යොමු ව්‍යාපෘතියක් භාවිතයෙන් ඔබේ සංරචක වින්‍යාස කිරීමයි (වගුව 1-1 හි පළමු ලිබරෝ ව්‍යාපෘතිය ලෙසද හැඳින්වේ). ඊළඟ පියවරවලදී, ඔබ මෙම යොමු ව්‍යාපෘතියෙන් දත්ත භාවිතා කරයි.
ඔබ කලින් ලැයිස්තුගත කර ඇති ඕනෑම සංරචකයක් භාවිතා කරන්නේ නම්, Over යටතේview ඔබේ සැලසුමේදී, මෙම කොටසේ විස්තර කර ඇති පියවර සිදු කරන්න.
ඔබ ඉහත සංරචක කිසිවක් භාවිතා නොකරන්නේ නම්, ඔබට ඔබේ RTL ලිබෙරෝවෙන් පිටත ලිවිය හැකි අතර එය ඔබේ සංස්ලේෂණය සහ සමාකරණ මෙවලම් වෙත කෙලින්ම ආයාත කළ හැකිය. ඉන්පසු ඔබට පශ්චාත් සංස්ලේෂණ අංශයට ගොස් ඔබේ පශ්චාත් සංස්ලේෂණය *.vm netlist පමණක් ඔබේ අවසාන ලිබෙරෝ ක්‍රියාත්මක කිරීමේ ව්‍යාපෘතියට ආයාත කළ හැකිය (වගුව 1-1 හි දෙවන ලිබෙරෝ ව්‍යාපෘතිය ලෙසද හැඳින්වේ).
2.1 ලිබෙරෝ භාවිතයෙන් සංරචක වින්‍යාසය (ප්රශ්නයක් අසන්න)
පෙර ලැයිස්තුවෙන් භාවිතා කළ යුතු සංරචක තේරීමෙන් පසු, පහත පියවර අනුගමනය කරන්න:

  1. නව ලිබරෝ ව්‍යාපෘතියක් සාදන්න (මූලික වින්‍යාසය සහ උත්පාදනය): ඔබේ අවසාන නිර්මාණය ඉලක්ක කරන උපාංගය සහ පවුල තෝරන්න.
  2. අභිරුචි ප්‍රවාහයේ සඳහන් කර ඇති හර එකක් හෝ කිහිපයක් භාවිතා කරන්න.
    a. SmartDesign එකක් සාදා අවශ්‍ය හරය වින්‍යාස කර SmartDesign සංරචකය තුළ එය ක්ෂණිකව සකසන්න.
    ආ. සියලුම අල්ෙපෙනති ඉහළ මට්ටමට ප්‍රවර්ධනය කරන්න.
    c. ස්මාර්ට් නිර්මාණය ජනනය කරන්න.
    d. සිමියුලේටරය කැඳවීමට සිමියුලේට් මෙවලම (පූර්ව සංස්ලේෂණය හෝ පශ්චාත් සංස්ලේෂණය හෝ පශ්චාත්-පිරිසැලසුම් විකල්ප වලින් ඕනෑම එකක්) දෙවරක් ක්ලික් කරන්න. එය කැඳවූ පසු ඔබට සිමියුලේටරයෙන් පිටවිය හැකිය. මෙම පියවර මඟින් සිමියුලේටරය ජනනය වේ. fileඔබේ ව්‍යාපෘතියට අවශ්‍යයි.

MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය 1 ඉඟිය: ඔබ ඔබේ නිර්මාණය ලිබෙරෝවෙන් පිටත අනුකරණය කිරීමට අවශ්‍ය නම් මෙම පියවර සිදු කළ යුතුය.
වැඩිදුර තොරතුරු සඳහා, ඔබේ නිර්මාණය අනුකරණය කිරීම බලන්න.
e. ඔබේ ව්‍යාපෘතිය සුරකින්න—මෙය ඔබේ යොමු ව්‍යාපෘතියයි.
2.2 සංරචක ප්‍රකාශන (ප්රශ්නයක් අසන්න)
ඔබ ඔබේ සංරචක ජනනය කරන විට, කට්ටලයක් fileඑක් එක් සංරචකය සඳහා s ජනනය වේ. Component Manifest වාර්තාවේ කට්ටලය විස්තර කෙරේ fileසෑම ඊළඟ පියවරකදීම (සංස්ලේෂණය, සමාකරණය, ස්ථිරාංග උත්පාදනය, ආදිය) ජනනය කර භාවිතා කරනු ලැබේ. මෙම වාර්තාව මඟින් ජනනය කරන ලද සියලුම ස්ථාන ඔබට ලබා දේ. fileඅභිරුචි ප්‍රවාහය සමඟ ඉදිරියට යාමට අවශ්‍ය වේ. ඔබට වාර්තා ප්‍රදේශයේ සංරචක මැනිෆෙස්ට් වෙත ප්‍රවේශ විය හැකිය: වාර්තා ටැබය විවෘත කිරීමට නිර්මාණය > වාර්තා ක්ලික් කරන්න. වාර්තා ටැබය තුළ, ඔබට manifest.txt කට්ටලයක් පෙනෙනු ඇත. files (අවසන්view), ඔබ ජනනය කළ සෑම සංරචකයකටම එකක්.
ඉඟිය: සංරචක මැනිෆෙස්ට් එක බැලීමට ඔබ සංරචකයක් හෝ මොඩියුලයක් '"root"" ලෙස සැකසිය යුතුය. file වාර්තා පටිත්තෙහි අන්තර්ගතය.
විකල්පයක් ලෙස, ඔබට තනි මැනිෆෙස්ට් වාර්තාවට ප්‍රවේශ විය හැකිය fileජනනය කරන ලද සෑම මූලික සංරචකයක් සඳහාම හෝ SmartDesign සංරචකයක් සඳහාම / සංරචකය / වැඩ / / / _manifest.txt හෝ / සංරචකය / වැඩ / / _manifest.txt. ඔබට මැනිෆෙස්ටයටද ප්‍රවේශ විය හැක file ලිබෙරෝ හි නව සංරචක පටිත්තෙන් ජනනය කරන ලද සෑම සංරචකයකම අන්තර්ගතය, එහිදී file ව්‍යාපෘති නාමාවලියට අදාළව ස්ථාන සඳහන් කර ඇත.MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - Libero වාර්තා ටැබ්පහත සඳහන් සංරචක මැනිෆෙස්ට් වාර්තා කෙරෙහි අවධානය යොමු කරන්න:

  • ඔබ SmartDesign එකකට cores ක්ෂණිකව ඇතුළත් කළේ නම්, කියවන්න file _මැනිෆෙස්ට්.txt.
  • ඔබ හරයන් සඳහා සංරචක නිර්මාණය කළේ නම්, කියවන්න _මැනිෆෙස්ට්.txt.

ඔබේ නිර්මාණයට අදාළ වන සියලුම සංරචක ප්‍රකාශන වාර්තා ඔබ භාවිතා කළ යුතුය. උදා:ampඑසේ නම්, ඔබේ ව්‍යාපෘතියේ මූලික සංරචක එකක් හෝ කිහිපයක් ක්ෂණිකව ඇතුළත් කර ඇති SmartDesign එකක් තිබේ නම් සහ ඔබ ඒ සියල්ල ඔබේ අවසාන සැලසුමේදී භාවිතා කිරීමට අදහස් කරන්නේ නම්, ඔබ තෝරා ගත යුත්තේ fileඔබේ නිර්මාණ ප්‍රවාහයේ භාවිතය සඳහා එම සියලුම සංරචකවල Component Manifests වාර්තාවල ලැයිස්තුගත කර ඇත.
2.3 ප්‍රකාශනය අර්ථකථනය කිරීම Files (ප්රශ්නයක් අසන්න)
ඔබ සංරචක මැනිෆෙස්ටයක් විවෘත කරන විට file, ඔබට මාර්ග පෙනෙනවා fileඔබේ ලිබරෝ ව්‍යාපෘතියේ s සහ ඒවා භාවිතා කිරීමට සැලසුම් ප්‍රවාහයේ කොතැනද යන්න පිළිබඳ දර්ශක. ඔබට පහත වර්ග දැකිය හැකිය fileමැනිෆෙස්ටයක s file:

  • HDL ප්‍රභවය fileසියලුම සංස්ලේෂණ සහ සමාකරණ මෙවලම් සඳහා s
  • උත්තේජනය fileසියලුම සිමියුලේෂන් මෙවලම් සඳහා s
  • සීමා කිරීම files

පහත දැක්වෙන්නේ PolarFire මූලික සංරචකයක සංරචක ප්‍රකාශනයයි.MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - සංරචක මැනිෆෙස්ට්එක් එක් වර්ගයේ file ඔබේ නිර්මාණ ප්‍රවාහයේ පහළට යාම අවශ්‍ය වේ. පහත කොටස් ඒකාබද්ධ කිරීම විස්තර කරයි fileමැනිෆෙස්ට් එකෙන් ඔබේ නිර්මාණ ප්‍රවාහයට.

සීමා උත්පාදනය (ප්රශ්නයක් අසන්න)

වින්‍යාසය සහ උත්පාදනය සිදු කරන විට, SDC/PDC/NDC සීමාව ලිවීමට/උත්පාදනය කිරීමට වග බලා ගන්න. fileනිර්මාණය සඳහා ඒවා සංස්ලේෂණය, ස්ථානය-සහ-මාර්ගය, සහ සත්‍යාපන කාල මෙවලම් වෙත ලබා දීම සඳහා.
ලිබෙරෝ පරිසරයෙන් පිටතදී, ඒවා අතින් ලිවීම වෙනුවට, සීමා කිරීම් ජනනය කිරීමට, ව්‍යුත්පන්න සීමා කිරීම් උපයෝගීතාව භාවිතා කරන්න. ලිබෙරෝ පරිසරයෙන් පිටතදී, ව්‍යුත්පන්න සීමා කිරීම් උපයෝගීතාව භාවිතා කිරීමට, ඔබ කළ යුත්තේ:

  • පරිශීලක HDL, සංරචක HDL සහ සංරචක SDC සීමාව සැපයීම files
  • ඉහළ මට්ටමේ මොඩියුලය සඳහන් කරන්න
  • ව්‍යුත්පන්න සීමාව ජනනය කළ යුතු ස්ථානය සඳහන් කරන්න. files

SDC සංරචක සීමාවන් පහත පරිදි ලබා ගත හැකිය / සංරචකය / වැඩ / / සංරචක වින්‍යාසය සහ උත්පාදනයෙන් පසු / නාමාවලිය.
ඔබේ නිර්මාණය සඳහා සීමාවන් ජනනය කරන්නේ කෙසේද යන්න පිළිබඳ වැඩි විස්තර සඳහා, උපග්‍රන්ථය C—ව්‍යුත්පන්න සීමාවන් බලන්න.

ඔබේ නිර්මාණය සංස්ලේෂණය කිරීම (ප්රශ්නයක් අසන්න)

අභිරුචි ප්‍රවාහයේ ප්‍රධාන ලක්ෂණයක් වන්නේ ඔබට තෙවන පාර්ශවීය සංස්ලේෂණයක් භාවිතා කිරීමට ඉඩ සැලසීමයි.
ලිබෙරෝවෙන් පිටත මෙවලම. අභිරුචි ප්‍රවාහය Synopsys SynplifyPro භාවිතයට සහය දක්වයි. ඔබේ සංස්ලේෂණය කිරීමට
ව්‍යාපෘතිය, පහත ක්‍රියා පටිපාටිය භාවිතා කරන්න:

  1. ඔබ නිර්මාණය කළ Libero ව්‍යාපෘතිය ලෙසම එකම උපාංග පවුල, die සහ package ඉලක්ක කර ගනිමින්, ඔබේ Synthesis මෙවලම තුළ නව ව්‍යාපෘතියක් සාදන්න.
    අ. ඔබේම RTL ආයාත කරන්න fileඔබ සාමාන්‍යයෙන් කරන ආකාරයටම.
    b. සංස්ලේෂණ ප්‍රතිදානය Structural Verilog (.vm) ලෙස සකසන්න.
    ඉඟිය: ව්‍යුහාත්මක PolarFire හි සහය දක්වන එකම සංස්ලේෂණ ප්‍රතිදාන ආකෘතිය Verilog (.vm) වේ.
  2. සංරචක HDL ආනයනය කරන්න fileඔබේ සංස්ලේෂණ ව්‍යාපෘතියට සම්බන්ධ වන්න:
    අ. එක් එක් සංරචක ප්‍රකාශන වාර්තාව සඳහා: එක් එක් සඳහා file HDL ප්‍රභවය යටතේ fileසියලුම සංස්ලේෂණ සහ සමාකරණ මෙවලම් සඳහා, ආයාත කරන්න file ඔබේ සංස්ලේෂණ ව්‍යාපෘතියට.
  3. ආනයනය කරන්න file polarfire_syn_comps.v (Synopsys Synplify භාවිතා කරන්නේ නම්) වෙතින්
    ස්ථාපන ස්ථානය>/data/aPA5M ඔබේ සංස්ලේෂණ ව්‍යාපෘතියට.
  4. කලින් ජනනය කළ SDC ආයාත කරන්න file ව්‍යුත්පන්න සීමා කිරීමේ මෙවලම හරහා (උපග්‍රන්ථය බලන්න)
    අ—එස්ampසංස්ලේෂණ මෙවලමට SDC සීමා කිරීම් ඇතුළත් කරන්න. මෙම සීමාව file අඩු උත්සාහයකින් සහ අඩු සැලසුම් පුනරාවර්තනවලින් කාල වසා දැමීම සාක්ෂාත් කර ගැනීම සඳහා සංස්ලේෂණ මෙවලම සීමා කරයි.

MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය වැදගත්: 

  • ඔබ එකම *.sdc භාවිතා කිරීමට අදහස් කරන්නේ නම් file සැලසුම් ක්‍රියාත්මක කිරීමේ අදියරේදී Place-and-Route සීමා කිරීමට, ඔබ මෙම *.sdc සංස්ලේෂණ ව්‍යාපෘතියට ආයාත කළ යුතුය. මෙය සංස්ලේෂණය කරන ලද ජාල ලැයිස්තුවේ සහ සැලසුම් ක්‍රියාවලියේ ක්‍රියාත්මක කිරීමේ අදියරේදී Place-and-Route සීමාවන්හි නිර්මාණ වස්තු නාම නොගැලපීම් නොමැති බව සහතික කිරීමයි. ඔබ මෙම *.sdc ඇතුළත් නොකරන්නේ නම් file සංස්ලේෂණ පියවරේදී, සංස්ලේෂණයෙන් ජනනය කරන ලද ජාල ලැයිස්තුව, නිර්මාණ වස්තුවේ නම් නොගැලපීම් හේතුවෙන් ස්ථානය සහ මාර්ග පියවර අසමත් විය හැක.
    a. Netlist Attributes *.ndc, ඇත්නම්, සංස්ලේෂණ මෙවලමට ආයාත කරන්න.
    ආ. සංස්ලේෂණය ක්‍රියාත්මක කරන්න.
  • ඔබගේ සංස්ලේෂණ මෙවලම් ප්‍රතිදානයේ ස්ථානයේ *.vm netlist ඇත. file සංස්ලේෂණයෙන් පසු ජනනය කරන ලදී. සැලසුම් ක්‍රියාවලිය දිගටම කරගෙන යාමට ඔබ netlist Libero Implementation Project එකට ආයාත කළ යුතුය.

ඔබේ නිර්මාණය අනුකරණය කිරීම (ප්රශ්නයක් අසන්න)

ලිබෙරෝවෙන් පිටත ඔබේ නිර්මාණය අනුකරණය කිරීමට (එනම්, ඔබේම සමාකරණ පරිසරය සහ සිමියුලේටරය භාවිතා කිරීම), පහත පියවර අනුගමනය කරන්න:

  1. නිර්මාණය Files:
    අ. පූර්ව සංස්ලේෂණ සමාකරණය:
    • ඔබේ සමාකරණ ව්‍යාපෘතියට ඔබේ RTL ආයාත කරන්න.
    • එක් එක් සංරචක ප්‍රකාශන වාර්තාව සඳහා.
    - එක් එක් ආයාත කරන්න file HDL ප්‍රභවය යටතේ fileඔබේ සමාකරණ ව්‍යාපෘතියේ සියලුම සංස්ලේෂණ සහ සමාකරණ මෙවලම් සඳහා.
    • මේවා සම්පාදනය කරන්න fileඔබේ සිමියුලේටරයේ උපදෙස් අනුව.
    ආ. පශ්චාත් සංස්ලේෂණ සමාකරණය:
    • ඔබගේ පශ්චාත්-සංස්ලේෂණය *.vm netlist (ඔබේ නිර්මාණය සංස්ලේෂණය කිරීමෙන් ජනනය කරන ලද) ඔබේ සමාකරණ ව්‍යාපෘතියට ආයාත කර එය සම්පාදනය කරන්න.
    c. පසු-පිරිසැලසුම් අනුකරණය:
    • පළමුව, ඔබේ සැලසුම ක්‍රියාත්මක කිරීම සම්පූර්ණ කරන්න (ඔබේ සැලසුම ක්‍රියාත්මක කිරීම බලන්න). ඔබේ අවසාන ලිබෙරෝ ව්‍යාපෘතිය පසු-සැලසුම් තත්වයේ ඇති බව සහතික කර ගන්න.
    • Generate BackAnnotated මත දෙවරක් ක්ලික් කරන්න Fileලිබෙරෝ ඩිසයින් ප්‍රවාහ කවුළුවේ s. එය දෙකක් ජනනය කරයි files:
    /නිර්මාණකරු/ / _බා.වී/වීඑච්ඩී /නිර්මාණකරු/
    / _බා.එස්ඩීඑෆ්
    • මේ දෙකම ආයාත කරන්න fileඔබගේ සමාකරණ මෙවලමට පිවිසෙන්න.
  2. උත්තේජනය සහ වින්‍යාසය files:
    a. එක් එක් සංරචක ප්‍රකාශන වාර්තාව සඳහා:
    • සියල්ල පිටපත් කරන්න fileඋත්තේජනය යටතේ Fileඔබගේ සමාකරණ ව්‍යාපෘතියේ මූල නාමාවලියට සියලුම සමාකරණ මෙවලම් කොටස් සඳහා s.
    ආ. ඕනෑම Tcl එකක් ඇති බව සහතික කර ගන්න. fileපෙර ලැයිස්තු වල (පියවර 2.a හි) s, සමාකරණය ආරම්භ කිරීමට පෙර, පළමුව ක්‍රියාත්මක කරනු ලැබේ.
    c. UPROM.mem: ඔබ ඔබේ නිර්මාණයේ UPROM හරය භාවිතා කරන්නේ නම්, ඔබට අනුකරණය කිරීමට අවශ්‍ය දත්ත ගබඩා සේවාලාභීන් එකක් හෝ කිහිපයක් සඳහා අනුකරණය සඳහා අන්තර්ගතය සක්‍රීය කර ඇත, UPROM.mem ජනනය කිරීමට ඔබ ක්‍රියාත්මක කළ හැකි pa4rtupromgen (windows හි pa4rtupromgen.exe) භාවිතා කළ යුතුය. file. pa4rtupromgen ක්‍රියාත්මක කළ හැකි ගොනුව UPROM.cfg භාවිතා කරයි. file Tcl ස්ක්‍රිප්ට් එකක් හරහා ආදාන ලෙස file සහ UPROM.mem ප්‍රතිදානය කරයි file සමාකරණ සඳහා අවශ්‍ය වේ. මෙම UPROM.mem file සමාකරණ ධාවනයට පෙර සමාකරණ ෆෝල්ඩරයට පිටපත් කළ යුතුය.amppa4rtupromgen ක්‍රියාත්මක කළ හැකි භාවිතය පෙන්වීම පහත පියවර වලින් සපයනු ලැබේ. file නාමාවලියෙහි ඇත / සංරචකය / වැඩ / / ඔබ UPROM සංරචකය ජනනය කිරීමට භාවිතා කළ Libero ව්‍යාපෘතියේ.
    d. snvm.mem: ඔබ ඔබේ සැලසුමේ පද්ධති සේවා හරය භාවිතා කරන්නේ නම් සහ ඔබ අනුකරණය කිරීමට කැමති එක් හෝ වැඩි සේවාලාභීන් සඳහා Use content for simulation enabled විකල්පය සමඟ හරයේ sNVM ටැබය වින්‍යාස කර ඇත්නම්, snvm.mem file ස්වයංක්‍රීයව ජනනය වන්නේ
    නාමාවලිය / සංරචකය / වැඩ / / ඔබ පද්ධති සේවා සංරචකය ජනනය කිරීමට භාවිතා කළ ලිබරෝ ව්‍යාපෘතියේ. මෙම snvm.mem file සමාකරණ ධාවනයට පෙර සමාකරණ ෆෝල්ඩරයට පිටපත් කළ යුතුය.
  3. වැඩ කරන ෆෝල්ඩරය යටතේ වැඩ කරන ෆෝල්ඩරයක් සහ simulation නමින් උප ෆෝල්ඩරයක් සාදන්න.
    pa4rtupromgen ක්‍රියාත්මක කළ හැකි ගොනුව මඟින් වැඩ කරන ෆෝල්ඩරයේ සිමියුලේෂන් උප ෆෝල්ඩරය පවතින බව අපේක්ෂා කරන අතර *.tcl ස්ක්‍රිප්ට් එක සිමියුලේෂන් උප ෆෝල්ඩරයේ තබා ඇත.
  4. UPROM.cfg ගොනුව පිටපත් කරන්න. file ක්‍රියාකාරී ෆෝල්ඩරයට සංරචක උත්පාදනය සඳහා නිර්මාණය කරන ලද පළමු ලිබෙරෝ ව්‍යාපෘතියෙන්.
  5. පහත විධානයන් *.tcl ස්ක්‍රිප්ට් එකකට අලවා 3 වන පියවරේදී සාදන ලද සිමියුලේෂන් ෆෝල්ඩරයේ තබන්න.
    SampURPOM.mem ජනනය කිරීම සඳහා PolarFire සහ PolarFire Soc පවුලේ උපාංග සඳහා le *.tcl file
    UPROM.cfg වෙතින්
    උපාංගය_සකසන්න -fam -මැරෙන්න -පෙකිලෝ
    set_input_cfg -මාර්ගය
    set_sim_mem -මාර්ගයFile/UPROM.mem> වෙත පිවිසෙන්න
    gen_sim -use_init අසත්‍යය
    අච්චුව සහ පැකේජය සඳහා භාවිතා කිරීමට නිසි අභ්‍යන්තර නම සඳහා, *.prjx බලන්න. file (සංරචක උත්පාදනය සඳහා භාවිතා කරන ලද) පළමු ලිබෙරෝ ව්‍යාපෘතියේ.
    use_init තර්කය අසත්‍ය ලෙස සැකසිය යුතුය.
    ප්‍රතිදානයට යන මාර්ගය නියම කිරීමට set_sim_mem විධානය භාවිතා කරන්න. file UPROM.mem ඒක තමයි
    ස්ක්‍රිප්ට් එක ක්‍රියාත්මක කිරීමේදී ජනනය වේ file pa4rtupromgen ක්‍රියාත්මක කළ හැකි ගොනුව සමඟ.
  6. විධාන විමසුමේදී හෝ සිග්වින් පර්යන්තයේදී, 3 වන පියවරේදී සාදන ලද ක්‍රියාකාරී නාමාවලිය වෙත යන්න.
    –script විකල්පය සමඟ pa4rtupromgen විධානය ක්‍රියාත්මක කර පෙර පියවරේදී නිර්මාණය කරන ලද *.tcl ස්ක්‍රිප්ට් එක එයට ලබා දෙන්න.
    වින්ඩෝස් සඳහා
    /designer/bin/pa4rtupromgen.exe \
    –ස්ක්‍රිප්ට්./සිමුලේෂන්/ .ටීසීඑල්
    Linux සඳහා:
    /බින්/pa4rtupromgen
    –ස්ක්‍රිප්ට්./සිමුලේෂන්/ .ටීසීඑල්
  7. pa4rtupromgen ක්‍රියාත්මක කළ හැකි ගොනුව සාර්ථකව ක්‍රියාත්මක කිරීමෙන් පසු, UPROM.mem file *.tcl ස්ක්‍රිප්ට් එකේ set_sim_mem විධානයේ දක්වා ඇති ස්ථානයේ ජනනය වේ.
  8. sNVM අනුකරණය කිරීමට, snvm.mem පිටපත් කරන්න. file ඔබගේ පළමු Libero ව්‍යාපෘතියෙන් (සංරචක වින්‍යාසය සඳහා භාවිතා කරන) ඔබේ සමාකරණ ව්‍යාපෘතියේ ඉහළ මට්ටමේ සමාකරණ ෆෝල්ඩරයට (Libero SoC වලින් පිටත) සමාකරණය ක්‍රියාත්මක කරන්න. UPROM අන්තර්ගතය අනුකරණය කිරීමට, ජනනය කරන ලද UPROM.mem පිටපත් කරන්න. file (Libero SoC වලින් පිටත) සිමියුලේෂන් ක්‍රියාත්මක කිරීම සඳහා ඔබේ සිමියුලේෂන් ව්‍යාපෘතියේ ඉහළ මට්ටමේ සිමියුලේෂන් ෆෝල්ඩරයට.

MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය වැදගත්: වෙත SoC සංරචකවල ක්‍රියාකාරීත්වය අනුකරණය කිරීමට, පෙර සම්පාදනය කරන ලද PolarFire සමාකරණ පුස්තකාල බාගත කර මෙහි විස්තර කර ඇති පරිදි ඒවා ඔබේ සමාකරණ පරිසරයට ආයාත කරන්න. වැඩි විස්තර සඳහා, උපග්‍රන්ථය B—සිමුලේෂන් පරිසරයට සමාකරණ පුස්තකාල ආයාත කිරීම බලන්න.

ඔබේ සැලසුම ක්‍රියාත්මක කිරීම (ප්රශ්නයක් අසන්න)

ඔබේ පරිසරය තුළ සංස්ලේෂණය සහ පශ්චාත්-සංස්ලේෂණ සමාකරණය සම්පූර්ණ කිරීමෙන් පසු, ඔබේ සැලසුම භෞතිකව ක්‍රියාත්මක කිරීමට, කාල නියමය සහ බල විශ්ලේෂණය ක්‍රියාත්මක කිරීමට සහ ඔබේ ක්‍රමලේඛනය ජනනය කිරීමට ඔබ නැවත ලිබෙරෝ භාවිතා කළ යුතුය. file.

  1. නිර්මාණයේ භෞතික ක්‍රියාත්මක කිරීම සහ පිරිසැලසුම සඳහා නව ලිබරෝ ව්‍යාපෘතියක් සාදන්න. ඔබ සංරචක වින්‍යාසය තුළ නිර්මාණය කළ යොමු ව්‍යාපෘතියේ ඇති උපාංගයම ඉලක්ක කර ගැනීමට වග බලා ගන්න.
  2. ව්‍යාපෘති නිර්මාණයෙන් පසු, නිර්මාණ ප්‍රවාහ කවුළුවේ ඇති මෙවලම් දාමයෙන් සංස්ලේෂණය ඉවත් කරන්න (ව්‍යාපෘතිය > ව්‍යාපෘති සැකසුම් > නිර්මාණ ප්‍රවාහය > සංස්ලේෂණය සක්‍රීය කරන්න යන්න සලකුණු නොකරන්න).
  3.  ඔබගේ පශ්චාත්-සංස්ලේෂණය *.vm ආයාත කරන්න file මෙම ව්‍යාපෘතියට, (File > ආයාත කරන්න > සංස්ලේෂණය කරන ලද වෙරිලොග් නෙට්ලිස්ට් (VM)).
    MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය 1 ඉඟිය: මෙයට සබැඳියක් නිර්මාණය කිරීම නිර්දේශ කෙරේ. file, එවිට ඔබ ඔබේ නිර්මාණය නැවත සංස්ලේෂණය කරන්නේ නම්, ලිබෙරෝ සෑම විටම නවතම පශ්චාත් සංස්ලේෂණ ජාල ලැයිස්තුව භාවිතා කරයි.
    a. Design Hierarchy කවුළුවෙහි, root මොඩියුලයේ නම සටහන් කරන්න.MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිර්මාණ ධුරාවලිය
  4. ලිබරෝ ව්‍යාපෘතියට සීමාවන් ආයාත කරන්න. *.pdc/*.sdc/*.ndc සීමාවන් ආයාත කිරීමට සීමා කළමනාකරු භාවිතා කරන්න.
    a. ආයාත I/O *.pdc සීමාව files (සීමා කළමනාකරු > I/O ගුණාංග >ආනයනය).
    ආ. බිම් සැලසුම් ආනයනය *.pdc සීමාව files (සීමා කළමනාකරු > බිම් සැලසුම්කරු >ආනයනය).
    c. ආයාත කරන්න *.sdc කාල සීමාව files (සීමා කළමනාකරු > කාල නිර්ණය > ආයාත කරන්න). ඔබේ නිර්මාණයේ ඉහත ලැයිස්තුගත කර ඇති හරයන් කිසිවක් තිබේ නම්view, SDC ආයාත කිරීමට වග බලා ගන්න file ව්‍යුත්පන්න සීමා කිරීමේ මෙවලම හරහා ජනනය කරන ලදී.
    d. ආයාත කරන්න *.ndc සීමාව files (සීමා කළමනාකරු > Netlist ගුණාංග > ආයාත කරන්න).
  5. සහකාර සීමාවන් Fileමෙවලම් නිර්මාණය කිරීමට.
    a. සීමා කළමණාකරු විවෘත කරන්න (සීමාවන් කළමනාකරණය කරන්න > සීමා කිරීම් කළමනාකරණය කරන්න විවෘත කරන්න View).
    සීමාව අසල ඇති ස්ථානය-සහ-මාර්ගය සහ කාල සත්‍යාපන චෙක් කොටුව සලකුණු කරන්න. file සීමාවක් ස්ථාපිත කිරීමට file සහ මෙවලම් සම්බන්ධ කිරීම. *.pdc සීමාව Place-andRoute වෙත සහ *.sdc ස්ථානය-and-Route සහ කාල සත්‍යාපනය යන දෙකටම සම්බන්ධ කරන්න. *.ndc සම්බන්ධ කරන්න. file Netlist සම්පාදනය කිරීමට.
    MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය 1 ඉඟිය: නම් මෙම *.sdc සීමාව සමඟ ස්ථානය සහ මාර්ගය අසාර්ථක වේ. file, ඉන්පසු මෙම *.sdc ආයාත කරන්න file සංස්ලේෂණය කිරීමට සහ සංස්ලේෂණය නැවත ක්‍රියාත්මක කිරීමට.
  6. පිරිසැලසුම් පියවර සම්පූර්ණ කිරීමට Compile Netlist ක්ලික් කර පසුව Place and Route ක්ලික් කරන්න.
  7. Configure Design Initialization Data and Memories මෙවලම මඟින් ඔබට LSRAM, µSRAM, XCVR (transceivers) සහ PCIe වැනි නිර්මාණ බ්ලොක්, වාෂ්පශීලී නොවන µPROM, sNVM, හෝ බාහිර SPI Flash ගබඩා මතකයේ ගබඩා කර ඇති දත්ත භාවිතා කරමින් ආරම්භ කිරීමට ඉඩ සලසයි. මෙවලමෙහි සැලසුම් ආරම්භක අනුපිළිවෙලෙහි පිරිවිතර, ආරම්භක සේවාදායකයින්ගේ පිරිවිතර, පරිශීලක දත්ත සේවාදායකයින් නිර්වචනය කිරීම සඳහා පහත ටැබ් ඇත.
    – සැලසුම් ආරම්භක ටැබය
    – µPROM ටැබය
    – sNVM ටැබය
    – SPI ෆ්ලෑෂ් ටැබ් එක
    – රෙදි RAM ටැබය
    සැලසුම් ආරම්භක දත්ත සහ මතකයන් වින්‍යාස කිරීමට මෙවලමෙහි ඇති ටැබ් භාවිතා කරන්න.MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - දත්ත සහ මතකයන්වින්‍යාසය සම්පූර්ණ කිරීමෙන් පසු, ආරම්භක දත්ත වැඩසටහන්ගත කිරීමට පහත පියවරයන් සිදු කරන්න:
    • ආරම්භක සේවාලාභීන් ජනනය කරන්න
    • බිට්ස්ට්‍රීම් ජනනය කරන්න හෝ අපනයනය කරන්න
    • උපාංගය ක්‍රමලේඛනය කරන්න
    මෙම මෙවලම භාවිතා කරන ආකාරය පිළිබඳ සවිස්තරාත්මක තොරතුරු සඳහා, Libero SoC Design Flow පරිශීලක මාර්ගෝපදේශය බලන්න. මෙවලමෙහි විවිධ ටැබ් වින්‍යාස කිරීමට සහ මතක වින්‍යාසය නියම කිරීමට භාවිතා කරන Tcl විධාන පිළිබඳ වැඩි විස්තර සඳහා. files (*.cfg), බලන්න Tcl විධාන යොමු මාර්ගෝපදේශය.
  8. ක්‍රමලේඛනයක් ජනනය කරන්න File මෙම ව්‍යාපෘතියෙන් සහ ඔබේ FPGA වැඩසටහන්ගත කිරීමට එය භාවිතා කරන්න.

උපග්‍රන්ථය A—SampSDC සීමාවන් (ප්රශ්නයක් අසන්න

Libero SoC මඟින් CCC, OSC, Transceiver වැනි ඇතැම් IP මධ්‍යයන් සඳහා SDC කාල සීමාවන් ජනනය කරයි. SDC සීමාවන් සැලසුම් මෙවලම් වෙත මාරු කිරීම අඩු උත්සාහයකින් සහ අඩු සැලසුම් පුනරාවර්තනයකින් කාල වසා දැමීම සපුරාලීමේ අවස්ථාව වැඩි කරයි. ඉහළ මට්ටමේ අවස්ථාවෙන් සම්පූර්ණ ධූරාවලි මාර්ගය සීමාවන් තුළ සඳහන් කර ඇති සියලුම නිර්මාණ වස්තූන් සඳහා ලබා දී ඇත.
7.1 SDC කාල සීමාවන් (ප්රශ්නයක් අසන්න)
ලිබරෝ IP මූලික යොමු ව්‍යාපෘතියේ, මෙම ඉහළ මට්ටමේ SDC සීමාව file සීමා කළමනාකරු වෙතින් ලබා ගත හැකිය (සැලසුම් ප්‍රවාහය > විවෘත කළමනාකරණ සීමා කිරීම View >කාලය > ව්‍යුත්පන්න සීමාවන්).
MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය - නිරූපකය වැදගත්: බලන්න මෙය file ඔබේ සැලසුමේ CCC, OSC, Transceiver සහ අනෙකුත් සංරචක තිබේ නම් SDC සීමාවන් සැකසීමට. අවශ්‍ය නම්, ඔබේ සැලසුම් ධූරාවලියට ගැලපෙන පරිදි සම්පූර්ණ ධූරාවලි මාර්ගය වෙනස් කරන්න හෝ සංරචක මට්ටමේ SDC හි උපග්‍රන්ථ C—Derive Constraints හි Derive_Constraints උපයෝගීතාව සහ පියවර භාවිතා කරන්න. file.
සුරකින්න file වෙනත් නමකට SDC ආයාත කරන්න. file සංස්ලේෂණ මෙවලම, ස්ථානය-සහ-මාර්ග මෙවලම සහ කාල සත්‍යාපන වෙත, වෙනත් ඕනෑම SDC සීමාවක් මෙන් files.
7.1.1 ව්‍යුත්පන්න SDC File (ප්රශ්නයක් අසන්න)
# මෙය file පහත SDC මූලාශ්‍රය මත පදනම්ව ජනනය කරන ලදී. files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ට්‍රාන්ස්මිට්_පීඑල්එල්/ට්‍රාන්ස්මිට්_පීඑල්එල්_0/ට්‍රාන්ස්මිට්_පීඑල්එල්_ට්‍රාන්ස්මිට්_පීඑල්එල්_0_පීඑෆ්_ටෙක්ස්_පීඑල්එල්.එස්ඩීසී
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PCIE_INITIATOR/PCIE_INITIATOR_0/PCIE_INITIATOR.sdc
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** මෙයට කිසියම් වෙනස් කිරීමක් file ව්‍යුත්පන්න සීමා කිරීම් නැවත ක්‍රියාත්මක කළහොත් නැති වනු ඇත. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -කාලසීමාව 6.25
[ පින්_ගන්න { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -කාලසීමාව 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -කාලසීමාව 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25න්_ගුණ කරන්න -32න්_බෙදන්න -මූලාශ්‍රය
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -අදියර 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -25න්_ගුණ කරන්න -32න්_බෙදන්න -මූලාශ්‍රය
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -අදියර 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -25න්_ගුණ කරන්න -32න්_බෙදන්න -මූලාශ්‍රය
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -අදියර 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -25න්_ගුණ කරන්න -64න්_බෙදන්න -මූලාශ්‍රය
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -අදියර 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 න්_බෙදීම -මූලාශ්‍රය
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayකවුන්ටරය*/cntGray* } ] -වෙත [ සෛල_ලබා ගන්න { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ සෛල ලබා ගන්න { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayකවුන්ටරය*/cntGray* } ] -වෙත [ සෛල_ලබා ගන්න { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ] උපග්‍රන්ථය B—සිමුලේෂන් පරිසරයට සිමුලේෂන් පුස්තකාල ආයාත කිරීම (ප්රශ්නයක් අසන්න)
Libero SoC සමඟ RTL සමාකරණය සඳහා පෙරනිමි සිමියුලේටරය ModelSim ME Pro වේ.
පෙරනිමි සිමියුලේටරය සඳහා පෙර-සම්පාදනය කරන ලද පුස්තකාල නාමාවලියෙහි ලිබෙරෝ ස්ථාපනය සමඟ ලබා ගත හැකිය. /Designer/lib/modelsimpro/precompiled/vlog for® සහාය දක්වන පවුල්. Libero SoC ModelSim, Questasim, VCS, Xcelium හි අනෙකුත් තෙවන පාර්ශවීය සිමියුලේටර් සංස්කරණ සඳහාද සහය දක්වයි.
, Active HDL, සහ Riviera Pro. අදාළ පෙර-සම්පාදනය කරන ලද පුස්තකාල බාගන්න Libero SoC v12.0 සහ පසුව සිමියුලේටරය සහ එහි අනුවාදය මත පදනම්ව.
ලිබෙරෝ පරිසරයට සමාන, run.do file ලිබෙරෝවෙන් පිටත සමාකරණය ක්‍රියාත්මක කිරීම සඳහා නිර්මාණය කළ යුතුය.
සරල run.do එකක් සාදන්න. file සම්පාදන ප්‍රතිඵල, පුස්තකාල සිතියම්ගත කිරීම, සම්පාදන සහ අනුකරණය සඳහා පුස්තකාලය ස්ථාපිත කිරීමට විධාන ඇති. මූලික run.do එකක් නිර්මාණය කිරීමට පියවර අනුගමනය කරන්න. file.

  1. vlib විධානය vlib presynth භාවිතයෙන් සම්පාදන ප්‍රතිඵල ගබඩා කිරීම සඳහා තාර්කික පුස්තකාලයක් සාදන්න.
  2. vmap විධානය vmap භාවිතයෙන් තාර්කික පුස්තකාල නාමය පෙර-සම්පාදනය කරන ලද පුස්තකාල නාමාවලියට සිතියම්ගත කරන්න. .
  3. මූලාශ්‍රය සම්පාදනය කරන්න files—නිර්මාණය සම්පාදනය කිරීමට භාෂා-විශේෂිත සම්පාදක විධාන භාවිතා කරන්න files ක්‍රියාකාරී නාමාවලියට ඇතුළු කරන්න.
    – .v/.sv සඳහා vlog
    – .vhd සඳහා vcom
  4. ඕනෑම ඉහළ මට්ටමේ මොඩියුලයක නමක් සඳහන් කිරීමෙන් vsim විධානය භාවිතයෙන් සමාකරණය සඳහා සැලසුම පූරණය කරන්න.
  5. run විධානය භාවිතයෙන් නිර්මාණය අනුකරණය කරන්න.
    සැලසුම පූරණය කිරීමෙන් පසු, සමාකරණ කාලය ශුන්‍යයට සකසා ඇති අතර, සමාකරණය ආරම්භ කිරීමට ඔබට run විධානය ඇතුළත් කළ හැකිය.
    සිමියුලේටර් පිටපත් කිරීමේ කවුළුව තුළ, run.do ක්‍රියාත්මක කරන්න file run.do ලෙස සමාකරණය ක්‍රියාත්මක කරන්න. Sample run.do file පහත පරිදි.

නිහඬව ACTELLIBNAME PolarFire සකසන්න PROJECT_DIR "W:/Test/basic_test" නම් නිහඬව සකසන්න
{[file exists presynth/_info]} { echo “තොරතුරු: සිමියුලේෂන් පුස්තකාලය presynth පවතී” } else
{ file මකන්න -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth “$”
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb තරංගය /tb/* එකතු කරන්න
1000ns log /tb/* පිටවීම ධාවනය කරන්න

උපග්‍රන්ථය C—ව්‍යුත්පන්න සීමාවන් (ප්රශ්නයක් අසන්න)

මෙම උපග්‍රන්ථය ව්‍යුත්පන්න සීමා Tcl විධාන විස්තර කරයි.
9.1 ව්‍යුත්පන්න සීමා කිරීම් Tcl විධාන (ප්රශ්නයක් අසන්න)
derive_constraints උපයෝගීතාව ඔබට RTL හෝ Libero SoC නිර්මාණ පරිසරයෙන් පිටත වින්‍යාසකාරකයෙන් සීමාවන් ලබා ගැනීමට උපකාරී වේ. ඔබේ සැලසුම සඳහා සීමාවන් ජනනය කිරීමට, ඔබට පරිශීලක HDL, සංරචක HDL සහ සංරචක සීමාවන් අවශ්‍ය වේ. files. SDC සංරචක සීමාවන් fileයටතේ ලබා ගත හැකිය / සංරචකය / වැඩ / / සංරචක වින්‍යාසය සහ උත්පාදනයෙන් පසු / නාමාවලිය.
එක් එක් සංරචක සීමාව file set_component tcl විධානය (සංරචක නාමය නියම කරයි) සහ වින්‍යාස කිරීමෙන් පසු ජනනය වන සීමාවන් ලැයිස්තුවෙන් සමන්විත වේ. වින්‍යාසය මත පදනම්ව සීමාවන් ජනනය වන අතර එක් එක් සංරචකයට විශේෂිත වේ.
Example 9-1. සංරචක සීමාව File PF_CCC හරය සඳහා
මෙන්න හිටපු කෙනෙක්ampසංරචක සීමාවක le file PF_CCC හරය සඳහා:
සංරචකය සකසන්න PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# මයික්‍රොචිප් සංස්ථාව.
# දිනය: 2021-ඔක්තෝබර්-26 04:36:00
# PLL #0 සඳහා මූලික ඔරලෝසුව
create_clock -කාලසීමාව 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -divide_by 1 -source [ get_pins { pll_inst_0/
REF_CLK_0 } ] -අදියර 0 [ get_pins { pll_inst_0/OUT0 } ] මෙහිදී, create_clock සහ create_generated_clock යනු පිළිවෙලින් යොමු සහ ප්‍රතිදාන ඔරලෝසු සීමාවන් වන අතර ඒවා වින්‍යාසය මත පදනම්ව ජනනය වේ.
9.1.1 derive_constraints Utility සමඟ වැඩ කිරීම (ප්රශ්නයක් අසන්න)
සැලසුම හරහා ගමන් කරන සීමාවන් ව්‍යුත්පන්න කර, කලින් සපයා ඇති සංරචක SDC මත පදනම්ව, සංරචකයේ සෑම අවස්ථාවක් සඳහාම නව සීමාවන් වෙන් කරන්න. files. CCC යොමු ඔරලෝසු සඳහා, එය යොමු ඔරලෝසුවේ මූලාශ්‍රය සොයා ගැනීම සඳහා සැලසුම හරහා ආපසු ප්‍රචාරණය වේ. මූලාශ්‍රය I/O එකක් නම්, යොමු ඔරලෝසු සීමාව I/O මත සකසනු ලැබේ. එය CCC ප්‍රතිදානයක් හෝ වෙනත් ඔරලෝසු මූලාශ්‍රයක් නම් (උදා:ample, Transceiver, oscillator), එය අනෙක් සංරචකයෙන් ඔරලෝසුව භාවිතා කරන අතර අන්තරයන් නොගැලපේ නම් අනතුරු ඇඟවීමක් වාර්තා කරයි. ව්‍යුත්පන්න සීමා කිරීම් ඔබේ RTL හි චිපයේ දෝලක වැනි සමහර මැක්‍රෝ සඳහා ද සීමා කිරීම් වෙන් කරනු ඇත.
derive_constraints උපයෝගීතාව ක්‍රියාත්මක කිරීමට, ඔබ .tcl සැපයිය යුතුය. file නිශ්චිත අනුපිළිවෙලෙහි පහත තොරතුරු සහිත විධාන රේඛා තර්කය.

  1. set_device කොටසේ ඇති තොරතුරු භාවිතයෙන් උපාංග තොරතුරු සඳහන් කරන්න.
  2. RTL වෙත මාර්ගය සඳහන් කරන්න fileread_verilog හෝ read_vhdl කොටසේ ඇති තොරතුරු භාවිතා කරමින්.
  3. set_top_level කොටසේ ඇති තොරතුරු භාවිතා කරමින් ඉහළ මට්ටමේ මොඩියුලය සකසන්න.
  4. සංරචක SDC වෙත මාර්ගය සඳහන් කරන්න fileread_sdc හෝ read_ndc කොටසේ තොරතුරු භාවිතා කරමින්.
  5. ක්රියාත්මක කරන්න filederive_constraints කොටසේ තොරතුරු භාවිතා කරමින්.
  6.  SDC ව්‍යුත්පන්න සීමාවන් වෙත මාර්ගය සඳහන් කරන්න file write_sdc හෝ write_pdc හෝ write_ndc කොටසේ ඇති තොරතුරු භාවිතා කරමින්.

Example 9-2. derive.tcl හි ක්‍රියාත්මක කිරීම සහ අන්තර්ගතය File
පහත දැක්වෙන්නේ හිටපු එකකිampderive_constraints උපයෝගීතාව ක්‍රියාත්මක කිරීම සඳහා le විධාන රේඛා තර්කය.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl හි අන්තර්ගතය file:
# උපාංග තොරතුරු
set_device -පවුල PolarFire -die MPF100T -වේගය -1
# ආර්ටීඑල් files
read_verilog - මාදිලිය system_verilog ව්‍යාපෘතිය/සංරචකය/වැඩ/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {project/component/work/txpll0/txpll0.v}
read_verilog -මාදිලි පද්ධති_verilog {ව්‍යාපෘතිය/සංරචකය/වැඩ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v} විසින් තවත්
read_verilog -mode system_verilog {project/component/work/xcvr0/xcvr0.v}
read_vhdl -මාදිලිය vhdl_2008 {ව්‍යාපෘතිය/hdl/xcvr1.vhd}
#සංරචක SDC files
ඉහළ_මට්ටම සකසන්න {xcvr1}
read_sdc -සංරචකය {ව්‍යාපෘතිය/සංරචකය/වැඩ/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc} විසින් තවත්
read_sdc -සංරචකය {ව්‍යාපෘතිය/සංරචකය/වැඩ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc} විසින් තවත්
#derive_constraint විධානය භාවිතා කරන්න
ව්‍යුත්පන්න_සීමාවන්
#SDC/PDC/NDC ප්‍රතිඵල files
write_sdc {ව්‍යාපෘතිය/සීමාව/xcvr1_derived_සීමාව.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 කට්ටල_උපාංගය (ප්රශ්නයක් අසන්න)
විස්තරය
පවුලේ නම, මිය ගිය නම සහ වේග ශ්‍රේණිය සඳහන් කරන්න.
උපාංගය_සකසන්න -පවුල -මැරෙන්න -වේගය
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
-පවුලක් නූල් පවුලේ නම සඳහන් කරන්න. විය හැකි අගයන් PolarFire®, PolarFire SoC වේ.
-මැරෙන්න නූල් මළකඳේ නම සඳහන් කරන්න.
-වේගය නූල් උපාංගයේ වේග ශ්‍රේණිය සඳහන් කරන්න. විය හැකි අගයන් STD හෝ -1 වේ.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය—ඩයි අස්ථානගත වී ඇත ඩයි විකල්පය අනිවාර්ය වන අතර එය නියම කළ යුතුය.
ඊආර්ආර් 0005 නොදන්නා 'MPF30' ඩයි -die විකල්පයේ අගය නිවැරදි නොවේ. විකල්පයේ විස්තරයේ ඇති විය හැකි අගයන් ලැයිස්තුව බලන්න.
ඊආර්ආර් 0023 පරාමිතිය—අයි අගය අස්ථානගත වී ඇත die විකල්පය අගයකින් තොරව දක්වා ඇත.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය—පවුල අස්ථානගත වී ඇත පවුල් විකල්පය අනිවාර්ය වන අතර එය නියම කළ යුතුය.
ඊආර්ආර් 0004 නොදන්නා 'PolarFire®' පවුල පවුල් විකල්පය නිවැරදි නොවේ. විකල්පයේ විස්තරයේ ඇති විය හැකි අගයන් ලැයිස්තුව බලන්න.
………… දිගටම
දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 පරාමිතිය—පවුලෙහි අගයක් නොමැත පවුලේ විකල්පය වටිනාකමක් නොමැතිව දක්වා ඇත.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය—වේගය නොමැත වේග විකල්පය අනිවාර්ය වන අතර එය නියම කළ යුතුය.
ඊආර්ආර් 0007 නොදන්නා වේගය ' ' වේග විකල්පය නිවැරදි නොවේ. විකල්පයේ විස්තරයේ ඇති විය හැකි අගයන් ලැයිස්තුව බලන්න.
ඊආර්ආර් 0023 පරාමිතිය—වේගය අගයක් නොමැත වේග විකල්පය අගයක් නොමැතිව දක්වා ඇත.

Example
set_device -පවුල {PolarFire} -die {MPF300T_ES} -වේගය -1
set_device -පවුල SmartFusion 2 -die M2S090T -වේගය -1
9.1.3 කියවීමේ_වෙරිලොග් (ප්රශ්නයක් අසන්න)
විස්තරය
වෙරිලොග් එකක් කියවන්න file Verific භාවිතා කරමින්.
කියවන්න_වෙරිලොග් [-ලිබ් ] [-මාදිලිය ]fileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
-ලිබ් නූල් පුස්තකාලයට එකතු කළ යුතු මොඩියුල අඩංගු පුස්තකාලය සඳහන් කරන්න.
- මාදිලිය නූල් Verilog ප්‍රමිතිය සඳහන් කරන්න. විය හැකි අගයන් වන්නේ verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu ය. අගයන් අකුරු සංවේදී නොවේ. පෙරනිමිය verilog_2k වේ.
fileනම නූල් වෙරිලොග් file නම.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 පරාමිතිය—lib හි අගයක් නොමැත lib විකල්පය අගයකින් තොරව දක්වා ඇත.
ඊආර්ආර් 0023 පරාමිතිය—මාදිලිය අගයක් නොමැත මාදිලියේ විකල්පය අගයකින් තොරව දක්වා ඇත.
ඊආර්ආර් 0015 නොදන්නා මාදිලිය ' ' නිශ්චිත verilog මාදිලිය නොදනී.—mode විකල්ප විස්තරයේ ඇති විය හැකි verilog මාදිලියේ ලැයිස්තුව බලන්න.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය file නම අතුරුදහන්. වෙරිලොග් නැත file මාර්ගය සපයා ඇත.
ඊආර්ආර් 0016 Verific හි විග්‍රහකය නිසා අසාර්ථක විය. වෙරිලොග් හි වාක්‍ය ඛණ්ඩ දෝෂයකි file. දෝෂ පණිවිඩයට ඉහළින් ඇති කොන්සෝලය තුළ Verific හි විග්‍රහකය නිරීක්ෂණය කළ හැක.
ඊආර්ආර් 0012 set_device කැඳවා නැත උපාංග තොරතුරු නිශ්චිතව දක්වා නැත. උපාංගය විස්තර කිරීමට set_device විධානය භාවිතා කරන්න.

Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog - මාදිලිය system_verilog_mfcu design.v
9.1.4 කියවීමේ_වීඑච්ඩීඑල් (ප්රශ්නයක් අසන්න)
විස්තරය
VHDL එකක් එකතු කරන්න file VHDL ලැයිස්තුවට files.
read_vhdl [-lib] ] [-මාදිලිය ]fileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
-ලිබ් අන්තර්ගතය එකතු කළ යුතු පුස්තකාලය සඳහන් කරන්න.
- මාදිලිය VHDL ප්‍රමිතිය නියම කරයි. පෙරනිමිය VHDL_93 වේ. විය හැකි අගයන් vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl වේ. අගයන් අකුරු සංවේදී නොවේ.
fileනම වීඑච්ඩීඑල් file නම.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 පරාමිතිය—lib හි අගයක් නොමැත lib විකල්පය අගයකින් තොරව දක්වා ඇත.
ඊආර්ආර් 0023 පරාමිතිය—මාදිලිය අගයක් නොමැත මාදිලියේ විකල්පය අගයකින් තොරව දක්වා ඇත.
ඊආර්ආර් 0018 නොදන්නා මාදිලිය ' ' නිශ්චිත VHDL මාදිලිය නොදනී.—මාදිලියේ විකල්ප විස්තරයේ ඇති විය හැකි VHDL මාදිලියේ ලැයිස්තුව බලන්න.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය file නම අතුරුදහන්. VHDL නැත file මාර්ගය සපයා ඇත.
ඊආර්ආර් 0019 invalid_path.v ලියාපදිංචි කිරීමට නොහැකි විය. file නිශ්චිත VHDL file නොපවතී හෝ කියවීමේ අවසර නොමැත.
ඊආර්ආර් 0012 set_device කැඳවා නැත උපාංග තොරතුරු නිශ්චිතව දක්වා නැත. උපාංගය විස්තර කිරීමට set_device විධානය භාවිතා කරන්න.

Example
read_vhdl -මාදිලිය vhdl_2008 osc2dfn.vhd
කියවන්න_vhdl {hdl/top.vhd}
9.1.5 ඉහළ_මට්ටම සකසන්න (ප්රශ්නයක් අසන්න)
විස්තරය
RTL හි ඉහළ මට්ටමේ මොඩියුලයේ නම සඳහන් කරන්න.
ඉහළ_මට්ටම සකසන්න [-lib ]
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
-ලිබ් නූල් ඉහළ මට්ටමේ මොඩියුලය හෝ ආයතනය සෙවීමට පුස්තකාලය (විකල්ප).
නම නූල් ඉහළ මට්ටමේ මොඩියුලයේ හෝ ආයතනයේ නම.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය ඉහළ මට්ටම අස්ථානගත වී ඇත. ඉහළ මට්ටමේ විකල්පය අනිවාර්ය වන අතර එය නියම කළ යුතුය.
ඊආර්ආර් 0023 පරාමිතිය—lib හි අගයක් නොමැත lib විකල්පය අගයන් නොමැතිව දක්වා ඇත.
ඊආර්ආර් 0014 ඉහළ මට්ටම සොයා ගැනීමට නොහැකිය. පුස්තකාලයේ සපයා ඇති පුස්තකාලයේ නිශ්චිත ඉහළ මට්ටමේ මොඩියුලය අර්ථ දක්වා නොමැත. මෙම දෝෂය නිවැරදි කිරීමට, ඉහළ මොඩියුලය හෝ පුස්තකාල නාමය නිවැරදි කළ යුතුය.
ඊආර්ආර් 0017 එලාබරේට් අසාර්ථක විය RTL විස්තාරණ ක්‍රියාවලියේ දෝෂයකි. දෝෂ පණිවිඩය කොන්සෝලයෙන් නිරීක්ෂණය කළ හැක.

Example
ඉහළ_මට්ටම සකසන්න {ඉහළ}
set_top_level -lib hdl top
9.1.6 read_sdc (ප්‍රශ්නයක් අසන්න)
විස්තරය
SDC එකක් කියවන්න file සංරචක දත්ත සමුදායට.
read_sdc -සංරචකයfileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
- සංරචකය අපි සීමා කිරීම් ලබා ගන්නා විට read_sdc විධානය සඳහා මෙය අනිවාර්ය ධජයකි.
fileනම නූල් SDC වෙත යන මාර්ගය file.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය file නම අතුරුදහන්. අනිවාර්ය විකල්පය file නම නිශ්චිතව දක්වා නැත.
ඊආර්ආර් 0000 SDC file <file_path> කියවිය නොහැක. නිශ්චිත SDC file කියවීමේ අවසර නොමැත.
ඊආර්ආර් 0001 විවෘත කිරීමට නොහැකිය.file_මාර්ග> file. SDC file නොපවතී. මාර්ගය නිවැරදි කළ යුතුය.
ඊආර්ආර් 0008 set_component විධානය අස්ථානගත වී ඇතfile_මාර්ග> file SDC හි නිශ්චිත සංරචකය file සංරචකය නිශ්චිතව දක්වා නැත.
දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0009 <List of errors from sdc file> SDC file වැරදි sdc විධාන අඩංගු වේ. උදාහරණයක් ලෙසample,

set_multicycle_path සීමාවේ දෝෂයක් ඇති විට: read_sdc විධානය ක්‍රියාත්මක කිරීමේදී දෝෂයක්: infile_මාර්ග> file: විධානයේ දෝෂයක් set_multicycle_path: නොදන්නා පරාමිතිය [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (ප්‍රශ්නයක් අසන්න)
විස්තරය
NDC එකක් කියවන්න file සංරචක දත්ත සමුදායට.
read_ndc -සංරචකයfileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
- සංරචකය අපි සීමා කිරීම් ලබා ගන්නා විට read_ndc විධානය සඳහා මෙය අනිවාර්ය ධජයකි.
fileනම නූල් NDC වෙත යන මාර්ගය file.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0001 විවෘත කිරීමට නොහැකිය.file_මාර්ග> file එන්ඩීසී file නොපවතී. මාර්ගය නිවැරදි කළ යුතුය.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය—AtclParamO_ අස්ථානගත වී ඇත. අනිවාර්ය විකල්පය fileනම නිශ්චිතව දක්වා නැත.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය—සංරචකය අස්ථානගත වී ඇත. සංරචක විකල්පය අනිවාර්ය වන අතර එය නියම කළ යුතුය.
ඊආර්ආර් 0000 එන්ඩීසී file 'file_path>' කියවිය නොහැක. නිශ්චිත NDC file කියවීමේ අවසර නොමැත.

Example
read_ndc -සංරචකය {සංරචකය/වැඩ/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (ප්‍රශ්නයක් අසන්න)
විස්තරය
සංරචක SDC ක්ෂණික කරන්න fileනිර්මාණ මට්ටමේ දත්ත සමුදායට ඇතුළත් කරයි.
ව්‍යුත්පන්න_සීමාවන්
තර්ක

ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0013 ඉහළ මට්ටම අර්ථ දක්වා නැත. මෙයින් අදහස් කරන්නේ ඉහළ මට්ටමේ මොඩියුලය හෝ ආයතනය නිශ්චිතව දක්වා නොමැති බවයි. මෙම ඇමතුම නිවැරදි කිරීමට, නිකුත් කරන්න
derive_constraints විධානයට පෙර set_top_level විධානය.

Example
ව්‍යුත්පන්න_සීමාවන්
9.1.9 write_sdc (ප්‍රශ්නයක් අසන්න)
විස්තරය
සීමාවක් ලියයි. file SDC ආකෘතියෙන්.
ලියන්න_එස්ඩීසීfileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
<fileනම> නූල් SDC වෙත යන මාර්ගය file ජනනය වනු ඇත. මෙය අනිවාර්ය විකල්පයකි. නම් file පවතී නම්, එය උඩින් ලියනු ලැබේ.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0003 විවෘත කිරීමට නොහැකිය.file මාර්ගය> file. File මාර්ගය නිවැරදි නැත. මව් නාමාවලි තිබේදැයි පරීක්ෂා කරන්න.
ඊආර්ආර් 0002 SDC file 'file path>' ලිවිය නොහැක. නිශ්චිත SDC file ලිවීමේ අවසරයක් නොමැත.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය file නම අතුරුදහන්. SDC file මාර්ගය අනිවාර්ය විකල්පයක් වන අතර එය නියම කළ යුතුය.

Example
write_sdc “derived.sdc”
9.1.10 write_pdc (ප්‍රශ්නයක් අසන්න)
විස්තරය
භෞතික සීමාවන් ලියයි (ව්‍යාප්ත සීමාවන් පමණි).
ලියන්න_පීඩීසීfileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
<fileනම> නූල් PDC වෙත යන මාර්ගය file ජනනය වනු ඇත. මෙය අනිවාර්ය විකල්පයකි. නම් file මාර්ගය පවතී නම්, එය උඩින් ලියනු ලැබේ.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩ විස්තරය
ඊආර්ආර් 0003 විවෘත කිරීමට නොහැකිය.file මාර්ගය> file ද file මාර්ගය නිවැරදි නැත. මව් නාමාවලි තිබේදැයි පරීක්ෂා කරන්න.
ඊආර්ආර් 0002 PDC file 'file path>' ලිවිය නොහැක. නිශ්චිත PDC file ලිවීමේ අවසරයක් නොමැත.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය file නම අතුරුදහන්. පීඩීසී file මාර්ගය අනිවාර්ය විකල්පයක් වන අතර එය නියම කළ යුතුය.

Example
write_pdc “derived.pdc”
9.1.11 write_ndc (ප්‍රශ්නයක් අසන්න)
විස්තරය
NDC සීමාවන් a ලෙස ලියයි. file.
ලියන්න_එන්ඩීසීfileනම>
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
fileනම නූල් NDC වෙත යන මාර්ගය file ජනනය වනු ඇත. මෙය අනිවාර්ය විකල්පයකි. නම් file පවතී නම්, එය උඩින් ලියනු ලැබේ.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩ විස්තරය
ඊආර්ආර් 0003 විවෘත කිරීමට නොහැකිය.file_මාර්ග> file. File මාර්ගය නිවැරදි නැත. මව් නාමාවලි නොපවතී.
ඊආර්ආර් 0002 එන්ඩීසී file 'file_path>' ලිවිය නොහැක. නිශ්චිත NDC file ලිවීමේ අවසරයක් නොමැත.
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය _AtclParamO_ නොමැත. එන්ඩීසී file මාර්ගය අනිවාර්ය විකල්පයක් වන අතර එය නියම කළ යුතුය.

Example
write_ndc “derived.ndc”
9.1.12 add_include_path (ප්‍රශ්නයක් අසන්න)
විස්තරය
සෙවීම සඳහා මාර්ගයක් නියම කරයි, එයට ඇතුළත් වන්නේ fileRTL කියවන විට files.
ඇතුළත්_මාර්ගය එකතු කරන්න
තර්ක

පරාමිතිය ටයිප් කරන්න විස්තරය
නාමාවලිය නූල් සෙවීම සඳහා මාර්ගයක් නියම කරයි, එයට ඇතුළත් වන්නේ fileRTL කියවන විට files. මෙම විකල්පය අනිවාර්ය වේ.
ආපසු එන වර්ගය විස්තරය
0 විධානය සාර්ථක විය.
ආපසු එන වර්ගය විස්තරය
1 විධානය අසාර්ථක විය. දෝෂයක් තිබේ. ඔබට කොන්සෝලය තුළ දෝෂ පණිවිඩය නිරීක්ෂණය කළ හැකිය.

දෝෂ ලැයිස්තුව

දෝෂ කේතය දෝෂ පණිවිඩය විස්තරය
ඊආර්ආර් 0023 අවශ්‍ය පරාමිතිය ඇතුළත් මාර්ගය අස්ථානගත වී ඇත. නාමාවලි විකල්පය අනිවාර්ය වන අතර එය සැපයිය යුතුය.

සටහන: නම් නාමාවලි මාර්ගය නිවැරදි නොවේ, එවිට add_include_path දෝෂයකින් තොරව සම්මත වේ.
කෙසේ වෙතත්, Verific හි විග්‍රහකය හේතුවෙන් read_verilog/read_vhd විධාන අසාර්ථක වනු ඇත.
Example
සංරචකය/වැඩ/COREABC0/COREABC0_0/rtl/vlog/core එකතු කරන්න

සංශෝධන ඉතිහාසය (ප්රශ්නයක් අසන්න)

සංශෝධන ඉතිහාසය ලේඛනයේ ක්රියාත්මක කරන ලද වෙනස්කම් විස්තර කරයි. වඩාත්ම වර්තමාන ප්‍රකාශනයෙන් ආරම්භ වන සංශෝධනය මගින් වෙනස්කම් ලැයිස්තුගත කර ඇත.

සංශෝධනය දිනය විස්තරය
F 08/2024 මෙම සංශෝධනයේදී පහත වෙනස්කම් සිදු කර ඇත:
• යාවත්කාලීන කරන ලද කොටස උපග්‍රන්ථය B—සිමියුලේෂන් පරිසරයට සිමියුලේෂන් පුස්තකාල ආයාත කිරීම.
E 08/2024 මෙම සංශෝධනයේදී පහත වෙනස්කම් සිදු කර ඇත:
• යාවත්කාලීන කරන ලද කොටස අවසන්view.
• ව්‍යුත්පන්න SDC කොටස යාවත්කාලීන කරන ලදී File.
• යාවත්කාලීන කරන ලද කොටස උපග්‍රන්ථය B—සිමියුලේෂන් පරිසරයට සිමියුලේෂන් පුස්තකාල ආයාත කිරීම.
D 02/2024 මෙම ලේඛනය v2024.1 වෙතින් වෙනස්කම් නොමැතිව Libero 2023.2 SoC නිර්මාණ කට්ටලය සමඟ නිකුත් කර ඇත.
යාවත්කාලීන කරන ලද කොටස derive_constraints උපයෝගීතාව සමඟ වැඩ කිරීම
C 08/2023 මෙම ලේඛනය v2023.2 වෙතින් වෙනස්කම් නොමැතිව Libero 2023.1 SoC නිර්මාණ කට්ටලය සමඟ නිකුත් කර ඇත.
B 04/2023 මෙම ලේඛනය v2023.1 වෙතින් වෙනස්කම් නොමැතිව Libero 2022.3 SoC නිර්මාණ කට්ටලය සමඟ නිකුත් කර ඇත.
A 12/2022 මූලික සංශෝධනය.

Microchip FPGA සහාය
Microchip FPGA නිෂ්පාදන සමූහය පාරිභෝගික සේවා, පාරිභෝගික තාක්ෂණික ආධාරක මධ්‍යස්ථානය ඇතුළු විවිධ ආධාරක සේවාවන් සමඟ සිය නිෂ්පාදනවලට සහාය දක්වයි. webවෙබ් අඩවිය, සහ ලෝක ව්යාප්ත විකුණුම් කාර්යාල.
ඔවුන්ගේ විමසුම්වලට දැනටමත් පිළිතුරු ලැබී ඇති බැවින් සහාය සම්බන්ධ කර ගැනීමට පෙර Microchip සබැඳි සම්පත් වෙත පිවිසීමට පාරිභෝගිකයින්ට යෝජනා කෙරේ.
හරහා තාක්ෂණික සහාය මධ්යස්ථානය අමතන්න webඅඩවියේ www.microchip.com/support. FPGA උපාංග කොටස් අංකය සඳහන් කරන්න, සුදුසු අවස්ථා කාණ්ඩය තෝරන්න, සහ නිර්මාණය උඩුගත කරන්න fileතාක්ෂණික ආධාරක නඩුවක් නිර්මාණය කිරීමේදී s.
නිෂ්පාදන මිලකරණය, නිෂ්පාදන වැඩිදියුණු කිරීම්, යාවත්කාලීන තොරතුරු, ඇණවුම් තත්ත්වය සහ අවසරය වැනි තාක්ෂණික නොවන නිෂ්පාදන සහාය සඳහා පාරිභෝගික සේවාව අමතන්න.

  • උතුරු ඇමරිකාවේ සිට, 800.262.1060 අමතන්න
  • සෙසු ලෝකයේ සිට, 650.318.4460 අමතන්න
  • ෆැක්ස්, ලෝකයේ ඕනෑම තැනක සිට, 650.318.8044

මයික්‍රොචිප් තොරතුරු
මයික්‍රොචිප් එක Webඅඩවිය
Microchip අපගේ හරහා මාර්ගගත සහාය සපයයි webඅඩවියේ www.microchip.com/. මේ webඅඩවිය සෑදීමට භාවිතා කරයි files සහ තොරතුරු පාරිභෝගිකයින්ට පහසුවෙන් ලබා ගත හැකිය. පවතින සමහර අන්තර්ගතයට ඇතුළත් වන්නේ:

  • නිෂ්පාදන සහාය - දත්ත පත්‍රිකා සහ දෝෂ, යෙදුම් සටහන් සහ sample වැඩසටහන්, සැලසුම් සම්පත්, පරිශීලක මාර්ගෝපදේශ සහ දෘඩාංග ආධාරක ලේඛන, නවතම මෘදුකාංග නිකුතු සහ සංරක්ෂිත මෘදුකාංග
  • සාමාන්‍ය තාක්ෂණික සහාය - නිතර අසන ප්‍රශ්න (FAQ), තාක්ෂණික සහාය ඉල්ලීම්, මාර්ගගත සාකච්ඡා කණ්ඩායම්, Microchip නිර්මාණ හවුල්කාර වැඩසටහන් සාමාජික ලැයිස්තුගත කිරීම
  • මයික්‍රොචිප් ව්‍යාපාරය - නිෂ්පාදන තේරීම් සහ ඇණවුම් මාර්ගෝපදේශ, නවතම මයික්‍රොචිප් මාධ්‍ය නිවේදන, සම්මන්ත්‍රණ සහ සිදුවීම් ලැයිස්තුගත කිරීම, මයික්‍රොචිප් විකුණුම් කාර්යාල, බෙදාහරින්නන් සහ කර්මාන්තශාලා නියෝජිතයින් ලැයිස්තුගත කිරීම

නිෂ්පාදන වෙනස් කිරීමේ දැනුම්දීමේ සේවාව
Microchip හි නිෂ්පාදන වෙනස් කිරීමේ දැනුම්දීමේ සේවාව පාරිභෝගිකයින්ට මයික්‍රොචිප් නිෂ්පාදන මත තබා ගැනීමට උදවු කරයි. නිශ්චිත නිෂ්පාදන පවුලකට හෝ උනන්දුවක් දක්වන සංවර්ධන මෙවලමකට අදාළ වෙනස්කම්, යාවත්කාලීන කිරීම්, සංශෝධන හෝ දෝෂ ඇති විට ග්‍රාහකයින්ට විද්‍යුත් තැපෑල දැනුම්දීමක් ලැබෙනු ඇත. ලියාපදිංචි වීමට, යන්න www.microchip.com/pcn සහ ලියාපදිංචි උපදෙස් අනුගමනය කරන්න.

පාරිභෝගික සහාය
මයික්‍රොචිප් නිෂ්පාදන භාවිතා කරන්නන්ට නාලිකා කිහිපයක් හරහා සහාය ලබා ගත හැක:

  • බෙදාහරින්නා හෝ නියෝජිතයා
  • දේශීය විකුණුම් කාර්යාලය
  • Embedded Solution Engineer (ESE)
  • තාක්ෂණික සහාය

සහාය සඳහා පාරිභෝගිකයින් ඔවුන්ගේ බෙදාහරින්නා, නියෝජිතයා හෝ ESE සම්බන්ධ කර ගත යුතුය. පාරිභෝගිකයින්ට උපකාර කිරීම සඳහා දේශීය විකුණුම් කාර්යාල ද තිබේ. විකුණුම් කාර්යාල සහ ස්ථාන ලැයිස්තුවක් මෙම ලේඛනයේ ඇතුළත් වේ. හරහා තාක්ෂණික සහාය ලබා ගත හැකිය webඅඩවියේ: www.microchip.com/support
මයික්‍රොචිප් උපාංග කේත ආරක්ෂණ විශේෂාංගය
මයික්‍රොචිප් නිෂ්පාදනවල කේත ආරක්ෂණ විශේෂාංගයේ පහත විස්තර සටහන් කරන්න:

  • Microchip නිෂ්පාදන ඔවුන්ගේ විශේෂිත Microchip දත්ත පත්‍රිකාවේ අඩංගු පිරිවිතරයන් සපුරාලයි.
  • Microchip විශ්වාස කරන්නේ එහි නිෂ්පාදන පවුල අපේක්ෂිත ආකාරයෙන්, මෙහෙයුම් පිරිවිතරයන් තුළ සහ සාමාන්‍ය තත්ව යටතේ භාවිතා කරන විට ආරක්ෂිත බවයි.
  • මයික්‍රොචිප් එහි බුද්ධිමය දේපළ අයිතිවාසිකම් අගය කරන අතර ආක්‍රමණශීලී ලෙස ආරක්ෂා කරයි. Microchip නිෂ්පාදනයේ කේත ආරක්ෂණ විශේෂාංග උල්ලංඝනය කිරීමට උත්සාහ කිරීම දැඩි ලෙස තහනම් කර ඇති අතර ඩිජිටල් මිලේනියම් ප්‍රකාශන හිමිකම් පනත උල්ලංඝනය කළ හැක.
  • Microchip හෝ වෙනත් කිසිදු අර්ධ සන්නායක නිෂ්පාදකයෙකුට එහි කේතයේ ආරක්ෂාව සහතික කළ නොහැක. කේත ආරක්ෂණය යන්නෙන් අදහස් කරන්නේ නිෂ්පාදනය "නොබිඳිය හැකි" බව අප සහතික කරන බව නොවේ. කේත ආරක්ෂණය නිරන්තරයෙන් වර්ධනය වේ. Microchip අපගේ නිෂ්පාදනවල කේත ආරක්ෂණ විශේෂාංග අඛණ්ඩව වැඩිදියුණු කිරීමට කැපවී සිටී.

නීති නිවේදනය
මෙම ප්‍රකාශනය සහ මෙහි ඇති තොරතුරු Microchip නිෂ්පාදන සැලසුම් කිරීම, පරීක්ෂා කිරීම සහ ඔබේ යෙදුම සමඟ ඒකාබද්ධ කිරීම ඇතුළුව Microchip නිෂ්පාදන සමඟ පමණක් භාවිත කළ හැක. මෙම තොරතුරු වෙනත් ආකාරයකින් භාවිතා කිරීම මෙම නියමයන් උල්ලංඝනය කරයි. උපාංග යෙදුම් සම්බන්ධ තොරතුරු සපයනු ලබන්නේ ඔබගේ පහසුව සඳහා පමණක් වන අතර යාවත්කාලීන කිරීම් මගින් එය ආදේශ කළ හැක. ඔබගේ යෙදුම ඔබගේ පිරිවිතරයන්ට අනුකූල වන බව සහතික කිරීම ඔබගේ වගකීමකි. අමතර සහාය සඳහා ඔබේ ප්‍රාදේශීය මයික්‍රොචිප් විකුණුම් කාර්යාලය අමතන්න හෝ, අමතර සහාය ලබා ගන්න www.microchip.com/en-us/support/design-help/client-support-services.
මෙම තොරතුරු සපයනු ලබන්නේ මයික්‍රොචිප් "පවතින පරිදි" විසිනි. NICROCHIP විසින් ප්‍රකාශිත හෝ ව්‍යංග, ලිඛිත හෝ වාචික, ව්‍යවස්ථාපිත හෝ වෙනත් ආකාරයකින්, එම තොරතුරු ඇතුළත් තොරතුරුවලට අදාළව කිසිදු ආකාරයක නියෝජනයක් හෝ වගකීමක් ලබා නොදේ උල්ලංඝණය කිරීම, වෙළඳාම් කිරීම සහ විශේෂිත අරමුණක් සඳහා යෝග්‍යතාවය, හෝ වගකීම් එහි තත්ත්වය, ගුණාත්මකභාවය, හෝ කාර්ය සාධනය සම්බන්ධයි. කිසිම අවස්ථාවක මයික්‍රොචිප් කිසිදු වක්‍ර, විශේෂ, දණ්ඩනීය, අහඹු හෝ අනුක්‍රමික අලාභයක්, හානියක්, පිරිවැයක් හෝ ඒ සඳහා අවශ්‍ය ඕනෑම ආකාරයක වියදම් සඳහා වගකිව යුතු නොවේ. මයික්‍රොචිපයට උපදෙස් දී ඇතත්, භාවිතා වේ හැකියාව හෝ හානි පුරෝකථනය කළ හැකිය. නීතියෙන් අවසර දී ඇති උපරිම ප්‍රමාණයට, තොරතුරු හෝ එහි භාවිතය සම්බන්ධ ඕනෑම ආකාරයකින් සියලුම හිමිකම් මත මයික්‍රොචිප් හි සම්පූර්ණ වගකීම, ඒ අනුව, අවශ්‍ය පරිදි, ආහාර ප්‍රමාණය නොඉක්මවනු ඇත. තොරතුරු සඳහා ROCHIP.
ජීවිත ආධාරක සහ/හෝ ආරක්ෂිත යෙදුම්වල ක්ෂුද්‍ර චිප් උපාංග භාවිතය සම්පූර්ණයෙන්ම ගැනුම්කරුගේ අවදානමට ලක්ව ඇති අතර, එවැනි භාවිතයෙන් ඇතිවන ඕනෑම සහ සියලු හානි, නඩු, නඩු හෝ වියදම් වලින් මයික්‍රොචිප් ආරක්ෂා කිරීමට, වන්දි ගෙවීමට සහ හානිකර නොවන ලෙස තබා ගැනීමට ගැනුම්කරු එකඟ වේ. වෙනත් ආකාරයකින් දක්වා නොමැති නම්, කිසිදු මයික්‍රොචිප් බුද්ධිමය දේපළ අයිතිවාසිකම් යටතේ ව්‍යංගයෙන් හෝ වෙනත් ආකාරයකින් බලපත්‍ර ලබා නොදේ.
වෙළඳ ලකුණු
Microchip නම සහ ලාංඡනය, Microchip ලාංඡනය, Adaptec, AVR, AVR ලාංඡනය, AVR Freaks, BesTime, BitCloud, CryptoMemory, CryptoRF, dsPIC, flexPWR, HELDO, IGLOO, JukeBlox, KeLX, Mauch, ලින්ක්, ලින්ක්, ලින්ක්, එම්ඩී MediaLB, megaAVR, මයික්‍රොසෙමි, මයික්‍රොසෙමි ලාංඡනය, MOST, MOST ලාංඡනය, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 ලාංඡනය, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, Spycomlash, එස්ටීඊ ලොගෝ , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, සහ XMEGA යනු ඇමරිකා එක්සත් ජනපදයේ සහ වෙනත් රටවල සංස්ථාපිත මයික්‍රොචිප් තාක්ෂණයේ ලියාපදිංචි වෙළඳ ලකුණු වේ.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus ලාංඡනය, Smart,Wire, Quiet TimeCesium, TimeHub, TimePictra, TimeProvider සහ ZL යනු ඇමරිකා එක්සත් ජනපදයේ සංස්ථාපිත මයික්‍රොචිප් තාක්ෂණයේ ලියාපදිංචි වෙළඳ ලකුණු වේ.
යාබද යතුරු මර්දනය, AKS, ඩිජිටල් සඳහා ප්‍රතිසමයක්, ඕනෑම ධාරිත්‍රකයක්, AnyIn, AnyOut, Augmented Switching, BlueSky, BodyCom, Clockstudio, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoCompanion, CryptoCompanion, CryptoCompanion. ගතික සාමාන්ය ගැලපීම , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, Jitterblocker, Kitterblocker-, උපරිමView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB සහතික කළ ලාංඡනය, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Powermarilicon IV, Powermarilicon , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG7, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher, Switchroancedc, Switchroancedc , විශ්වාසදායී වේලාව, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect, සහ ZENA යනු ඇමරිකා එක්සත් ජනපදයේ සහ අනෙකුත් රටවල සංස්ථාපිත මයික්‍රොචිප් තාක්ෂණයේ වෙළඳ ලකුණු වේ.
SQTP යනු ඇමරිකා එක්සත් ජනපදයේ සංස්ථාපිත මයික්‍රොචිප් තාක්ෂණයේ සේවා සලකුණකි
Adaptec ලාංඡනය, ඉල්ලුම මත සංඛ්‍යාතය, Silicon Storage Technology, සහ Symmcom වෙනත් රටවල Microchip Technology Inc. හි ලියාපදිංචි වෙළඳ ලකුණු වේ.
GestIC යනු වෙනත් රටවල Microchip Technology Inc. හි අනුබද්ධිත Microchip Technology Germany II GmbH & Co. KG හි ලියාපදිංචි වෙළඳ ලකුණකි.
මෙහි සඳහන් අනෙකුත් සියලුම වෙළඳ ලකුණු ඔවුන්ගේ අදාළ සමාගම්වල දේපළ වේ.
2024, මයික්‍රොචිප් ටෙක්නොලොජි ඉන්කෝපරේටඩ් සහ එහි අනුබද්ධ සමාගම්. සියලුම හිමිකම් ඇවිරිණි.
ISBN: 978-1-6683-0183-8
තත්ත්ව කළමනාකරණ පද්ධතිය
Microchip හි තත්ත්ව කළමනාකරණ පද්ධති පිළිබඳ තොරතුරු සඳහා කරුණාකර පිවිසෙන්න www.microchip.com/quality.
ලෝක ව්යාප්ත විකුණුම් සහ සේවා

ඇමරිකාව  ASIA/PACIFIC  ASIA/PACIFIC  යුරෝපය
ආයතනික කාර්යාලය
2355 බටහිර චැන්ඩ්ලර් බ්ලවඩ්.
චැන්ඩ්ලර්, AZ 85224-6199
දුරකථන: 480-792-7200
ෆැක්ස්: 480-792-7277
තාක්ෂණික සහාය: www.microchip.com/support
Web ලිපිනය: www.microchip.com
ඇට්ලන්ටා
දුලූත්, ජී.ඒ
දුරකථන: 678-957-9614
ෆැක්ස්: 678-957-1455
ඔස්ටින්, TX
දුරකථන: 512-257-3370
බොස්ටන්
වෙස්ට්බරෝ, එම්ඒ
දුරකථන: 774-760-0087
ෆැක්ස්: 774-760-0088
චිකාගෝ
ඉටාස්කා, IL
දුරකථන: 630-285-0071
ෆැක්ස්: 630-285-0075
ඩලස්
ඇඩිසන්, ටීඑක්ස්
දුරකථන: 972-818-7423
ෆැක්ස්: 972-818-2924
ඩෙට්රොයිට්
නොවි, එම්අයි
දුරකථන: 248-848-4000
හූස්ටන්, TX
දුරකථන: 281-894-5983
ඉන්ඩියානාපොලිස්
නොබල්ස්විල්, IN
දුරකථන: 317-773-8323
ෆැක්ස්: 317-773-5453
දුරකථන: 317-536-2380
ලොස් ඇන්ජලීස්
මිෂන් Viejo, CA
දුරකථන: 949-462-9523
ෆැක්ස්: 949-462-9608
දුරකථන: 951-273-7800
රැලි, එන්සී
දුරකථන: 919-844-7510
නිව් යෝර්ක්, NY
දුරකථන: 631-435-6000
සැන් ජෝස්, CA
දුරකථන: 408-735-9110
දුරකථන: 408-436-4270
කැනඩාව - ටොරොන්ටෝ
දුරකථන: 905-695-1980
ෆැක්ස්: 905-695-2078
ඕස්ට්රේලියාව - සිඩ්නි
දුරකථන: 61-2-9868-6733
චීනය - බීජිං
දුරකථන: 86-10-8569-7000
චීනය - චෙන්ග්ඩු
දුරකථන: 86-28-8665-5511
චීනය - චොංකිං
දුරකථන: 86-23-8980-9588
චීනය - Dongguan
දුරකථන: 86-769-8702-9880
චීනය - Guangzhou
දුරකථන: 86-20-8755-8029
චීනය - Hangzhou
දුරකථන: 86-571-8792-8115
චීනය - හොංකොං SAR
දුරකථන: 852-2943-5100
චීනය - නැන්ජිං
දුරකථන: 86-25-8473-2460
චීනය - කිංඩාඕ
දුරකථන: 86-532-8502-7355
චීනය - ෂැංහයි
දුරකථන: 86-21-3326-8000
චීනය - Shenyang
දුරකථන: 86-24-2334-2829
චීනය - ෂෙන්සෙන්
දුරකථන: 86-755-8864-2200
චීනය - Suzhou
දුරකථන: 86-186-6233-1526
චීනය - වුහාන්
දුරකථන: 86-27-5980-5300
චීනය - Xian
දුරකථන: 86-29-8833-7252
චීනය - Xiamen
දුරකථන: 86-592-2388138
චීනය - Zhuhai
දුරකථන: 86-756-3210040
ඉන්දියාව - බැංගලෝර්
දුරකථන: 91-80-3090-4444
ඉන්දියාව - නවදිල්ලිය
දුරකථන: 91-11-4160-8631
ඉන්දියාව - පූනේ
දුරකථන: 91-20-4121-0141
ජපානය - ඔසාකා
දුරකථන: 81-6-6152-7160
ජපානය - ටෝකියෝ
දුරකථන: 81-3-6880- 3770
කොරියාව - ඩේගු
දුරකථන: 82-53-744-4301
කොරියාව - සෝල්
දුරකථන: 82-2-554-7200
මැලේසියාව - ක්වාලාලම්පූර්
දුරකථන: 60-3-7651-7906
මැලේසියාව - පෙනං
දුරකථන: 60-4-227-8870
පිලිපීනය - මැනිලා
දුරකථන: 63-2-634-9065
සිංගප්පූරුව
දුරකථන: 65-6334-8870
තායිවානය - Hsin Chu
දුරකථන: 886-3-577-8366
තායිවානය - Kaohsiung
දුරකථන: 886-7-213-7830
තායිවානය - තායිපේ
දුරකථන: 886-2-2508-8600
තායිලන්තය - බැංකොක්
දුරකථන: 66-2-694-1351
වියට්නාමය - හෝ චි මිං
දුරකථන: 84-28-5448-2100
ඔස්ට්රියාව - වෙල්ස්
දුරකථන: 43-7242-2244-39
ෆැක්ස්: 43-7242-2244-393
ඩෙන්මාර්කය - කෝපන්හේගන්
දුරකථන: 45-4485-5910
ෆැක්ස්: 45-4485-2829
ෆින්ලන්තය - එස්පූ
දුරකථන: 358-9-4520-820
ප්රංශය - පැරිස්
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
ජර්මනිය - ගාර්චිං
දුරකථන: 49-8931-9700
ජර්මනිය - හාන්
දුරකථන: 49-2129-3766400
ජර්මනිය - Heilbronn
දුරකථන: 49-7131-72400
ජර්මනිය - කාල්ස්රුහේ
දුරකථන: 49-721-625370
ජර්මනිය - මියුනිච්
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
ජර්මනිය - රොසෙන්හයිම්
දුරකථන: 49-8031-354-560
ඊශ්‍රායලය - Hod Hasharon
දුරකථන: 972-9-775-5100
ඉතාලිය - මිලාන්
දුරකථන: 39-0331-742611
ෆැක්ස්: 39-0331-466781
ඉතාලිය - පාඩෝවා
දුරකථන: 39-049-7625286
නෙදර්ලන්තය - Drunen
දුරකථන: 31-416-690399
ෆැක්ස්: 31-416-690340
නෝර්වේ - ට්‍රොන්ඩ්හයිම්
දුරකථන: 47-72884388
පෝලන්තය - වෝර්සෝ
දුරකථන: 48-22-3325737
රුමේනියාව - බුකාරෙස්ට්
Tel: 40-21-407-87-50
ස්පාඤ්ඤය - මැඩ්රිඩ්
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
ස්වීඩනය - ගොතන්බර්ග්
Tel: 46-31-704-60-40
ස්වීඩනය - ස්ටොක්හෝම්
දුරකථන: 46-8-5090-4654
එක්සත් රාජධානිය - වෝකින්හැම්
දුරකථන: 44-118-921-5800
ෆැක්ස්: 44-118-921-5820

මයික්‍රොචිප් - ලාංඡනය

ලේඛන / සම්පත්

MICROCHIP DS00004807F PolarFire පවුලේ FPGA අභිරුචි ප්‍රවාහය [pdf] පරිශීලක මාර්ගෝපදේශය
DS00004807F PolarFire පවුල FPGA අභිරුචි ප්‍රවාහය, DS00004807F, PolarFire පවුල FPGA අභිරුචි ප්‍රවාහය, පවුල FPGA අභිරුචි ප්‍රවාහය, අභිරුචි ප්‍රවාහය, ප්‍රවාහය

යොමු කිරීම්

කමෙන්ට් එකක් දාන්න

ඔබගේ විද්‍යුත් තැපැල් ලිපිනය ප්‍රකාශනය නොකෙරේ. අවශ්‍ය ක්ෂේත්‍ර සලකුණු කර ඇත *