மைக்ரோசிப் - லோகோ போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்ட பயனர் வழிகாட்டி
லிபரோ SoC v2024.2

அறிமுகம் (ஒரு கேள்வி கேள்)

லிபரோ சிஸ்டம்-ஆன்-சிப் (SoC) மென்பொருள் முழுமையாக ஒருங்கிணைக்கப்பட்ட ஃபீல்ட் புரோகிராம் செய்யக்கூடிய கேட் அரே (FPGA) வடிவமைப்பு சூழலை வழங்குகிறது. இருப்பினும், சில பயனர்கள் லிபரோ SoC சூழலுக்கு வெளியே மூன்றாம் தரப்பு தொகுப்பு மற்றும் உருவகப்படுத்துதல் கருவிகளைப் பயன்படுத்த விரும்பலாம். லிபரோவை இப்போது FPGA வடிவமைப்பு சூழலில் ஒருங்கிணைக்க முடியும். முழு FPGA வடிவமைப்பு ஓட்டத்தையும் நிர்வகிக்க லிபரோ SoC ஐப் பயன்படுத்த பரிந்துரைக்கப்படுகிறது.
இந்தப் பயனர் வழிகாட்டி, போலார்ஃபயர் மற்றும் போலார்ஃபயர் SoC குடும்ப சாதனங்களுக்கான தனிப்பயன் ஓட்டத்தை விவரிக்கிறது, இது பெரிய FPGA வடிவமைப்பு ஓட்டத்தின் ஒரு பகுதியாக லிபரோவை ஒருங்கிணைக்கும் ஒரு செயல்முறையாகும். ஆதரிக்கப்படும் சாதன குடும்பங்கள்® பின்வரும் அட்டவணை லிபரோ SoC ஆதரிக்கும் சாதன குடும்பங்களை பட்டியலிடுகிறது. இருப்பினும், இந்த வழிகாட்டியில் உள்ள சில தகவல்கள் ஒரு குறிப்பிட்ட சாதன குடும்பத்திற்கு மட்டுமே பொருந்தும். இந்த விஷயத்தில், அத்தகைய தகவல் தெளிவாக அடையாளம் காணப்படுகிறது.
அட்டவணை 1. Libero SoC ஆல் ஆதரிக்கப்படும் சாதனக் குடும்பங்கள்

சாதன குடும்பம் விளக்கம்
PolarFire® போலார்ஃபயர் FPGAக்கள், விதிவிலக்கான பாதுகாப்பு மற்றும் நம்பகத்தன்மையுடன், நடுத்தர அளவிலான அடர்த்தியில் தொழில்துறையின் மிகக் குறைந்த மின்சாரத்தை வழங்குகின்றன.
PolarFire SoC போலார்ஃபயர் SoC என்பது ஒரு நிர்ணயிக்கப்பட்ட, ஒத்திசைவான RISC-V CPU கிளஸ்டர் மற்றும் Linux® மற்றும் நிகழ்நேர பயன்பாடுகளை இயக்கும் ஒரு நிர்ணயிக்கப்பட்ட L2 நினைவக துணை அமைப்பைக் கொண்ட முதல் SoC FPGA ஆகும்.

முடிந்துவிட்டதுview (ஒரு கேள்வி கேள்)

SoC மற்றும் FPGA வடிவமைப்புகளை உருவாக்க Libero SoC முழுமையாக ஒருங்கிணைக்கப்பட்ட இறுதி முதல் இறுதி வரையிலான வடிவமைப்பு சூழலை வழங்கும் அதே வேளையில், Libero SoC சூழலுக்கு வெளியே மூன்றாம் தரப்பு கருவிகளுடன் தொகுப்பு மற்றும் உருவகப்படுத்துதலை இயக்குவதற்கான நெகிழ்வுத்தன்மையையும் இது வழங்குகிறது. இருப்பினும், சில வடிவமைப்பு படிகள் Libero SoC சூழலுக்குள் இருக்க வேண்டும்.
பின்வரும் அட்டவணை FPGA வடிவமைப்பு ஓட்டத்தின் முக்கிய படிகளை பட்டியலிடுகிறது மற்றும் Libero SoC பயன்படுத்தப்பட வேண்டிய படிகளைக் குறிக்கிறது.
அட்டவணை 1-1. FPGA வடிவமைப்பு ஓட்டம்

வடிவமைப்பு ஓட்டப் படி லிபரோவைப் பயன்படுத்த வேண்டும் விளக்கம்
வடிவமைப்பு உள்ளீடு: HDL இல்லை தேவைப்பட்டால் Libero® SoCக்கு வெளியே மூன்றாம் தரப்பு HDL எடிட்டர்/சரிபார்க்கும் கருவியைப் பயன்படுத்தவும்.
வடிவமைப்பு உள்ளீடு: கட்டமைப்பாளர்கள் ஆம் ஐபி பட்டியல் மைய கூறு உருவாக்கத்திற்கான முதல் லிபரோ திட்டத்தை உருவாக்கவும்.
தானியங்கி PDC/SDC கட்டுப்பாட்டு உருவாக்கம் இல்லை பெறப்பட்ட கட்டுப்பாடுகளுக்கு அனைத்து HDL தேவை. fileஇணைப்பு C—Derive Constraints இல் விவரிக்கப்பட்டுள்ளபடி, Libero SoC க்கு வெளியே நிகழ்த்தப்படும் போது s மற்றும் ஒரு derive_constraints பயன்பாடு.
உருவகப்படுத்துதல் இல்லை தேவைப்பட்டால், Libero SoCக்கு வெளியே மூன்றாம் தரப்பு கருவியைப் பயன்படுத்தவும். இலக்கு சாதனம், இலக்கு சிமுலேட்டர் மற்றும் பின்தள செயல்படுத்தலுக்குப் பயன்படுத்தப்படும் இலக்கு Libero பதிப்பிற்கான முன்-தொகுக்கப்பட்ட உருவகப்படுத்துதல் நூலகங்களைப் பதிவிறக்குவது அவசியம்.
தொகுப்பு இல்லை விரும்பினால் Libero SoC க்கு வெளியே மூன்றாம் தரப்பு கருவியைப் பயன்படுத்தவும்.
வடிவமைப்பு செயல்படுத்தல்: கட்டுப்பாடுகளை நிர்வகித்தல், நெட்லிஸ்ட்டை தொகுத்தல், இடம் மற்றும் வழி (மேலே காண்கview) ஆம் பின்தளத்தில் செயல்படுத்த இரண்டாவது லிபரோ திட்டத்தை உருவாக்கவும்.
நேரம் மற்றும் சக்தி சரிபார்ப்பு ஆம் இரண்டாவது லிபரோ திட்டத்தில் இருங்கள்.
வடிவமைப்பு துவக்க தரவு மற்றும் நினைவகங்களை உள்ளமைக்கவும் ஆம் இந்த கருவியைப் பயன்படுத்தி பல்வேறு வகையான நினைவகங்களை நிர்வகிக்கவும், சாதனத்தில் துவக்கத்தை வடிவமைக்கவும். இரண்டாவது திட்டத்தில் இருங்கள்.
நிரலாக்கம் File தலைமுறை ஆம் இரண்டாவது திட்டத்தில் இருங்கள்.

மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் முக்கியம்: நீங்கள் இல் கிடைக்கும் முன் தொகுக்கப்பட்ட நூலகங்களை பதிவிறக்கம் செய்ய வேண்டும் முன்பே தொகுக்கப்பட்ட உருவகப்படுத்துதல் நூலகங்கள் மூன்றாம் தரப்பு சிமுலேட்டரைப் பயன்படுத்துவதற்கான பக்கம்.
ஒரு தூய துணி FPGA ஓட்டத்தில், HDL அல்லது திட்ட உள்ளீட்டைப் பயன்படுத்தி உங்கள் வடிவமைப்பை உள்ளிட்டு அதை நேரடியாக அனுப்பவும்.
தொகுப்பு கருவிகளுக்கு. ஓட்டம் இன்னும் ஆதரிக்கப்படுகிறது. போலார்ஃபயர் மற்றும் போலார்ஃபயர் SoC FPGAக்கள் குறிப்பிடத்தக்கவை
லிபரோ SoC IP இலிருந்து உள்ளமைவு கோர்களை (SgCores) பயன்படுத்த வேண்டிய தனியுரிம கடின IP தொகுதிகள்
பட்டியல். SoC செயல்பாட்டை உள்ளடக்கிய எந்த தொகுதிகளுக்கும் சிறப்பு கையாளுதல் தேவைப்படுகிறது:

  • போலார்ஃபயர்
    – PF_UPROM
    – PF_SYSTEM_சேவைகள்
    – பிஎஃப்_சிசிசி
    – பிஎஃப் சிஎல்கே டிஐவி
    – PF_கிரிப்டோ
    – PF_DRI
    – PF_INIT_மானிட்டர்
    – PF_NGMUX
    – பிஎஃப்_ஓஎஸ்சி
    – RAMகள் (TPSRAM, DPSRAM, URAM)
    – PF_SRAM_AHBL_AXI
    – PF_XCVR_ERM
    – PF_XCVR_REF_CLK
    – பிஎஃப்_டிஎக்ஸ்_பிஎல்எல்
    – PF_PCIE
    – பிஎஃப்_ஐஓ
    – PF_IOD_CDR
    – PF_IOD_CDR_CCC
    – PF_IOD_GENERIC_RX
    – PF_IOD_GENERIC_TX
    – PF_IOD_GENERIC_TX_CCC
    – PF_RGMII_TO_GMII
    – PF_IOD_OCTAL_DDR
    – பிஎஃப்_டிடிஆர்3
    – பிஎஃப்_டிடிஆர்4
    – PF_LPDDR3
    – PF_QDR
    – PF_கோர்ஸ்மார்ட்பர்ட்
    – பிஎஃப்_டிAMPER
    – PF_TVS, மற்றும் பல.

முந்தைய பட்டியலிடப்பட்ட SgCore களுக்கு கூடுதலாக, Libero SoC பட்டியலில் PolarFire மற்றும் PolarFire SoC சாதனக் குடும்பங்களுக்கு FPGA துணி வளங்களைப் பயன்படுத்தும் பல DirectCore மென்மையான IPகள் கிடைக்கின்றன.
வடிவமைப்பு உள்ளீட்டிற்கு, முந்தைய கூறுகளில் ஏதேனும் ஒன்றைப் பயன்படுத்தினால், வடிவமைப்பு உள்ளீட்டின் ஒரு பகுதிக்கு (கூறு உள்ளீடு) நீங்கள் Libero SoC ஐப் பயன்படுத்த வேண்டும், ஆனால் Libero க்கு வெளியே உங்கள் வடிவமைப்பு உள்ளீட்டின் மீதமுள்ள பகுதியை (HDL உள்ளீடு மற்றும் பல) தொடரலாம். Libero க்கு வெளியே FPGA வடிவமைப்பு ஓட்டத்தை நிர்வகிக்க, இந்த வழிகாட்டியின் மீதமுள்ள படிகளைப் பின்பற்றவும்.
1.1 கூறு வாழ்க்கைச் சுழற்சி (ஒரு கேள்வி கேள்)
பின்வரும் படிகள் ஒரு SoC கூறுகளின் வாழ்க்கைச் சுழற்சியை விவரிக்கின்றன மற்றும் தரவை எவ்வாறு கையாள்வது என்பது குறித்த வழிமுறைகளை வழங்குகின்றன.

  1. Libero SoC இல் அதன் கட்டமைப்பாளரைப் பயன்படுத்தி கூறுகளை உருவாக்கவும். இது பின்வரும் வகையான தரவை உருவாக்குகிறது:
    – எச்.டி.எல். files
    – நினைவகம் files
    - தூண்டுதல் மற்றும் உருவகப்படுத்துதல் files
    – கூறு SDC file
  2. HDL க்கு fileவெளிப்புற வடிவமைப்பு நுழைவு கருவி/செயல்முறையைப் பயன்படுத்தி மீதமுள்ள HDL வடிவமைப்பில் அவற்றை உடனடிப்படுத்தி ஒருங்கிணைக்கவும்.
  3. நினைவகத்தை வழங்கு files மற்றும் தூண்டுதல் fileஉங்கள் உருவகப்படுத்துதல் கருவிக்கு கள்.
  4. உபகரண SDC வழங்கல் file கட்டுப்பாட்டு உருவாக்கத்திற்கான கட்டுப்பாட்டு கருவியைப் பெற. கூடுதல் விவரங்களுக்கு இணைப்பு C—கட்டுப்பாடுகளைப் பார்க்கவும்.
  5. நீங்கள் இரண்டாவது லிபரோ திட்டத்தை உருவாக்க வேண்டும், அங்கு நீங்கள் பிந்தைய தொகுப்பு நெட்லிஸ்ட் மற்றும் உங்கள் கூறு மெட்டாடேட்டாவை இறக்குமதி செய்ய வேண்டும், இதன் மூலம் நீங்கள் உருவாக்கியதற்கும் நீங்கள் நிரல் செய்ததற்கும் இடையிலான இணைப்பை நிறைவு செய்கிறீர்கள்.

1.2 லிபரோ SoC திட்ட உருவாக்கம் (ஒரு கேள்வி கேள்)
சில வடிவமைப்பு படிகள் Libero SoC சூழலுக்குள் இயக்கப்பட வேண்டும் (அட்டவணை 1-1). இந்த படிகள் இயங்க, நீங்கள் இரண்டு Libero SoC திட்டங்களை உருவாக்க வேண்டும். முதல் திட்டம் வடிவமைப்பு கூறு உள்ளமைவு மற்றும் உருவாக்கத்திற்கு பயன்படுத்தப்படுகிறது, இரண்டாவது திட்டம் உயர்மட்ட வடிவமைப்பின் இயற்பியல் செயல்படுத்தலுக்கானது.
1.3 தனிப்பயன் ஓட்டம் (ஒரு கேள்வி கேள்)
பின்வரும் படம் காட்டுகிறது:

  • லிபரோ SoC சூழலுக்கு வெளியே மூன்றாம் தரப்பு தொகுப்பு மற்றும் உருவகப்படுத்துதல் கருவிகளுடன் பெரிய FPGA வடிவமைப்பு ஓட்டத்தின் ஒரு பகுதியாக லிபரோ SoC ஐ ஒருங்கிணைக்க முடியும்.
  • வடிவமைப்பு உருவாக்கம் மற்றும் தையல் முதல் சாதனத்தை நிரலாக்கம் செய்வது வரை, ஓட்டத்தில் பல்வேறு படிகள் ஈடுபட்டுள்ளன.
  • ஒவ்வொரு வடிவமைப்பு ஓட்டப் படியிலும் நிகழ வேண்டிய தரவு பரிமாற்றம் (உள்ளீடுகள் மற்றும் வெளியீடுகள்).

மைக்ரோசிப் DS00004807F போலார்ஃபயர் ஃபேமிலி FPGA கஸ்டம் ஃப்ளோ - கஸ்டம் ஃப்ளோ ஓவர்viewமைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் 1 உதவிக்குறிப்பு:

  1. SNVM.cfg, UPROM.cfg
  2. *.மீம் file உருவகப்படுத்துதலுக்கான உருவாக்கம்: pa4rtupromgen.exe UPROM.cfg ஐ உள்ளீடாக எடுத்து UPROM.mem ஐ உருவாக்குகிறது.

தனிப்பயன் ஓட்டத்தில் பின்வரும் படிகள் உள்ளன:

  1. கூறு கட்டமைப்பு மற்றும் உருவாக்கம்:
    அ. முதல் லிபரோ திட்டத்தை உருவாக்கவும் (குறிப்பு திட்டமாக பணியாற்ற).
    b. பட்டியலிலிருந்து மையத்தைத் தேர்ந்தெடுக்கவும். மையத்தை இருமுறை சொடுக்கி அதற்கு ஒரு கூறு பெயரைக் கொடுத்து கூறுகளை உள்ளமைக்கவும்.
    இது தானாகவே கூறு தரவை ஏற்றுமதி செய்கிறது மற்றும் files. ஒரு கூறு மேனிஃபெஸ்ட்களும் உருவாக்கப்படுகின்றன. விவரங்களுக்கு கூறு மேனிஃபெஸ்ட்களைப் பார்க்கவும். மேலும் விவரங்களுக்கு, கூறு உள்ளமைவைப் பார்க்கவும்.
  2. லிபரோவிற்கு வெளியே உங்கள் RTL வடிவமைப்பை முடிக்கவும்:
    a. HDL கூறுகளை உருவாக்கவும். files.
    b. HDL இன் இருப்பிடம் files என்பது கூறு மேனிஃபெஸ்ட்களில் பட்டியலிடப்பட்டுள்ளது. files.
  3. கூறுகளுக்கான SDC கட்டுப்பாடுகளை உருவாக்கவும். நேரக் கட்டுப்பாட்டை உருவாக்க டெரிவ் கன்ஸ்ட்ரெயின்ட்ஸ் பயன்பாட்டைப் பயன்படுத்தவும். file(SDC) இதன் அடிப்படையில்:
    a. கூறு HDL files
    b. கூறு SDC files
    இ. பயனர் HDL files
    மேலும் விவரங்களுக்கு, இணைப்பு C—வழித்தோன்றல் கட்டுப்பாடுகளைப் பார்க்கவும்.
  4. தொகுப்பு கருவி/உருவகப்படுத்தும் கருவி:
    a. HDL பெறுங்கள். files, தூண்டுதல் fileகூறு மேனிஃபெஸ்ட்களில் குறிப்பிடப்பட்டுள்ளபடி, குறிப்பிட்ட இடங்களிலிருந்து கூறு தரவு மற்றும் கூறுகள்.
    b. Libero SoCக்கு வெளியே மூன்றாம் தரப்பு கருவிகளைப் பயன்படுத்தி வடிவமைப்பை ஒருங்கிணைத்து உருவகப்படுத்துதல்.
  5. உங்கள் இரண்டாவது (செயல்படுத்தல்) லிபரோ திட்டத்தை உருவாக்கவும்.
  6. வடிவமைப்பு ஓட்ட கருவி சங்கிலியிலிருந்து தொகுப்பை அகற்று (திட்டம் > திட்ட அமைப்புகள் > வடிவமைப்பு ஓட்டம் > தொகுப்பு செயல்படுத்து தேர்வுப்பெட்டியை அழிக்கவும்).
  7. வடிவமைப்பு மூலத்தை இறக்குமதி செய். files (தொகுப்பு கருவியிலிருந்து தொகுப்புக்குப் பிந்தைய *.vm நெட்லிஸ்ட்):
    – தொகுப்புக்குப் பிந்தைய இறக்குமதி *.vm netlist (File>இறக்குமதி> தொகுக்கப்பட்ட வெரிலாக் நெட்லிஸ்ட் (VM)).
    – கூறு மெட்டாடேட்டா *.cfg fileuPROM மற்றும்/அல்லது sNVM-க்கான கள்.
  8. எந்த Libero SoC தொகுதி கூறுகளையும் இறக்குமதி செய்யவும். fileதொகுதி files *.cxz இல் இருக்க வேண்டும். file வடிவம்.
    ஒரு தொகுதியை எவ்வாறு உருவாக்குவது என்பது பற்றிய கூடுதல் தகவலுக்கு, பார்க்கவும் போலார்ஃபயர் பிளாக் ஃப்ளோ பயனர் கையேடு.
  9. வடிவமைப்பு கட்டுப்பாடுகளை இறக்குமதி செய்யவும்:
    – இறக்குமதி I/O கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > I/OAttributes > இறக்குமதி).
    – தரைத் திட்டமிடலை இறக்குமதி செய் *.pdc files (கட்டுப்பாடுகள் மேலாளர் > தரை திட்டமிடுபவர் > இறக்குமதி).
    – இறக்குமதி *.sdc நேரக் கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > நேரம் >இறக்குமதி). SDC-ஐ இறக்குமதி செய்யவும். file Derive Constraint கருவி மூலம் உருவாக்கப்பட்டது.
    – இறக்குமதி *.ndc கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > NetlistAttributes > இறக்குமதி), ஏதேனும் இருந்தால்.
  10. கட்டுப்பாடு file மற்றும் கருவி சங்கம்
    – கட்டுப்பாட்டு மேலாளரில், *.pdc ஐ இணைக்கவும் fileஇடம் மற்றும் வழித்தடத்திற்கு s, *.sdc fileஇடம் மற்றும் வழித்தடம் மற்றும் நேர சரிபார்ப்புகளுக்கான கள், மற்றும் *.ndc fileநெட்லிஸ்ட்டை தொகுக்க s ஐப் பயன்படுத்தவும்.
  11. முழுமையான வடிவமைப்பு செயல்படுத்தல்
    - இடம் மற்றும் வழித்தடம், நேரம் மற்றும் சக்தியைச் சரிபார்க்கவும், வடிவமைப்பு துவக்கத் தரவு மற்றும் நினைவுகளை உள்ளமைக்கவும், நிரலாக்கவும். file தலைமுறை.
  12. வடிவமைப்பைச் சரிபார்க்கவும்
    – Libero SoC வடிவமைப்பு தொகுப்பில் வழங்கப்பட்ட வடிவமைப்பு கருவிகளைப் பயன்படுத்தி FPGA இல் வடிவமைப்பைச் சரிபார்த்து, தேவைப்பட்டால் பிழைத்திருத்தம் செய்யவும்.

கூறு கட்டமைப்பு (ஒரு கேள்வி கேள்)

தனிப்பயன் ஓட்டத்தின் முதல் படி, லிபரோ குறிப்பு திட்டத்தைப் பயன்படுத்தி உங்கள் கூறுகளை உள்ளமைப்பதாகும் (அட்டவணை 1-1 இல் முதல் லிபரோ திட்டம் என்றும் அழைக்கப்படுகிறது). அடுத்தடுத்த படிகளில், இந்த குறிப்பு திட்டத்திலிருந்து தரவைப் பயன்படுத்துகிறீர்கள்.
நீங்கள் முன்னர் பட்டியலிடப்பட்ட ஏதேனும் கூறுகளைப் பயன்படுத்துகிறீர்கள் என்றால், ஓவர் கீழ்view உங்கள் வடிவமைப்பில், இந்தப் பிரிவில் விவரிக்கப்பட்டுள்ள படிகளைச் செய்யவும்.
மேலே உள்ள எந்த கூறுகளையும் நீங்கள் பயன்படுத்தவில்லை என்றால், உங்கள் RTL ஐ லிபரோவிற்கு வெளியே எழுதி, அதை உங்கள் தொகுப்பு மற்றும் உருவகப்படுத்துதல் கருவிகளில் நேரடியாக இறக்குமதி செய்யலாம். பின்னர் நீங்கள் பிந்தைய தொகுப்பு பகுதிக்குச் சென்று, உங்கள் தொகுப்புக்குப் பிந்தைய *.vm நெட்லிஸ்ட்டை உங்கள் இறுதி லிபரோ செயல்படுத்தல் திட்டத்தில் மட்டுமே இறக்குமதி செய்யலாம் (அட்டவணை 1-1 இல் இரண்டாவது லிபரோ திட்டம் என்றும் அழைக்கப்படுகிறது).
2.1 லிபரோவைப் பயன்படுத்தி கூறு கட்டமைப்பு (ஒரு கேள்வி கேள்)
முந்தைய பட்டியலிலிருந்து பயன்படுத்த வேண்டிய கூறுகளைத் தேர்ந்தெடுத்த பிறகு, பின்வரும் படிகளைச் செய்யவும்:

  1. ஒரு புதிய லிபரோ திட்டத்தை உருவாக்கவும் (மைய கட்டமைப்பு மற்றும் தலைமுறை): உங்கள் இறுதி வடிவமைப்பை இலக்காகக் கொண்ட சாதனம் மற்றும் குடும்பத்தைத் தேர்ந்தெடுக்கவும்.
  2. தனிப்பயன் ஓட்டத்தில் குறிப்பிடப்பட்டுள்ள ஒன்று அல்லது அதற்கு மேற்பட்ட கோர்களைப் பயன்படுத்தவும்.
    a. ஒரு ஸ்மார்ட் டிசைனை உருவாக்கி, விரும்பிய மையத்தை உள்ளமைத்து, அதை ஸ்மார்ட் டிசைன் கூறுகளில் நிறுவவும்.
    b. அனைத்து பின்களையும் உயர் மட்டத்திற்கு உயர்த்தவும்.
    c. ஸ்மார்ட் டிசைனை உருவாக்குங்கள்.
    d. சிமுலேட்டரை செயல்படுத்த சிமுலேட் கருவியை (முன்-தொகுப்பு அல்லது பிந்தைய-தொகுப்பு அல்லது பிந்தைய-தளவமைப்பு விருப்பங்களில் ஏதேனும்) இருமுறை கிளிக் செய்யவும். அது செயல்படுத்தப்பட்ட பிறகு நீங்கள் சிமுலேட்டரிலிருந்து வெளியேறலாம். இந்தப் படி உருவகப்படுத்துதலை உருவாக்குகிறது. fileஉங்கள் திட்டத்திற்கு அவசியம்.

மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் 1 குறிப்பு: நீங்கள் உங்கள் வடிவமைப்பை லிபரோவிற்கு வெளியே உருவகப்படுத்த விரும்பினால் இந்தப் படியைச் செய்ய வேண்டும்.
மேலும் தகவலுக்கு, உங்கள் வடிவமைப்பை உருவகப்படுத்துதல் என்பதைப் பார்க்கவும்.
e. உங்கள் திட்டத்தைச் சேமிக்கவும் - இது உங்கள் குறிப்பு திட்டம்.
2.2 கூறு வெளிப்பாடுகள் (ஒரு கேள்வி கேள்)
நீங்கள் உங்கள் கூறுகளை உருவாக்கும் போது, ​​ஒரு தொகுப்பு fileஒவ்வொரு கூறுக்கும் s உருவாக்கப்படுகிறது. கூறு மேனிஃபெஸ்ட் அறிக்கை தொகுப்பை விவரிக்கிறது fileஒவ்வொரு அடுத்தடுத்த படியிலும் (தொகுப்பு, உருவகப்படுத்துதல், நிலைபொருள் உருவாக்கம் மற்றும் பல) உருவாக்கப்பட்டு பயன்படுத்தப்படுகிறது. இந்த அறிக்கை உருவாக்கப்பட்ட அனைத்து இடங்களின் இருப்பிடங்களையும் உங்களுக்கு வழங்குகிறது. fileதனிப்பயன் ஓட்டத்தைத் தொடர கள் தேவை. அறிக்கைகள் பகுதியில் கூறு மேனிஃபெஸ்ட்டை நீங்கள் அணுகலாம்: அறிக்கைகள் தாவலைத் திறக்க வடிவமைப்பு > அறிக்கைகள் என்பதைக் கிளிக் செய்யவும். அறிக்கைகள் தாவலில், நீங்கள் manifest.txt தொகுப்பைக் காண்பீர்கள். files (முடிந்ததுview), நீங்கள் உருவாக்கிய ஒவ்வொரு கூறுக்கும் ஒன்று.
குறிப்பு: கூறு மேனிஃபெஸ்ட்டைப் பார்க்க, நீங்கள் ஒரு கூறு அல்லது தொகுதியை '"ரூட்"' ஆக அமைக்க வேண்டும். file அறிக்கைகள் தாவலில் உள்ள உள்ளடக்கங்கள்.
மாற்றாக, நீங்கள் தனிப்பட்ட மேனிஃபெஸ்ட் அறிக்கையை அணுகலாம் fileஉருவாக்கப்படும் ஒவ்வொரு மையக் கூறுக்கும் அல்லது ஸ்மார்ட் டிசைன் கூறுக்கும் s /கூறு/வேலை/ / / _manifest.txt அல்லது /கூறு/வேலை/ / _manifest.txt. நீங்கள் மேனிஃபெஸ்ட்டையும் அணுகலாம் file லிபரோவில் உள்ள புதிய கூறுகள் தாவலில் இருந்து உருவாக்கப்படும் ஒவ்வொரு கூறுகளின் உள்ளடக்கங்களும், அங்கு file திட்டக் கோப்பகத்தைப் பொறுத்து இடங்கள் குறிப்பிடப்பட்டுள்ளன.மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - லிபரோ ரிப்போர்ட்ஸ் டேப்பின்வரும் கூறு மேனிஃபெஸ்ட் அறிக்கைகளில் கவனம் செலுத்துங்கள்:

  • நீங்கள் கோர்களை ஸ்மார்ட் டிசைனில் இன்ஸ்டன்ஷியேட் செய்திருந்தால், படிக்கவும் file _மேனிஃபெஸ்ட்.txt.
  • நீங்கள் கோர்களுக்கான கூறுகளை உருவாக்கியிருந்தால், படிக்கவும் _மேனிஃபெஸ்ட்.txt.

உங்கள் வடிவமைப்பிற்குப் பொருந்தும் அனைத்து கூறு மேனிஃபெஸ்ட் அறிக்கைகளையும் நீங்கள் பயன்படுத்த வேண்டும்.ample, உங்கள் திட்டத்தில் ஒன்று அல்லது அதற்கு மேற்பட்ட முக்கிய கூறுகள் உடனடிப்படுத்தப்பட்ட ஸ்மார்ட் டிசைன் இருந்தால், அவற்றையெல்லாம் உங்கள் இறுதி வடிவமைப்பில் பயன்படுத்த விரும்பினால், நீங்கள் தேர்ந்தெடுக்க வேண்டும் fileஉங்கள் வடிவமைப்பு ஓட்டத்தில் பயன்படுத்தப்படும் அனைத்து கூறுகளின் கூறு மேனிஃபெஸ்ட் அறிக்கைகளில் பட்டியலிடப்பட்டுள்ளன.
2.3 வெளிப்பாட்டை விளக்குதல் Fileகள் (ஒரு கேள்வி கேள்)
நீங்கள் ஒரு கூறு மேனிஃபெஸ்ட்டைத் திறக்கும்போது file, நீங்கள் பாதைகளைப் பார்க்கிறீர்கள் fileஉங்கள் லிபரோ திட்டத்தில் உள்ள கள் மற்றும் வடிவமைப்பு ஓட்டத்தில் அவற்றை எங்கு பயன்படுத்த வேண்டும் என்பதற்கான சுட்டிகள். நீங்கள் பின்வரும் வகைகளைக் காணலாம் fileஒரு மேனிஃபெஸ்டில் உள்ளவை file:

  • HDL மூலாதாரம் fileஅனைத்து தொகுப்பு மற்றும் உருவகப்படுத்துதல் கருவிகளுக்கும் கள்
  • தூண்டுதல் fileஅனைத்து உருவகப்படுத்துதல் கருவிகளுக்கும் கள்
  • கட்டுப்பாடு files

பின்வருபவை போலார்ஃபயர் மையக் கூறுகளின் கூறு மேனிஃபெஸ்ட் ஆகும்.மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - கூறு மேனிஃபெஸ்ட்ஒவ்வொரு வகை file உங்கள் வடிவமைப்பு ஓட்டத்தில் கீழ்நிலை அவசியம். பின்வரும் பிரிவுகள் ஒருங்கிணைப்பை விவரிக்கின்றன fileமேனிஃபெஸ்டிலிருந்து உங்கள் வடிவமைப்பு ஓட்டத்தில் கள்.

கட்டுப்பாடு உருவாக்கம் (ஒரு கேள்வி கேள்)

உள்ளமைவு மற்றும் உருவாக்கத்தைச் செய்யும்போது, ​​SDC/PDC/NDC கட்டுப்பாட்டை எழுத/உருவாக்க உறுதிசெய்யவும். fileவடிவமைப்பு அவற்றை தொகுப்பு, இடம்-மற்றும்-வழி, மற்றும் சரிபார்ப்பு நேரக் கருவிகளுக்கு அனுப்ப வேண்டும்.
லிபரோ சூழலுக்கு வெளியே உள்ள டெரிவ் கன்ஸ்ட்ரெயின்ட்ஸ் பயன்பாட்டைப் பயன்படுத்தி கட்டுப்பாடுகளை கைமுறையாக எழுதுவதற்குப் பதிலாக உருவாக்கவும். லிபரோ சூழலுக்கு வெளியே டெரிவ் கன்ஸ்ட்ரெயின்ட் பயன்பாட்டைப் பயன்படுத்த, நீங்கள்:

  • பயனர் HDL, கூறு HDL மற்றும் கூறு SDC கட்டுப்பாடுகளை வழங்குதல் files
  • உயர் நிலை தொகுதிக்கூற்றைக் குறிப்பிடவும்.
  • பெறப்பட்ட கட்டுப்பாட்டை உருவாக்க வேண்டிய இடத்தைக் குறிப்பிடவும். files

SDC கூறு கட்டுப்பாடுகள் கீழ் கிடைக்கின்றன /கூறு/வேலை/ / கூறு உள்ளமைவு மற்றும் உருவாக்கத்திற்குப் பிறகு / அடைவு.
உங்கள் வடிவமைப்பிற்கான கட்டுப்பாடுகளை எவ்வாறு உருவாக்குவது என்பது பற்றிய கூடுதல் விவரங்களுக்கு, இணைப்பு C—Derive Constraints ஐப் பார்க்கவும்.

உங்கள் வடிவமைப்பை ஒருங்கிணைத்தல் (ஒரு கேள்வி கேள்)

தனிப்பயன் ஓட்டத்தின் முதன்மை அம்சங்களில் ஒன்று, மூன்றாம் தரப்பு தொகுப்பைப் பயன்படுத்த உங்களை அனுமதிப்பதாகும்.
லிபரோவிற்கு வெளியே உள்ள கருவி. தனிப்பயன் ஓட்டம் Synopsys SynplifyPro இன் பயன்பாட்டை ஆதரிக்கிறது. உங்கள் ஒருங்கிணைக்க
திட்டம், பின்வரும் நடைமுறையைப் பயன்படுத்தவும்:

  1. உங்கள் Synthesis கருவியில் ஒரு புதிய திட்டத்தை உருவாக்கவும், நீங்கள் உருவாக்கிய Libero திட்டத்தின் அதே சாதன குடும்பம், die மற்றும் package ஐ இலக்காகக் கொள்ளுங்கள்.
    a. உங்கள் சொந்த RTL ஐ இறக்குமதி செய்யுங்கள். fileநீங்கள் வழக்கம்போல.
    b. தொகுப்பு வெளியீட்டை Structural Verilog (.vm) ஆக அமைக்கவும்.
    குறிப்பு: கட்டமைப்பு போலார்ஃபயரில் வெரிலாக் (.vm) மட்டுமே ஆதரிக்கப்படும் தொகுப்பு வெளியீட்டு வடிவமாகும்.
  2. இறக்குமதி கூறு HDL fileஉங்கள் தொகுப்பு திட்டத்தில் கள்:
    a. ஒவ்வொரு கூறுக்கும் மேனிஃபெஸ்ட் அறிக்கை: ஒவ்வொன்றிற்கும் file HDL மூலத்தின் கீழ் fileஅனைத்து தொகுப்பு மற்றும் உருவகப்படுத்துதல் கருவிகளுக்கும், இறக்குமதி செய்யவும் file உங்கள் தொகுப்பு திட்டத்தில்.
  3. இறக்குமதி செய் file polarfire_syn_comps.v (Synopsys Synplify ஐப் பயன்படுத்தினால்) இலிருந்து
    உங்கள் தொகுப்பு திட்டத்திற்கு நிறுவல் இடம்>/தரவு/aPA5M.
  4. முன்பு உருவாக்கப்பட்ட SDC-ஐ இறக்குமதி செய். file பெறப்பட்ட கட்டுப்பாடு கருவி மூலம் (பின் இணைப்பு பார்க்கவும்)
    அ—எஸ்ampதொகுப்பு கருவியில் SDC கட்டுப்பாடுகள்) இணைக்கவும். இந்த கட்டுப்பாடு file குறைந்த முயற்சி மற்றும் குறைவான வடிவமைப்பு மறு செய்கைகளுடன் நேர மூடுதலை அடைய தொகுப்பு கருவியை கட்டுப்படுத்துகிறது.

மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் முக்கியமானது: 

  • நீங்கள் அதே *.sdc ஐப் பயன்படுத்த திட்டமிட்டால் file வடிவமைப்பு செயல்படுத்தல் கட்டத்தின் போது இடம்-மற்றும்-வழியைக் கட்டுப்படுத்த, நீங்கள் இந்த *.sdc ஐ தொகுப்பு திட்டத்தில் இறக்குமதி செய்ய வேண்டும். வடிவமைப்பு செயல்முறையின் செயல்படுத்தல் கட்டத்தின் போது தொகுக்கப்பட்ட நெட்லிஸ்ட் மற்றும் இடம்-மற்றும்-வழி கட்டுப்பாடுகளில் வடிவமைப்பு பொருள் பெயர் பொருத்தமின்மைகள் இல்லை என்பதை இது உறுதி செய்கிறது. நீங்கள் இந்த *.sdc ஐ சேர்க்கவில்லை என்றால் file தொகுப்பு படியில், தொகுப்பு முறையிலிருந்து உருவாக்கப்பட்ட நெட்லிஸ்ட், வடிவமைப்பு பொருளின் பெயர் பொருந்தாததால் இடம் மற்றும் பாதை படியில் தோல்வியடையக்கூடும்.
    a. Netlist Attributes *.ndc, ஏதேனும் இருந்தால், Synthesis கருவியில் இறக்குமதி செய்யவும்.
    b. தொகுப்பை இயக்கு.
  • உங்கள் தொகுப்பு கருவி வெளியீட்டின் இருப்பிடம் *.vm netlist ஐக் கொண்டுள்ளது. file தொகுப்புக்குப் பிறகு உருவாக்கப்பட்டது. வடிவமைப்பு செயல்முறையைத் தொடர நீங்கள் லிபரோ அமலாக்கத் திட்டத்தில் நெட்லிஸ்ட்டை இறக்குமதி செய்ய வேண்டும்.

உங்கள் வடிவமைப்பை உருவகப்படுத்துதல் (ஒரு கேள்வி கேள்)

லிபரோவிற்கு வெளியே உங்கள் வடிவமைப்பை உருவகப்படுத்த (அதாவது, உங்கள் சொந்த உருவகப்படுத்துதல் சூழல் மற்றும் சிமுலேட்டரைப் பயன்படுத்தி), பின்வரும் படிகளைச் செய்யவும்:

  1. வடிவமைப்பு Files:
    அ. முன்-தொகுப்பு உருவகப்படுத்துதல்:
    • உங்கள் உருவகப்படுத்துதல் திட்டத்தில் உங்கள் RTL ஐ இறக்குமதி செய்யவும்.
    • ஒவ்வொரு கூறு மேனிஃபெஸ்ட் அறிக்கைக்கும்.
    - ஒவ்வொன்றையும் இறக்குமதி செய் file HDL மூலத்தின் கீழ் fileஉங்கள் உருவகப்படுத்துதல் திட்டத்தில் உள்ள அனைத்து தொகுப்பு மற்றும் உருவகப்படுத்துதல் கருவிகளுக்கும் கள்.
    • இவற்றை தொகுக்கவும் fileஉங்கள் சிமுலேட்டரின் அறிவுறுத்தல்களின்படி.
    b. தொகுப்புக்குப் பிந்தைய உருவகப்படுத்துதல்:
    • உங்கள் உருவகப்படுத்துதல் திட்டத்தில் உங்கள் பிந்தைய தொகுப்பு *.vm netlist (உங்கள் வடிவமைப்பை ஒருங்கிணைப்பதில் உருவாக்கப்பட்டது) இறக்குமதி செய்து தொகுக்கவும்.
    c. பிந்தைய தளவமைப்பு உருவகப்படுத்துதல்:
    • முதலில், உங்கள் வடிவமைப்பை செயல்படுத்துவதை முடிக்கவும் (உங்கள் வடிவமைப்பை செயல்படுத்துவதைப் பார்க்கவும்). உங்கள் இறுதி லிபரோ திட்டம் தளவமைப்புக்குப் பிந்தைய நிலையில் இருப்பதை உறுதிசெய்யவும்.
    • Generate BackAnnotated என்பதை இருமுறை கிளிக் செய்யவும். Fileலிபரோ டிசைன் ஃப்ளோ விண்டோவில் கள். இது இரண்டை உருவாக்குகிறது files:
    /வடிவமைப்பாளர்/ / _ba.v/vhd _பா.வி/விஎச்டி /வடிவமைப்பாளர்/
    / _பா.எஸ்டிஎஃப்
    • இவை இரண்டையும் இறக்குமதி செய் fileஉங்கள் உருவகப்படுத்துதல் கருவியில் கள்.
  2. தூண்டுதல் மற்றும் கட்டமைப்பு files:
    a. ஒவ்வொரு கூறு மேனிஃபெஸ்ட் அறிக்கைக்கும்:
    • அனைத்தையும் நகலெடுக்கவும் fileதூண்டுதலின் கீழ் Fileஉங்கள் உருவகப்படுத்துதல் திட்டத்தின் மூல கோப்பகத்திற்கு அனைத்து உருவகப்படுத்துதல் கருவிகள் பிரிவுகளுக்கும் கள்.
    b. ஏதேனும் Tcl இருப்பதை உறுதிசெய்து கொள்ளுங்கள் fileமுந்தைய பட்டியல்களில் (படி 2.a இல்) உள்ள s, உருவகப்படுத்துதல் தொடங்குவதற்கு முன்பு முதலில் செயல்படுத்தப்படும்.
    c. UPROM.mem: உங்கள் வடிவமைப்பில் UPROM மையத்தைப் பயன்படுத்தி, நீங்கள் உருவகப்படுத்த விரும்பும் ஒன்று அல்லது அதற்கு மேற்பட்ட தரவு சேமிப்பக கிளையன்ட்களுக்கு உருவகப்படுத்துதலுக்கான உள்ளடக்கத்தை இயக்கு என்ற விருப்பத்தைப் பயன்படுத்தினால், UPROM.mem ஐ உருவாக்க நீங்கள் செயல்படுத்தக்கூடிய pa4rtupromgen (windows இல் pa4rtupromgen.exe) ஐப் பயன்படுத்த வேண்டும். file. pa4rtupromgen இயங்கக்கூடியது UPROM.cfg ஐ எடுத்துக்கொள்கிறது. file Tcl ஸ்கிரிப்ட் வழியாக உள்ளீடுகளாக file மற்றும் UPROM.mem ஐ வெளியிடுகிறது file உருவகப்படுத்துதல்களுக்குத் தேவை. இந்த UPROM.mem file உருவகப்படுத்துதல் இயக்கத்திற்கு முன் உருவகப்படுத்துதல் கோப்புறையில் நகலெடுக்கப்பட வேண்டும். ஒரு examppa4rtupromgen இயங்கக்கூடிய பயன்பாட்டைக் காட்டும் le பின்வரும் படிகளில் வழங்கப்படுகிறது. file கோப்பகத்தில் கிடைக்கிறது. /கூறு/வேலை/ / நீங்கள் UPROM கூறுகளை உருவாக்கப் பயன்படுத்திய Libero திட்டத்தில்.
    d. snvm.mem: உங்கள் வடிவமைப்பில் சிஸ்டம் சர்வீசஸ் கோரை நீங்கள் பயன்படுத்தினால், மேலும் நீங்கள் உருவகப்படுத்த விரும்பும் ஒன்று அல்லது அதற்கு மேற்பட்ட கிளையன்ட்களுக்கு உருவகப்படுத்துதலுக்கான உள்ளடக்கத்தை இயக்கு என்ற விருப்பத்துடன் மையத்தில் sNVM தாவலை உள்ளமைத்தால், snvm.mem file தானாகவே உருவாக்கப்படும்
    அடைவு /கூறு/வேலை/ / நீங்கள் கணினி சேவைகள் கூறுகளை உருவாக்கப் பயன்படுத்திய லிபரோ திட்டத்தில். இந்த snvm.mem file உருவகப்படுத்துதல் இயக்கத்திற்கு முன் உருவகப்படுத்துதல் கோப்புறையில் நகலெடுக்கப்பட வேண்டும்.
  3. வேலை செய்யும் கோப்புறையின் கீழ் ஒரு வேலை செய்யும் கோப்புறையையும், சிமுலேஷன் என்ற துணை கோப்புறையையும் உருவாக்கவும்.
    pa4rtupromgen இயங்கக்கூடியது, வேலை செய்யும் கோப்புறையில் உருவகப்படுத்துதல் துணை கோப்புறை இருப்பதை எதிர்பார்க்கிறது மற்றும் *.tcl ஸ்கிரிப்ட் உருவகப்படுத்துதல் துணை கோப்புறையில் வைக்கப்படுகிறது.
  4. UPROM.cfg கோப்பை நகலெடுக்கவும். file செயல்படும் கோப்புறையில் கூறுகளை உருவாக்குவதற்காக உருவாக்கப்பட்ட முதல் லிபரோ திட்டத்திலிருந்து.
  5. பின்வரும் கட்டளைகளை *.tcl ஸ்கிரிப்ட்டில் ஒட்டவும், படி 3 இல் உருவாக்கப்பட்ட உருவகப்படுத்துதல் கோப்புறையில் வைக்கவும்.
    SampURPOM.mem ஐ உருவாக்க PolarFire மற்றும் PolarFire Soc குடும்ப சாதனங்களுக்கான le *.tcl file
    UPROM.cfg இலிருந்து
    set_device -ஃபேம் -இற -பிகேஜி
    set_input_cfg -பாதை
    set_sim_mem -பாதைFile/UPROM.mem>
    gen_sim -use_init தவறு
    டை மற்றும் பேக்கேஜுக்குப் பயன்படுத்த சரியான உள் பெயருக்கு, *.prjx ஐப் பார்க்கவும். file முதல் லிபரோ திட்டத்தின் (கூறு உருவாக்கத்திற்குப் பயன்படுத்தப்பட்டது).
    use_init என்ற வாதம் தவறு என அமைக்கப்பட வேண்டும்.
    வெளியீட்டிற்கான பாதையைக் குறிப்பிட set_sim_mem கட்டளையைப் பயன்படுத்தவும். file UPROM.mem அதுதான்
    ஸ்கிரிப்டை செயல்படுத்தும்போது உருவாக்கப்பட்டது file pa4rtupromgen இயங்கக்கூடியதுடன்.
  6. கட்டளை வரியில் அல்லது சைக்வின் முனையத்தில், படி 3 இல் உருவாக்கப்பட்ட செயல்பாட்டு கோப்பகத்திற்குச் செல்லவும்.
    –script விருப்பத்துடன் pa4rtupromgen கட்டளையை இயக்கி, முந்தைய படியில் உருவாக்கப்பட்ட *.tcl ஸ்கிரிப்டை அதற்கு அனுப்பவும்.
    விண்டோஸுக்கு
    /designer/bin/pa4rtupromgen.exe \
    –ஸ்கிரிப்ட்./உருவகப்படுத்துதல்/ .டிசிஎல்
    லினக்ஸுக்கு:
    /பின்/pa4rtupromgen
    –ஸ்கிரிப்ட்./உருவகப்படுத்துதல்/ .டிசிஎல்
  7. pa4rtupromgen இயங்கக்கூடியதை வெற்றிகரமாக செயல்படுத்திய பிறகு, UPROM.mem file *.tcl ஸ்கிரிப்ட்டில் set_sim_mem கட்டளையில் குறிப்பிடப்பட்டுள்ள இடத்தில் உருவாக்கப்படுகிறது.
  8. sNVM ஐ உருவகப்படுத்த, snvm.mem ஐ நகலெடுக்கவும். file உங்கள் முதல் லிபரோ திட்டத்திலிருந்து (கூறு உள்ளமைவுக்குப் பயன்படுத்தப்படுகிறது) உங்கள் உருவகப்படுத்துதல் திட்டத்தின் உயர் மட்ட உருவகப்படுத்துதல் கோப்புறையில் உருவகப்படுத்துதலை இயக்கவும் (லிபரோ SoC க்கு வெளியே). UPROM உள்ளடக்கங்களை உருவகப்படுத்த, உருவாக்கப்பட்ட UPROM.mem ஐ நகலெடுக்கவும். file உங்கள் உருவகப்படுத்துதல் திட்டத்தின் உயர் மட்ட உருவகப்படுத்துதல் கோப்புறையில் உருவகப்படுத்துதலை இயக்கவும் (லிபரோ SoC க்கு வெளியே).

மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் முக்கியம்: செய்ய SoC கூறுகளின் செயல்பாட்டை உருவகப்படுத்த, முன்பே தொகுக்கப்பட்ட PolarFire உருவகப்படுத்துதல் நூலகங்களைப் பதிவிறக்கி, இங்கே விவரிக்கப்பட்டுள்ளபடி அவற்றை உங்கள் உருவகப்படுத்துதல் சூழலுக்கு இறக்குமதி செய்யவும். மேலும் விவரங்களுக்கு, இணைப்பு B—உருவகப்படுத்துதல் சூழலுக்குள் உருவகப்படுத்துதல் நூலகங்களை இறக்குமதி செய்தல் என்பதைப் பார்க்கவும்.

உங்கள் வடிவமைப்பை செயல்படுத்துதல் (ஒரு கேள்வி கேள்)

உங்கள் சூழலில் தொகுப்பு மற்றும் தொகுப்புக்குப் பிந்தைய உருவகப்படுத்துதலை முடித்த பிறகு, உங்கள் வடிவமைப்பை இயற்பியல் ரீதியாக செயல்படுத்த, நேரம் மற்றும் சக்தி பகுப்பாய்வை இயக்க மற்றும் உங்கள் நிரலாக்கத்தை உருவாக்க நீங்கள் மீண்டும் லிபரோவைப் பயன்படுத்த வேண்டும். file.

  1. வடிவமைப்பின் இயற்பியல் செயல்படுத்தல் மற்றும் தளவமைப்புக்காக ஒரு புதிய லிபரோ திட்டத்தை உருவாக்கவும். கூறு உள்ளமைவில் நீங்கள் உருவாக்கிய குறிப்பு திட்டத்தில் உள்ள அதே சாதனத்தை இலக்காகக் கொள்ளுங்கள்.
  2. திட்ட உருவாக்கத்திற்குப் பிறகு, வடிவமைப்பு ஓட்ட சாளரத்தில் உள்ள கருவிச் சங்கிலியிலிருந்து தொகுப்பு (Synthesis) ஐ அகற்று (Project > Project Settings > Design Flow > Enable Synthesis என்பதைத் தேர்வுநீக்கு).
  3.  உங்கள் பிந்தைய தொகுப்பு *.vm ஐ இறக்குமதி செய்யவும் file இந்த திட்டத்தில், (File > இறக்குமதி > தொகுக்கப்பட்ட வெரிலாக் நெட்லிஸ்ட் (VM)).
    மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் 1 குறிப்பு: இதற்கான இணைப்பை உருவாக்குவது பரிந்துரைக்கப்படுகிறது. file, எனவே நீங்கள் உங்கள் வடிவமைப்பை மீண்டும் ஒருங்கிணைக்கிறீர்கள் என்றால், லிபரோ எப்போதும் சமீபத்திய பிந்தைய தொகுப்பு நெட்லிஸ்ட்டைப் பயன்படுத்துகிறது.
    a. வடிவமைப்பு படிநிலை சாளரத்தில், ரூட் தொகுதியின் பெயரைக் கவனியுங்கள்.மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - வடிவமைப்பு படிநிலை
  4. லிபரோ திட்டத்தில் கட்டுப்பாடுகளை இறக்குமதி செய்யவும். *.pdc/*.sdc/*.ndc கட்டுப்பாடுகளை இறக்குமதி செய்ய கட்டுப்பாட்டு மேலாளரைப் பயன்படுத்தவும்.
    a. இறக்குமதி I/O *.pdc கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > I/O பண்புக்கூறுகள் > இறக்குமதி).
    b. தரைத்தளத் திட்டமிடலை இறக்குமதி செய்தல் *.pdc கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > தரை திட்டமிடுபவர் > இறக்குமதி).
    c. இறக்குமதி *.sdc நேரக் கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > நேரம் > இறக்குமதி). உங்கள் வடிவமைப்பில் மேலே பட்டியலிடப்பட்டுள்ள ஏதேனும் கோர்கள் இருந்தால்view, SDC-ஐ இறக்குமதி செய்வதை உறுதிசெய்யவும் file பெறு கட்டுப்பாட்டு கருவி மூலம் உருவாக்கப்பட்டது.
    d. இறக்குமதி *.ndc கட்டுப்பாடு files (கட்டுப்பாடுகள் மேலாளர் > நெட்லிஸ்ட் பண்புக்கூறுகள் > இறக்குமதி).
  5. இணை கட்டுப்பாடுகள் Fileகருவிகளை வடிவமைக்க.
    a. கட்டுப்பாட்டு மேலாளரைத் திறக்கவும் (கட்டுப்பாடுகளை நிர்வகி > கட்டுப்பாடுகளை நிர்வகி என்பதைத் திறக்கவும் View).
    கட்டுப்பாட்டுக்கு அடுத்துள்ள இடம்-மற்றும்-வழி மற்றும் நேர சரிபார்ப்பு தேர்வுப்பெட்டியை சரிபார்க்கவும். file கட்டுப்பாட்டை ஏற்படுத்த file மற்றும் கருவி இணைப்பு. *.pdc கட்டுப்பாட்டை Place-andRoute உடன் இணைக்கவும், *.sdc ஐ Place-and-Route மற்றும் நேர சரிபார்ப்பு இரண்டிற்கும் இணைக்கவும். *.ndc ஐ இணைக்கவும். file நெட்லிஸ்ட்டை தொகுக்க.
    மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் 1 குறிப்பு: இந்த *.sdc கட்டுப்பாட்டுடன் இடம் மற்றும் வழி தோல்வியடைகிறது. file, பின்னர் இதே *.sdc ஐ இறக்குமதி செய்யவும் file தொகுப்பு மற்றும் தொகுப்பை மீண்டும் இயக்க.
  6. லேஅவுட் படிநிலையை முடிக்க, Compile Netlist என்பதைக் கிளிக் செய்து, பின்னர் Place and Route என்பதைக் கிளிக் செய்யவும்.
  7. Configure Design Initialization Data and Memories கருவி, Nonvolatile µPROM, sNVM அல்லது வெளிப்புற SPI Flash சேமிப்பக நினைவகத்தில் சேமிக்கப்பட்ட தரவைப் பயன்படுத்தி LSRAM, µSRAM, XCVR (டிரான்ஸ்ஸீவர்கள்) மற்றும் PCIe போன்ற வடிவமைப்புத் தொகுதிகளை துவக்க உங்களை அனுமதிக்கிறது. வடிவமைப்பு துவக்க வரிசையின் விவரக்குறிப்பு, துவக்க கிளையண்டுகளின் விவரக்குறிப்பு, பயனர் தரவு கிளையண்டுகளை வரையறுப்பதற்கான பின்வரும் தாவல்கள் இந்த கருவியில் உள்ளன.
    – வடிவமைப்பு துவக்க தாவல்
    – µPROM தாவல்
    – sNVM தாவல்
    – SPI ஃபிளாஷ் தாவல்
    – துணி RAMகள் தாவல்
    வடிவமைப்பு துவக்க தரவு மற்றும் நினைவகங்களை உள்ளமைக்க கருவியில் உள்ள தாவல்களைப் பயன்படுத்தவும்.மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - தரவு மற்றும் நினைவுகள்உள்ளமைவை முடித்த பிறகு, துவக்கத் தரவை நிரல் செய்ய பின்வரும் படிகளைச் செய்யவும்:
    • துவக்க கிளையண்டுகளை உருவாக்குதல்
    • பிட்ஸ்ட்ரீமை உருவாக்கவும் அல்லது ஏற்றுமதி செய்யவும்
    • சாதனத்தை நிரல் செய்யவும்
    இந்தக் கருவியை எவ்வாறு பயன்படுத்துவது என்பது பற்றிய விரிவான தகவலுக்கு, Libero SoC வடிவமைப்பு ஓட்ட பயனர் வழிகாட்டியைப் பார்க்கவும். கருவியில் பல்வேறு தாவல்களை உள்ளமைக்கவும் நினைவக உள்ளமைவைக் குறிப்பிடவும் பயன்படுத்தப்படும் Tcl கட்டளைகள் பற்றிய கூடுதல் தகவலுக்கு. files (*.cfg), பார்க்கவும் Tcl கட்டளைகள் குறிப்பு வழிகாட்டி.
  8. ஒரு நிரலாக்கத்தை உருவாக்குங்கள் File இந்த திட்டத்திலிருந்து உங்கள் FPGA ஐ நிரல் செய்ய இதைப் பயன்படுத்தவும்.

இணைப்பு A—SampSDC கட்டுப்பாடுகள் (ஒரு கேள்வி கேள்

லிபரோ SoC, CCC, OSC, டிரான்ஸ்ஸீவர் போன்ற சில IP கோர்களுக்கு SDC நேரக் கட்டுப்பாடுகளை உருவாக்குகிறது. SDC கட்டுப்பாடுகளை வடிவமைப்பு கருவிகளுக்கு அனுப்புவது, குறைந்த முயற்சி மற்றும் குறைவான வடிவமைப்பு மறு செய்கைகளுடன் நேர மூடுதலைச் சந்திக்கும் வாய்ப்பை அதிகரிக்கிறது. கட்டுப்பாடுகளில் குறிப்பிடப்பட்டுள்ள அனைத்து வடிவமைப்பு பொருட்களுக்கும் உயர்-நிலை நிகழ்விலிருந்து முழு படிநிலை பாதை கொடுக்கப்பட்டுள்ளது.
7.1 SDC நேரக் கட்டுப்பாடுகள் (ஒரு கேள்வி கேள்)
லிபரோ ஐபி மைய குறிப்பு திட்டத்தில், இந்த உயர்மட்ட SDC கட்டுப்பாடு file கட்டுப்பாட்டு மேலாளரிடமிருந்து கிடைக்கிறது (வடிவமைப்பு ஓட்டம் > திறந்த மேலாண்மை கட்டுப்பாடு View >நேரம் > கட்டுப்பாடுகளைப் பெறுதல்).
மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் - ஐகான் முக்கியம்: பார்க்கவும் இது file உங்கள் வடிவமைப்பில் CCC, OSC, டிரான்ஸ்ஸீவர் மற்றும் பிற கூறுகள் இருந்தால் SDC கட்டுப்பாடுகளை அமைக்க. தேவைப்பட்டால், உங்கள் வடிவமைப்பு படிநிலைக்கு பொருந்த முழு படிநிலை பாதையையும் மாற்றவும் அல்லது கூறு நிலை SDC இல் இணைப்பு C—Derive Constraints இல் உள்ள Derive_Constraints பயன்பாடு மற்றும் படிகளைப் பயன்படுத்தவும். file.
சேமிக்கவும் file வேறு பெயருக்கு மாற்றி SDC-ஐ இறக்குமதி செய்யவும். file மற்ற SDC கட்டுப்பாடுகளைப் போலவே, தொகுப்பு கருவி, இடம்-மற்றும்-வழி கருவி மற்றும் நேர சரிபார்ப்புகளுக்கு files.
7.1.1 பெறப்பட்ட SDC File (ஒரு கேள்வி கேள்)
# இது file பின்வரும் SDC மூலத்தின் அடிப்படையில் உருவாக்கப்பட்டது. files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
டிரான்ஸ்மிட்_பிஎல்எல்/டிஆர்என்எஸ்மிட்_பிஎல்எல்_0/டிஆர்என்எஸ்மிட்_பிஎல்எல்_டிஆர்என்எஸ்மிட்_பிஎல்எல்_0_பிஎஃப்_டிஎக்ஸ்_பிஎல்எல்.எஸ்டிசி
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
DMA_INITIATOR/DMA_INITIATOR_0/DMA_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
ஐசிஐசிஎல்_எம்எஸ்எஸ்/ஐசிஐசிஎல்_எம்எஸ்எஸ்.எஸ்டிசி
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/component/work/
பிசிஐஇ_இனிடிஐஏட்டர்/பிசிஐஇ_இனிடிஐஏஆர்_0/பிசிஐஇ_இனிடிஐஏஆர்.எஸ்டிசி
# /டிரைவ்/aPA5M/கோர்கள்/கட்டுப்பாடுகள்/osc_rc160mhz.sdc
# *** இதில் ஏதேனும் மாற்றங்கள் இருந்தால் file பெறப்பட்ட கட்டுப்பாடுகள் மீண்டும் இயக்கப்பட்டால் இழக்கப்படும். ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -காலம் 6.25
[ get_pins { CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] create_clock -name {REF_CLK_PAD_P} -period 10 [ get_ports { REF_CLK_PAD_P } ] create_clock -name {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -காலம் 8
[ get_pins { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25 ஆல் பெருக்கவும் -32 ஆல் வகுக்கவும் -மூலம்
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -கட்டம் 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT0 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT1} -25 ஆல் பெருக்கவும் -32 ஆல் வகுக்கவும் -மூலம்
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -கட்டம் 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT1 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT2} -25 ஆல் பெருக்கவும் -32 ஆல் வகுக்கவும் -மூலம்
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -கட்டம் 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT2 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT3} -25 ஆல் பெருக்கவும் -64 ஆல் வகுக்கவும் -மூலம்
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -கட்டம் 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 ஆல்_வகுக்கவும் -மூலம்
[ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ get_pins { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] set_false_path -through [ get_nets { DMA_INITIATOR_inst_0/ARESETN* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -க்கு [ செல்களைப் பெறு { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] set_false_path -from [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -க்கு [ செல்களைப் பெறு { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ] இணைப்பு B—உருவகப்படுத்துதல் சூழலுக்குள் உருவகப்படுத்துதல் நூலகங்களை இறக்குமதி செய்தல் (ஒரு கேள்வி கேள்)
லிபரோ SoC உடனான RTL உருவகப்படுத்துதலுக்கான இயல்புநிலை சிமுலேட்டர் மாடல்சிம் ME ப்ரோ ஆகும்.
இயல்புநிலை சிமுலேட்டருக்கான முன்-தொகுக்கப்பட்ட நூலகங்கள் கோப்பகத்தில் லிபரோ நிறுவலுடன் கிடைக்கின்றன. /Designer/lib/modelsimpro/precompiled/vlog for® ஆதரவு பெற்ற குடும்பங்கள். Libero SoC, ModelSim, Questasim, VCS, Xcelium ஆகியவற்றின் பிற மூன்றாம் தரப்பு சிமுலேட்டர் பதிப்புகளையும் ஆதரிக்கிறது.
, ஆக்டிவ் HDL, மற்றும் ரிவியரா ப்ரோ. முன் தொகுக்கப்பட்ட நூலகங்களை இதிலிருந்து பதிவிறக்கவும் லிபரோ SoC v12.0 மற்றும் அதற்குப் பிறகு சிமுலேட்டர் மற்றும் அதன் பதிப்பின் அடிப்படையில்.
லிபரோ சூழலைப் போலவே, run.do file லிபரோவிற்கு வெளியே உருவகப்படுத்துதலை இயக்க உருவாக்கப்பட வேண்டும்.
ஒரு எளிய run.do ஐ உருவாக்கவும். file தொகுப்பு முடிவுகள், நூலக மேப்பிங், தொகுப்பு மற்றும் உருவகப்படுத்துதலுக்கான நூலகத்தை நிறுவுவதற்கான கட்டளைகளைக் கொண்டுள்ளது. அடிப்படை run.do ஐ உருவாக்க படிகளைப் பின்பற்றவும். file.

  1. vlib கட்டளை vlib presynth ஐப் பயன்படுத்தி தொகுப்பு முடிவுகளைச் சேமிக்க ஒரு தருக்க நூலகத்தை உருவாக்கவும்.
  2. vmap கட்டளையைப் பயன்படுத்தி தருக்க நூலகப் பெயரை முன் தொகுக்கப்பட்ட நூலகக் கோப்பகத்திற்கு வரைபடமாக்குங்கள் vmap .
  3. மூலத்தைத் தொகுக்கவும் files—வடிவமைப்பை தொகுக்க மொழி சார்ந்த தொகுப்பி கட்டளைகளைப் பயன்படுத்தவும் fileகள் வேலை செய்யும் கோப்பகத்தில்.
    – .v/.sv க்கான vlog
    – .vhd-க்கான vcom
  4. எந்த உயர்மட்ட தொகுதியின் பெயரையும் குறிப்பிடுவதன் மூலம் vsim கட்டளையைப் பயன்படுத்தி உருவகப்படுத்துதலுக்கான வடிவமைப்பை ஏற்றவும்.
  5. ரன் கட்டளையைப் பயன்படுத்தி வடிவமைப்பை உருவகப்படுத்தவும்.
    வடிவமைப்பை ஏற்றிய பிறகு, உருவகப்படுத்துதல் நேரம் பூஜ்ஜியமாக அமைக்கப்படுகிறது, மேலும் உருவகப்படுத்துதலைத் தொடங்க நீங்கள் ரன் கட்டளையை உள்ளிடலாம்.
    சிமுலேட்டர் டிரான்ஸ்கிரிப்ட் சாளரத்தில், run.do ஐ இயக்கவும். file run.do ஆக உருவகப்படுத்துதலை இயக்கவும். Sample run.do file பின்வருமாறு.

அமைதியாக ACTELLIBNAME PolarFire ஐ அமைக்கவும் அமைதியாக PROJECT_DIR ஐ அமைக்கவும் “W:/Test/basic_test” என்றால்
{[file presynth/_info உள்ளது]} { எதிரொலி “தகவல்: உருவகப்படுத்துதல் நூலகம் presynth உள்ளது” } வேறு
{ file நீக்கு -force presynth vlib presynth } vmap presynth presynth vmap PolarFire
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -வேலை முன் ஒத்திசைவு
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -work presynth “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb அலையைச் சேர்க்கவும் /tb/*
1000ns log /tb/* ஐ இயக்கவும் வெளியேறவும்

இணைப்பு C—வழித்தோன்றல் கட்டுப்பாடுகள் (ஒரு கேள்வி கேள்)

இந்தப் பிற்சேர்க்கை, Derive Constraints Tcl கட்டளைகளை விவரிக்கிறது.
9.1 பெறப்பட்ட கட்டுப்பாடுகள் Tcl கட்டளைகள் (ஒரு கேள்வி கேள்)
derive_constraints பயன்பாடு, Libero SoC வடிவமைப்பு சூழலுக்கு வெளியே உள்ள RTL அல்லது உள்ளமைப்பாளரிடமிருந்து கட்டுப்பாடுகளைப் பெற உதவுகிறது. உங்கள் வடிவமைப்பிற்கான கட்டுப்பாடுகளை உருவாக்க, உங்களுக்கு பயனர் HDL, கூறு HDL மற்றும் கூறு கட்டுப்பாடுகள் தேவை. files. SDC கூறு கட்டுப்பாடுகள் fileகள் கீழ் கிடைக்கின்றன /கூறு/வேலை/ / கூறு உள்ளமைவு மற்றும் உருவாக்கத்திற்குப் பிறகு / அடைவு.
ஒவ்வொரு கூறு கட்டுப்பாடும் file set_component tcl கட்டளை (கூறு பெயரைக் குறிப்பிடுகிறது) மற்றும் உள்ளமைவுக்குப் பிறகு உருவாக்கப்படும் கட்டுப்பாடுகளின் பட்டியலைக் கொண்டுள்ளது. உள்ளமைவின் அடிப்படையில் கட்டுப்பாடுகள் உருவாக்கப்படுகின்றன மற்றும் ஒவ்வொரு கூறுக்கும் குறிப்பிட்டவை.
Example 9-1. கூறு கட்டுப்பாடு File PF_CCC மையத்திற்கு
இதோ ஒரு முன்னாள்ampகூறு கட்டுப்பாடு file PF_CCC மையத்திற்கு:
தொகுப்பு_கூறு PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# மைக்ரோசிப் கார்ப்பரேஷன்.
# தேதி: 2021-அக்-26 04:36:00
# PLL #0 க்கான அடிப்படை கடிகாரம்
create_clock -period 10 [ get_pins { pll_inst_0/REF_CLK_0 } ] create_generated_clock -divide_by 1 -source [ get_pins { pll_inst_0/
REF_CLK_0 } ] -கட்டம் 0 [ get_pins { pll_inst_0/OUT0 } ] இங்கே, create_clock மற்றும் create_generated_clock ஆகியவை முறையே குறிப்பு மற்றும் வெளியீட்டு கடிகாரக் கட்டுப்பாடுகள் ஆகும், அவை உள்ளமைவின் அடிப்படையில் உருவாக்கப்படுகின்றன.
9.1.1 derive_constraints பயன்பாட்டுடன் பணிபுரிதல் (ஒரு கேள்வி கேள்)
வடிவமைப்பின் ஊடாக கட்டுப்பாடுகளைப் பெறுங்கள் மற்றும் முன்னர் வழங்கப்பட்ட கூறு SDC அடிப்படையில் கூறுகளின் ஒவ்வொரு நிகழ்விற்கும் புதிய கட்டுப்பாடுகளை ஒதுக்குங்கள். files. CCC குறிப்பு கடிகாரங்களுக்கு, குறிப்பு கடிகாரத்தின் மூலத்தைக் கண்டறிய வடிவமைப்பு வழியாக மீண்டும் பரவுகிறது. மூலம் ஒரு I/O ஆக இருந்தால், குறிப்பு கடிகாரக் கட்டுப்பாடு I/O இல் அமைக்கப்படும். அது ஒரு CCC வெளியீடு அல்லது வேறு கடிகார மூலமாக இருந்தால் (எ.கா.ample, டிரான்ஸ்ஸீவர், ஆஸிலேட்டர்), இது மற்ற கூறுகளிலிருந்து கடிகாரத்தைப் பயன்படுத்துகிறது மற்றும் இடைவெளிகள் பொருந்தவில்லை என்றால் எச்சரிக்கையைப் புகாரளிக்கிறது. உங்கள் RTL இல் ஆன்-சிப் ஆஸிலேட்டர்கள் போன்ற சில மேக்ரோக்களுக்கு டெரிவ் கட்டுப்பாடுகள் கட்டுப்பாடுகளை ஒதுக்கும்.
derive_constraints பயன்பாட்டை இயக்க, நீங்கள் ஒரு .tcl ஐ வழங்க வேண்டும் file குறிப்பிட்ட வரிசையில் பின்வரும் தகவலுடன் கட்டளை வரி வாதம்.

  1. set_device பிரிவில் உள்ள தகவலைப் பயன்படுத்தி சாதனத் தகவலைக் குறிப்பிடவும்.
  2. RTL-க்கான பாதையைக் குறிப்பிடவும். fileread_verilog அல்லது read_vhdl பிரிவில் உள்ள தகவலைப் பயன்படுத்துதல்.
  3. set_top_level பிரிவில் உள்ள தகவலைப் பயன்படுத்தி உயர் நிலை தொகுதியை அமைக்கவும்.
  4. கூறு SDC க்கான பாதையைக் குறிப்பிடவும் fileread_sdc அல்லது read_ndc பிரிவில் உள்ள தகவலைப் பயன்படுத்துதல்.
  5. செயல்படுத்தவும் filederive_constraints பிரிவில் உள்ள தகவலைப் பயன்படுத்துதல்.
  6.  SDC பெறப்பட்ட கட்டுப்பாடுகளுக்கான பாதையைக் குறிப்பிடவும். file write_sdc அல்லது write_pdc அல்லது write_ndc பிரிவில் உள்ள தகவலைப் பயன்படுத்தி.

Example 9-2. derive.tcl இன் செயல்படுத்தல் மற்றும் உள்ளடக்கங்கள் File
பின்வருபவை ஒரு முன்னாள்ampderive_constraints பயன்பாட்டை இயக்குவதற்கான கட்டளை வரி வாதம்.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl இன் உள்ளடக்கங்கள் file:
# சாதனத் தகவல்
set_device -குடும்பம் போலார்ஃபயர் -die MPF100T -வேகம் -1
# ஆர்டிஎல் files
read_verilog -mode system_verilog project/component/work/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v
read_verilog -mode system_verilog {project/component/work/txpll0/txpll0.v}
read_verilog -mode system_verilog {project/component/work/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v} _
read_verilog -mode system_verilog {project/component/work/xcvr0/xcvr0.v}
read_vhdl -பயன்முறை vhdl_2008 {project/hdl/xcvr1.vhd}
#கூறு SDC files
செட்_டாப்_லெவல் {xcvr1}
read_sdc -கூறு {திட்டம்/கூறு/வேலை/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc} க்கு மின்னஞ்சல் அனுப்பவும்.
read_sdc -கூறு {திட்டம்/கூறு/வேலை/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint கட்டளையைப் பயன்படுத்தவும்
பெறப்பட்ட_கட்டுப்பாடுகள்
#SDC/PDC/NDC தேர்வு முடிவுகள் files
write_sdc {project/constraint/xcvr1_derived_constraints.sdc}
write_pdc {project/constraint/fp/xcvr1_derived_constraints.pdc}
9.1.2 செட்_டிவைஸ் (ஒரு கேள்வி கேள்)
விளக்கம்
குடும்பப் பெயர், இறப்புப் பெயர் மற்றும் வேக தரத்தைக் குறிப்பிடவும்.
set_device -குடும்பம் -இற -வேகம்
வாதங்கள்

அளவுரு வகை விளக்கம்
-குடும்பம் சரம் குடும்பப் பெயரைக் குறிப்பிடவும். சாத்தியமான மதிப்புகள் PolarFire®, PolarFire SoC.
-இற சரம் இறப்பின் பெயரைக் குறிப்பிடவும்.
-வேகம் சரம் சாதன வேக தரத்தைக் குறிப்பிடவும். சாத்தியமான மதிப்புகள் STD அல்லது -1 ஆகும்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 தேவையான அளவுரு - டை காணவில்லை. டை விருப்பம் கட்டாயமானது மற்றும் குறிப்பிடப்பட வேண்டும்.
ERR0005 தெரியாத டை 'MPF30' -die விருப்பத்தின் மதிப்பு சரியாக இல்லை. விருப்பத்தின் விளக்கத்தில் மதிப்புகளின் சாத்தியமான பட்டியலைப் பார்க்கவும்.
ERR0023 அளவுரு—die மதிப்பு இல்லை டை விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0023 தேவையான அளவுரு—குடும்பம் இல்லை குடும்ப விருப்பம் கட்டாயமானது மற்றும் குறிப்பிடப்பட வேண்டும்.
ERR0004 தெரியாத குடும்பம் 'PolarFire®' குடும்ப விருப்பம் சரியானதல்ல. விருப்பத்தின் விளக்கத்தில் மதிப்புகளின் சாத்தியமான பட்டியலைப் பார்க்கவும்.
………… தொடர்ந்தது
பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 அளவுரு—குடும்பம் மதிப்பு இல்லை குடும்ப விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0023 தேவையான அளவுரு—வேகம் இல்லை வேக விருப்பம் கட்டாயமானது மற்றும் குறிப்பிடப்பட வேண்டும்.
ERR0007 தெரியாத வேகம் ' ' வேக விருப்பம் சரியானதல்ல. விருப்பத்தின் விளக்கத்தில் மதிப்புகளின் சாத்தியமான பட்டியலைப் பார்க்கவும்.
ERR0023 அளவுரு—வேகம் மதிப்பு இல்லை வேக விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.

Example
set_device -குடும்பம் {PolarFire} -die {MPF300T_ES} -வேகம் -1
set_device -குடும்பம் ஸ்மார்ட்ஃப்யூஷன் 2 -டை M2S090T -வேகம் -1
9.1.3 ரீட்_வெரிலாக் (ஒரு கேள்வி கேள்)
விளக்கம்
ஒரு வெரிலாக்கைப் படியுங்கள் file சரிபார்ப்பைப் பயன்படுத்துகிறது.
படிக்க_வெரிலாக் [-லிப் ] [-முறை ]fileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
-லிப் சரம் நூலகத்தில் சேர்க்க வேண்டிய தொகுதிக்கூறுகளைக் கொண்ட நூலகத்தைக் குறிப்பிடவும்.
-முறை சரம் வெரிலாக் தரநிலையைக் குறிப்பிடவும். சாத்தியமான மதிப்புகள் verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu. மதிப்புகள் பேரெழுத்து வேறுபாடு இல்லாதவை. இயல்புநிலை verilog_2k.
fileபெயர் சரம் வெரிலோக் file பெயர்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 அளவுரு—lib மதிப்பு இல்லை lib விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0023 அளவுரு—பயன்முறையில் மதிப்பு இல்லை பயன்முறை விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0015 தெரியாத பயன்முறை ' ' குறிப்பிடப்பட்ட வெரிலாக் பயன்முறை தெரியவில்லை.—பயன்முறை விருப்ப விளக்கத்தில் சாத்தியமான வெரிலாக் பயன்முறையின் பட்டியலைக் காண்க.
ERR0023 தேவையான அளவுரு file பெயர் இல்லை. வெரிலாக் இல்லை file பாதை வழங்கப்படுகிறது.
ERR0016 Verific இன் பாகுபடுத்தி காரணமாக தோல்வியடைந்தது. வெரிலாக்கில் தொடரியல் பிழை file. பிழைச் செய்திக்கு மேலே உள்ள கன்சோலில் Verific இன் பாகுபடுத்தியைக் காணலாம்.
ERR0012 set_device அழைக்கப்படவில்லை. சாதனத் தகவல் குறிப்பிடப்படவில்லை. சாதனத்தை விவரிக்க set_device கட்டளையைப் பயன்படுத்தவும்.

Example
read_verilog -mode system_verilog {component/work/top/top.v}
read_verilog -பயன்முறை அமைப்பு_verilog_mfcu வடிவமைப்பு.v
9.1.4 படிக்க_vhdl (ஒரு கேள்வி கேள்)
விளக்கம்
ஒரு VHDL ஐச் சேர்க்கவும் file VHDL பட்டியலில் files.
read_vhdl [-lib ] [-முறை ]fileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
-லிப் உள்ளடக்கத்தைச் சேர்க்க வேண்டிய நூலகத்தைக் குறிப்பிடவும்.
-முறை VHDL தரநிலையைக் குறிப்பிடுகிறது. இயல்புநிலை VHDL_93. சாத்தியமான மதிப்புகள் vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl. மதிப்புகள் பேரெழுத்து உணர்வற்றவை.
fileபெயர் வி.எச்.டி.எல் file பெயர்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 அளவுரு—lib மதிப்பு இல்லை lib விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0023 அளவுரு—பயன்முறையில் மதிப்பு இல்லை பயன்முறை விருப்பம் மதிப்பு இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0018 தெரியாத பயன்முறை ' ' குறிப்பிடப்பட்ட VHDL பயன்முறை தெரியவில்லை. சாத்தியமான VHDL பயன்முறையின் பட்டியலைப் பார்க்கவும்—பயன்முறை விருப்ப விளக்கத்தில்.
ERR0023 தேவையான அளவுரு file பெயர் இல்லை. VHDL இல்லை file பாதை வழங்கப்படுகிறது.
ERR0019 invalid_path.v ஐ பதிவு செய்ய முடியவில்லை. file குறிப்பிட்ட VHDL file இல்லை அல்லது படிக்க அனுமதிகள் இல்லை.
ERR0012 set_device அழைக்கப்படவில்லை. சாதனத் தகவல் குறிப்பிடப்படவில்லை. சாதனத்தை விவரிக்க set_device கட்டளையைப் பயன்படுத்தவும்.

Example
read_vhdl -பயன்முறை vhdl_2008 osc2dfn.vhd
படிக்க_vhdl {hdl/top.vhd}
9.1.5 செட்_டாப்_லெவல் (ஒரு கேள்வி கேள்)
விளக்கம்
RTL இல் உயர் மட்ட தொகுதியின் பெயரைக் குறிப்பிடவும்.
set_top_level [-lib] [-உயர்_நிலை] ]
வாதங்கள்

அளவுரு வகை விளக்கம்
-லிப் சரம் உயர்மட்ட தொகுதி அல்லது நிறுவனத்தைத் தேடுவதற்கான நூலகம் (விரும்பினால்).
பெயர் சரம் உயர்-நிலை தொகுதி அல்லது நிறுவனத்தின் பெயர்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 தேவையான அளவுரு மேல் நிலை காணவில்லை. உயர் நிலை விருப்பம் கட்டாயமானது மற்றும் குறிப்பிடப்பட வேண்டும்.
ERR0023 அளவுரு—lib மதிப்பு இல்லை lib விருப்பம் மதிப்புகள் இல்லாமல் குறிப்பிடப்பட்டுள்ளது.
ERR0014 உயர் நிலையைக் கண்டுபிடிக்க முடியவில்லை. நூலகத்தில் வழங்கப்பட்ட நூலகத்தில் குறிப்பிடப்பட்ட உயர்-நிலை தொகுதி வரையறுக்கப்படவில்லை. இந்தப் பிழையைச் சரிசெய்ய, மேல் தொகுதி அல்லது நூலகப் பெயரைச் சரிசெய்ய வேண்டும்.
ERR0017 எலாஃபோர்ட் தோல்வியடைந்தது RTL விரிவாக்க செயல்பாட்டில் பிழை. பிழை செய்தியை கன்சோலில் இருந்து காணலாம்.

Example
மேல்_நிலையை அமைக்கவும் {மேல்}
set_top_level -lib hdl top
9.1.6 read_sdc (கேள்வி கேளுங்கள்)
விளக்கம்
ஒரு SDC-யைப் படியுங்கள் file கூறு தரவுத்தளத்தில்.
read_sdc -கூறுfileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
-கூறு நாம் கட்டுப்பாடுகளைப் பெறும்போது read_sdc கட்டளைக்கு இது ஒரு கட்டாயக் கொடியாகும்.
fileபெயர் சரம் SDC-க்கான பாதை file.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 தேவையான அளவுரு file பெயர் இல்லை. கட்டாய விருப்பம் file பெயர் குறிப்பிடப்படவில்லை.
ERR0000 SDC file <file_path> படிக்க முடியாது. குறிப்பிட்ட SDC file படிக்க அனுமதிகள் இல்லை.
ERR0001 திறக்க முடியவில்லைfile_பாதை> file. எஸ்டிசி file இல்லை. பாதையை சரிசெய்ய வேண்டும்.
ERR0008 set_component கட்டளை இல்லைfile_பாதை> file SDC இன் குறிப்பிட்ட கூறு file கூறுகளைக் குறிப்பிடவில்லை.
பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0009 <List of errors from sdc file> எஸ்டிசி file தவறான sdc கட்டளைகளைக் கொண்டுள்ளது. உதாரணத்திற்குample,

set_multicycle_path constraint இல் பிழை இருக்கும்போது: read_sdc கட்டளையை இயக்கும்போது பிழை: infile_பாதை> file: கட்டளையில் பிழை set_multicycle_path: தெரியாத அளவுரு [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (கேள்வி கேளுங்கள்)
விளக்கம்
ஒரு NDC-யைப் படியுங்கள் file கூறு தரவுத்தளத்தில்.
read_ndc -கூறுfileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
-கூறு நாம் கட்டுப்பாடுகளைப் பெறும்போது read_ndc கட்டளைக்கு இது ஒரு கட்டாயக் கொடியாகும்.
fileபெயர் சரம் NDC-க்கான பாதை file.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0001 திறக்க முடியவில்லைfile_பாதை> file தி என்.டி.சி. file இல்லை. பாதையை சரிசெய்ய வேண்டும்.
ERR0023 தேவையான அளவுரு—AtclParamO_ இல்லை. கட்டாய விருப்பம் fileபெயர் குறிப்பிடப்படவில்லை.
ERR0023 தேவையான அளவுரு - கூறு இல்லை. கூறு விருப்பம் கட்டாயமானது மற்றும் குறிப்பிடப்பட வேண்டும்.
ERR0000 என்.டி.சி file 'file_path>' படிக்க முடியாது. குறிப்பிட்ட NDC file படிக்க அனுமதிகள் இல்லை.

Example
read_ndc -கூறு {கூறு/வேலை/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 பெறப்பட்ட_கட்டுப்பாடுகள் (கேள்வி கேளுங்கள்)
விளக்கம்
உடனடி கூறு SDC fileவடிவமைப்பு-நிலை தரவுத்தளத்தில் கள்.
பெறப்பட்ட_கட்டுப்பாடுகள்
வாதங்கள்

திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0013 மேல் நிலை வரையறுக்கப்படவில்லை. இதன் பொருள் உயர்மட்ட தொகுதி அல்லது நிறுவனம் குறிப்பிடப்படவில்லை. இந்த அழைப்பை சரிசெய்ய,
derive_constraints கட்டளைக்கு முன் set_top_level கட்டளை.

Example
பெறப்பட்ட_கட்டுப்பாடுகள்
9.1.9 write_sdc (கேள்வி கேளுங்கள்)
விளக்கம்
ஒரு கட்டுப்பாட்டை எழுதுகிறது file SDC வடிவத்தில்.
எழுது_எஸ்டிசிfileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
<fileபெயர்> சரம் SDC-க்கான பாதை file உருவாக்கப்படும். இது ஒரு கட்டாய விருப்பமாகும். file இருந்தால், அது மேலெழுதப்படும்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0003 திறக்க முடியவில்லைfile பாதை> file. File பாதை சரியில்லை. பெற்றோர் கோப்பகங்கள் உள்ளதா என சரிபார்க்கவும்.
ERR0002 SDC file 'file பாதை>' எழுதக்கூடியது அல்ல. குறிப்பிட்ட SDC file எழுத்து அனுமதி இல்லை.
ERR0023 தேவையான அளவுரு file பெயர் இல்லை. எஸ்டிசி file பாதை ஒரு கட்டாய விருப்பமாகும், மேலும் அது குறிப்பிடப்பட வேண்டும்.

Example
write_sdc “derived.sdc”
9.1.10 write_pdc (கேள்வி கேளுங்கள்)
விளக்கம்
உடல் கட்டுப்பாடுகளை எழுதுகிறது (Derive Constraints மட்டும்).
எழுது_பி.டி.சி.fileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
<fileபெயர்> சரம் PDC-க்கான பாதை file உருவாக்கப்படும். இது ஒரு கட்டாய விருப்பமாகும். file பாதை இருந்தால், அது மேலெழுதப்படும்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழை செய்திகள் விளக்கம்
ERR0003 திறக்க முடியவில்லைfile பாதை> file தி file பாதை சரியில்லை. பெற்றோர் கோப்பகங்கள் உள்ளதா என சரிபார்க்கவும்.
ERR0002 PDC file 'file பாதை>' எழுதக்கூடியது அல்ல. குறிப்பிட்ட PDC file எழுத்து அனுமதி இல்லை.
ERR0023 தேவையான அளவுரு file பெயர் இல்லை. பி.டி.சி. file பாதை ஒரு கட்டாய விருப்பமாகும், மேலும் அது குறிப்பிடப்பட வேண்டும்.

Example
write_pdc “derived.pdc”
9.1.11 write_ndc (கேள்வி கேளுங்கள்)
விளக்கம்
NDC கட்டுப்பாடுகளை a இல் எழுதுகிறது file.
எழுது_என்டிசிfileபெயர்>
வாதங்கள்

அளவுரு வகை விளக்கம்
fileபெயர் சரம் NDC-க்கான பாதை file உருவாக்கப்படும். இது ஒரு கட்டாய விருப்பமாகும். file இருந்தால், அது மேலெழுதப்படும்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழை செய்திகள் விளக்கம்
ERR0003 திறக்க முடியவில்லைfile_பாதை> file. File பாதை சரியில்லை. பெற்றோர் கோப்பகங்கள் இல்லை.
ERR0002 என்.டி.சி file 'file_path>' எழுதக்கூடியது அல்ல. குறிப்பிட்ட NDC file எழுத்து அனுமதி இல்லை.
ERR0023 தேவையான அளவுரு _AtclParamO_ இல்லை. தி என்.டி.சி. file பாதை ஒரு கட்டாய விருப்பமாகும், மேலும் அது குறிப்பிடப்பட வேண்டும்.

Example
write_ndc “derived.ndc”
9.1.12 add_include_path (கேள்வி கேளுங்கள்)
விளக்கம்
தேடலுக்கான பாதையைக் குறிப்பிடுகிறது, இதில் அடங்கும் fileRTL படிக்கும்போது files.
சேர்_சேர்_பாதை
வாதங்கள்

அளவுரு வகை விளக்கம்
அடைவு சரம் தேடலுக்கான பாதையைக் குறிப்பிடுகிறது, இதில் அடங்கும் fileRTL படிக்கும்போது files. இந்த விருப்பம் கட்டாயமாகும்.
திரும்பும் வகை விளக்கம்
0 கட்டளை வெற்றி பெற்றது.
திரும்பும் வகை விளக்கம்
1 கட்டளை தோல்வியடைந்தது. ஒரு பிழை உள்ளது. நீங்கள் கன்சோலில் பிழை செய்தியைக் காணலாம்.

பிழைகளின் பட்டியல்

பிழை குறியீடு பிழைச் செய்தி விளக்கம்
ERR0023 தேவையான அளவுருவில் பாதை இல்லை. கோப்பக விருப்பம் கட்டாயமானது மற்றும் வழங்கப்பட வேண்டும்.

குறிப்பு: கோப்பக பாதை சரியாக இல்லை என்றால், add_include_path பிழை இல்லாமல் அனுப்பப்படும்.
இருப்பினும், Verific இன் பாகுபடுத்தி காரணமாக read_verilog/read_vhd கட்டளைகள் தோல்வியடையும்.
Example
add_include_path கூறு/வேலை/COREABC0/COREABC0_0/rtl/vlog/core

மீள்பார்வை வரலாறு (ஒரு கேள்வி கேள்)

திருத்த வரலாறு ஆவணத்தில் செயல்படுத்தப்பட்ட மாற்றங்களை விவரிக்கிறது. மாற்றங்கள் பட்டியலிடப்பட்ட திருத்தம், மிகவும் தற்போதைய வெளியீட்டில் தொடங்கி.

திருத்தம் தேதி விளக்கம்
F 08/2024 இந்த திருத்தத்தில் பின்வரும் மாற்றங்கள் செய்யப்பட்டுள்ளன:
• புதுப்பிக்கப்பட்ட பிரிவு இணைப்பு B—உருவகப்படுத்துதல் நூலகங்களை உருவகப்படுத்துதல் சூழலுக்குள் இறக்குமதி செய்தல்.
E 08/2024 இந்த திருத்தத்தில் பின்வரும் மாற்றங்கள் செய்யப்பட்டுள்ளன:
• புதுப்பிக்கப்பட்ட பகுதி முடிந்ததுview.
• பெறப்பட்ட SDC பிரிவு புதுப்பிக்கப்பட்டது File.
• புதுப்பிக்கப்பட்ட பிரிவு இணைப்பு B—உருவகப்படுத்துதல் நூலகங்களை உருவகப்படுத்துதல் சூழலுக்குள் இறக்குமதி செய்தல்.
D 02/2024 இந்த ஆவணம் v2024.1 இலிருந்து எந்த மாற்றங்களும் இல்லாமல் Libero 2023.2 SoC வடிவமைப்பு தொகுப்புடன் வெளியிடப்பட்டது.
derive_constraints பயன்பாட்டுடன் பணிபுரிதல் பிரிவு புதுப்பிக்கப்பட்டது.
C 08/2023 இந்த ஆவணம் v2023.2 இலிருந்து எந்த மாற்றங்களும் இல்லாமல் Libero 2023.1 SoC வடிவமைப்பு தொகுப்புடன் வெளியிடப்பட்டது.
B 04/2023 இந்த ஆவணம் v2023.1 இலிருந்து எந்த மாற்றங்களும் இல்லாமல் Libero 2022.3 SoC வடிவமைப்பு தொகுப்புடன் வெளியிடப்பட்டது.
A 12/2022 ஆரம்ப திருத்தம்.

மைக்ரோசிப் FPGA ஆதரவு
Microchip FPGA தயாரிப்புகள் குழு அதன் தயாரிப்புகளை வாடிக்கையாளர் சேவை, வாடிக்கையாளர் தொழில்நுட்ப ஆதரவு மையம் உள்ளிட்ட பல்வேறு ஆதரவு சேவைகளுடன் ஆதரிக்கிறது. webதளம் மற்றும் உலகளாவிய விற்பனை அலுவலகங்கள்.
வாடிக்கையாளர்கள் தங்கள் கேள்விகளுக்கு ஏற்கனவே பதில் கிடைத்திருக்க வாய்ப்புள்ளதால், ஆதரவைத் தொடர்புகொள்வதற்கு முன் மைக்ரோசிப் ஆன்லைன் ஆதாரங்களைப் பார்வையிட பரிந்துரைக்கப்படுகிறது.
மூலம் தொழில்நுட்ப ஆதரவு மையத்தைத் தொடர்பு கொள்ளவும் webதளத்தில் www.microchip.com/support. FPGA சாதன பகுதி எண்ணைக் குறிப்பிடவும், பொருத்தமான வகை வகையைத் தேர்ந்தெடுத்து வடிவமைப்பைப் பதிவேற்றவும் fileஒரு தொழில்நுட்ப ஆதரவு வழக்கை உருவாக்கும் போது கள்.
தயாரிப்பு விலை, தயாரிப்பு மேம்படுத்தல்கள், புதுப்பித்தல் தகவல், ஆர்டர் நிலை மற்றும் அங்கீகாரம் போன்ற தொழில்நுட்பமற்ற தயாரிப்பு ஆதரவுக்கு வாடிக்கையாளர் சேவையைத் தொடர்புகொள்ளவும்.

  • வட அமெரிக்காவிலிருந்து, 800.262.1060 ஐ அழைக்கவும்
  • உலகின் பிற பகுதிகளிலிருந்து, 650.318.4460 ஐ அழைக்கவும்
  • தொலைநகல், உலகில் எங்கிருந்தும், 650.318.8044

மைக்ரோசிப் தகவல்
மைக்ரோசிப் Webதளம்
மைக்ரோசிப் எங்கள் வழியாக ஆன்லைன் ஆதரவை வழங்குகிறது webதளத்தில் www.microchip.com/. இது webதளம் தயாரிக்க பயன்படுகிறது fileகள் மற்றும் தகவல்கள் வாடிக்கையாளர்களுக்கு எளிதில் கிடைக்கும். கிடைக்கக்கூடிய சில உள்ளடக்கங்களில் பின்வருவன அடங்கும்:

  • தயாரிப்பு ஆதரவு - தரவுத் தாள்கள் மற்றும் பிழைகள், பயன்பாட்டுக் குறிப்புகள் மற்றும் கள்ample நிரல்கள், வடிவமைப்பு ஆதாரங்கள், பயனர் வழிகாட்டிகள் மற்றும் வன்பொருள் ஆதரவு ஆவணங்கள், சமீபத்திய மென்பொருள் வெளியீடுகள் மற்றும் காப்பகப்படுத்தப்பட்ட மென்பொருள்
  • பொது தொழில்நுட்ப ஆதரவு - அடிக்கடி கேட்கப்படும் கேள்விகள் (FAQகள்), தொழில்நுட்ப ஆதரவு கோரிக்கைகள், ஆன்லைன் கலந்துரையாடல் குழுக்கள், மைக்ரோசிப் வடிவமைப்பு கூட்டாளர் நிரல் உறுப்பினர் பட்டியல்
  • மைக்ரோசிப்பின் வணிகம் - தயாரிப்பு தேர்வாளர் மற்றும் வரிசைப்படுத்தும் வழிகாட்டிகள், சமீபத்திய மைக்ரோசிப் பத்திரிகை வெளியீடுகள், கருத்தரங்குகள் மற்றும் நிகழ்வுகளின் பட்டியல், மைக்ரோசிப் விற்பனை அலுவலகங்கள், விநியோகஸ்தர்கள் மற்றும் தொழிற்சாலை பிரதிநிதிகளின் பட்டியல்கள்

தயாரிப்பு மாற்ற அறிவிப்பு சேவை
மைக்ரோசிப்பின் தயாரிப்பு மாற்ற அறிவிப்பு சேவையானது வாடிக்கையாளர்களை மைக்ரோசிப் தயாரிப்புகளில் தொடர்ந்து வைத்திருக்க உதவுகிறது. குறிப்பிட்ட தயாரிப்பு குடும்பம் அல்லது ஆர்வமுள்ள மேம்பாட்டுக் கருவி தொடர்பான மாற்றங்கள், புதுப்பிப்புகள், திருத்தங்கள் அல்லது பிழைகள் ஏற்படும் போதெல்லாம் சந்தாதாரர்கள் மின்னஞ்சல் அறிவிப்பைப் பெறுவார்கள். பதிவு செய்ய, செல்லவும் www.microchip.com/pcn மற்றும் பதிவு வழிமுறைகளைப் பின்பற்றவும்.

வாடிக்கையாளர் ஆதரவு
மைக்ரோசிப் தயாரிப்புகளின் பயனர்கள் பல சேனல்கள் மூலம் உதவியைப் பெறலாம்:

  • விநியோகஸ்தர் அல்லது பிரதிநிதி
  • உள்ளூர் விற்பனை அலுவலகம்
  • உட்பொதிக்கப்பட்ட தீர்வுகள் பொறியாளர் (ESE)
  • தொழில்நுட்ப ஆதரவு

ஆதரவுக்காக வாடிக்கையாளர்கள் தங்கள் விநியோகஸ்தர், பிரதிநிதி அல்லது ESE ஐ தொடர்பு கொள்ள வேண்டும். வாடிக்கையாளர்களுக்கு உதவ உள்ளூர் விற்பனை அலுவலகங்களும் உள்ளன. விற்பனை அலுவலகங்கள் மற்றும் இருப்பிடங்களின் பட்டியல் இந்த ஆவணத்தில் சேர்க்கப்பட்டுள்ளது. மூலம் தொழில்நுட்ப ஆதரவு கிடைக்கிறது webதளத்தில்: www.microchip.com/support
மைக்ரோசிப் சாதனங்களின் குறியீடு பாதுகாப்பு அம்சம்
மைக்ரோசிப் தயாரிப்புகளில் குறியீடு பாதுகாப்பு அம்சத்தின் பின்வரும் விவரங்களைக் கவனியுங்கள்:

  • மைக்ரோசிப் தயாரிப்புகள் அவற்றின் குறிப்பிட்ட மைக்ரோசிப் டேட்டா ஷீட்டில் உள்ள விவரக்குறிப்புகளைப் பூர்த்தி செய்கின்றன.
  • மைக்ரோசிப், அதன் தயாரிப்புகளின் குடும்பம் நோக்கம் கொண்ட முறையில், செயல்பாட்டு விவரக்குறிப்புகளுக்குள் மற்றும் சாதாரண நிலைமைகளின் கீழ் பயன்படுத்தப்படும் போது பாதுகாப்பானது என்று நம்புகிறது.
  • மைக்ரோசிப் அதன் அறிவுசார் சொத்துரிமைகளை மதிப்பிடுகிறது மற்றும் தீவிரமாக பாதுகாக்கிறது. மைக்ரோசிப் தயாரிப்பின் குறியீடு பாதுகாப்பு அம்சங்களை மீறும் முயற்சிகள் கண்டிப்பாக தடைசெய்யப்பட்டுள்ளது மற்றும் டிஜிட்டல் மில்லினியம் பதிப்புரிமைச் சட்டத்தை மீறலாம்.
  • மைக்ரோசிப் அல்லது வேறு எந்த குறைக்கடத்தி உற்பத்தியாளர்களும் அதன் குறியீட்டின் பாதுகாப்பிற்கு உத்தரவாதம் அளிக்க முடியாது. குறியீடு பாதுகாப்பு என்பது தயாரிப்பு "உடைக்க முடியாதது" என்று நாங்கள் உத்தரவாதம் அளிக்கிறோம் என்று அர்த்தமல்ல. குறியீடு பாதுகாப்பு தொடர்ந்து உருவாகி வருகிறது. எங்கள் தயாரிப்புகளின் குறியீடு பாதுகாப்பு அம்சங்களை தொடர்ந்து மேம்படுத்த மைக்ரோசிப் உறுதிபூண்டுள்ளது.

சட்ட அறிவிப்பு
இந்த வெளியீடும் இங்குள்ள தகவல்களும் மைக்ரோசிப் தயாரிப்புகளுடன் மட்டுமே பயன்படுத்தப்படலாம், இதில் மைக்ரோசிப் தயாரிப்புகளை வடிவமைத்தல், சோதனை செய்தல் மற்றும் உங்கள் பயன்பாட்டுடன் ஒருங்கிணைத்தல் ஆகியவை அடங்கும். இந்தத் தகவலை வேறு எந்த வகையிலும் பயன்படுத்துவது இந்த விதிமுறைகளை மீறுகிறது. சாதன பயன்பாடுகள் தொடர்பான தகவல்கள் உங்கள் வசதிக்காக மட்டுமே வழங்கப்படுகின்றன மற்றும் புதுப்பிப்புகளால் மாற்றப்படலாம். உங்கள் விண்ணப்பம் உங்களின் விவரக்குறிப்புகளுடன் பொருந்துகிறதா என்பதை உறுதிப்படுத்துவது உங்கள் பொறுப்பு. கூடுதல் ஆதரவுக்காக உங்கள் உள்ளூர் மைக்ரோசிப் விற்பனை அலுவலகத்தைத் தொடர்புகொள்ளவும் அல்லது கூடுதல் ஆதரவைப் பெறவும் www.microchip.com/en-us/support/design-help/client-support-services.
இந்த தகவல் மைக்ரோசிப் மூலம் வழங்கப்படுகிறது. மைக்ரோசிப் எந்தவொரு பிரதிநிதித்துவத்தையும் உத்தரவாதத்தையும் அளிக்காது, வெளிப்படையான அல்லது மறைமுகமாக, எழுதப்பட்ட அல்லது வாய்வழி, சட்டரீதியான அல்லது வேறுவிதமாக, ஒரு குறிப்பிட்ட நோக்கத்திற்காக, அல்லது உத்தரவாதங்களுக்கான எந்தவொரு மறைமுகமான உத்தரவாதங்களுக்கும், அல்லது உத்தரவாதங்களுக்கும் உள்ளிட்ட தகவல்களுடன் தொடர்புடையது. அதன் நிலை, தரம் அல்லது செயல்திறன் ஆகியவற்றுடன் தொடர்புடையது. எந்தவொரு நிகழ்விலும் மைக்ரோசிப் எந்தவொரு மறைமுக, சிறப்பு, தண்டனையான, தற்செயலான, அல்லது அதன் விளைவாக இழப்பு, சேதம், செலவு அல்லது தகவல் அல்லது அதன் பயன்பாடு தொடர்பான எந்தவொரு வகையான செலவினத்திற்கும் பொறுப்பேற்காது, இருப்பினும், மைக்ரோசிப் அறிவுறுத்தப்பட்டிருந்தாலும் கூட சாத்தியம் அல்லது சேதங்கள் முன்னறிவிக்கக்கூடியவை. சட்டத்தால் அனுமதிக்கப்பட்ட முழு அளவில், மைக்ரோசிப்பின் அனைத்து உரிமைகோரல்களின் மொத்தப் பொறுப்பும், தகவல் அல்லது அதன் பயன்பாடு தொடர்பான எந்த விதத்திலும்.
உயிர்காப்பு மற்றும்/அல்லது பாதுகாப்பு பயன்பாடுகளில் மைக்ரோசிப் சாதனங்களைப் பயன்படுத்துவது முற்றிலும் வாங்குபவரின் பொறுப்பாகும், மேலும் அத்தகைய பயன்பாட்டினால் ஏற்படும் எந்தவொரு சேதங்கள், இழப்புகள், வழக்குகள் அல்லது செலவுகளிலிருந்தும் மைக்ரோசிப்பைப் பாதுகாக்க, இழப்பீடு வழங்க மற்றும் பாதிப்பில்லாததாக வைத்திருக்க வாங்குபவர் ஒப்புக்கொள்கிறார். வேறுவிதமாகக் கூறப்படாவிட்டால், எந்தவொரு மைக்ரோசிப் அறிவுசார் சொத்துரிமைகளின் கீழும், எந்த உரிமங்களும் மறைமுகமாகவோ அல்லது வேறுவிதமாகவோ தெரிவிக்கப்படாது.
வர்த்தக முத்திரைகள்
மைக்ரோசிப் பெயர் மற்றும் லோகோ, மைக்ரோசிப் லோகோ, அடாப்டெக், ஏவிஆர், ஏவிஆர் லோகோ, ஏவிஆர் ஃப்ரீக்ஸ், பெஸ்டைம், பிட்க்ளவுட், கிரிப்டோமெமரி, கிரிப்டோஆர்எஃப், டிஎஸ்பிஐசி, ஃப்ளெக்ஸ்பிடபிள்யூஆர், ஹெல்டோ, இக்லூ, ஜூக் ப்ளாக்ஸ், கீலோக், மேக்ஸ், மேக்ஸ், மேக்ஸ், மேக்ஸ் MediaLB, megaAVR, மைக்ரோசெமி, மைக்ரோசெமி லோகோ, MOST, MOST லோகோ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 லோகோ, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SyMmeStIC, SyMmeStIC , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron மற்றும் XMEGA ஆகியவை அமெரிக்கா மற்றும் பிற நாடுகளில் இணைக்கப்பட்ட மைக்ரோசிப் தொழில்நுட்பத்தின் பதிவு செய்யப்பட்ட வர்த்தக முத்திரைகளாகும்.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC-Fusionire, SmartFusionire TimeCesium, TimeHub, TimePictra, TimeProvider மற்றும் ZL ஆகியவை அமெரிக்காவில் இணைக்கப்பட்ட மைக்ரோசிப் டெக்னாலஜியின் பதிவு செய்யப்பட்ட வர்த்தக முத்திரைகளாகும்.
அட்ஜசென்ட் கீ சப்ரஷன், ஏகேஎஸ், அனலாக் ஃபார்-தி-டிஜிட்டல் ஏஜ், ஏனி கேபாசிட்டர், எனிஇன், எனி அவுட், ஆக்மென்டட் ஸ்விட்சிங், ப்ளூஸ்கை, பாடிகாம், க்ளாக்ஸ்டுடியோ, கோட்கார்ட், கிரிப்டோ அங்கீகாரம், கிரிப்டோ ஆட்டோமோட்டிவ், கிரிப்டோகாம்பன், க்ரிப்டோகாம்பன் மாறும் சராசரி பொருத்தம் , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-Chip Connectivity, Kitterblocker, Kitterblocker-, அதிகபட்சம்View, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB சான்றளிக்கப்பட்ட லோகோ, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omnicient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Powermarsicon IV, Powermarilicon , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG7, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Toynchroancedcdc , நம்பகமான நேரம், TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect மற்றும் ZENA ஆகியவை அமெரிக்கா மற்றும் பிற நாடுகளில் உள்ள மைக்ரோசிப் தொழில்நுட்பத்தின் வர்த்தக முத்திரைகளாகும்.
SQTP என்பது அமெரிக்காவில் இணைக்கப்பட்ட மைக்ரோசிப் தொழில்நுட்பத்தின் சேவை அடையாளமாகும்
அடாப்டெக் லோகோ, ப்ரீக்வென்சி ஆன் டிமாண்ட், சிலிக்கான் ஸ்டோரேஜ் டெக்னாலஜி மற்றும் சிம்காம் ஆகியவை பிற நாடுகளில் உள்ள மைக்ரோசிப் டெக்னாலஜி இன்க். இன் பதிவு செய்யப்பட்ட வர்த்தக முத்திரைகளாகும்.
GestIC என்பது மைக்ரோசிப் டெக்னாலஜி ஜெர்மனி II GmbH & Co. KG இன் பதிவு செய்யப்பட்ட வர்த்தக முத்திரையாகும், இது மற்ற நாடுகளில் உள்ள Microchip Technology Inc. இன் துணை நிறுவனமாகும்.
இங்கு குறிப்பிடப்பட்டுள்ள மற்ற அனைத்து வர்த்தக முத்திரைகளும் அந்தந்த நிறுவனங்களின் சொத்து.
2024, மைக்ரோசிப் டெக்னாலஜி இன்கார்பரேட்டட் மற்றும் அதன் துணை நிறுவனங்கள். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை.
ISBN: 978-1-6683-0183-8
தர மேலாண்மை அமைப்பு
மைக்ரோசிப்பின் தர மேலாண்மை அமைப்புகள் பற்றிய தகவலுக்கு, தயவுசெய்து பார்வையிடவும் www.microchip.com/quality.
உலகளாவிய விற்பனை மற்றும் சேவை

அமெரிக்கா  ASIA/PACIFIC  ASIA/PACIFIC  ஐரோப்பா
கார்ப்பரேட் அலுவலகம்
2355 மேற்கு சாண்ட்லர் Blvd.
சாண்ட்லர், AZ 85224-6199
தொலைபேசி: 480-792-7200
தொலைநகல்: 480-792-7277
தொழில்நுட்ப ஆதரவு: www.microchip.com/support
Web முகவரி: www.microchip.com
அட்லாண்டா
டுலூத், ஜிஏ
தொலைபேசி: 678-957-9614
தொலைநகல்: 678-957-1455
ஆஸ்டின், TX
தொலைபேசி: 512-257-3370
பாஸ்டன்
வெஸ்ட்பரோ, எம்.ஏ
தொலைபேசி: 774-760-0087
தொலைநகல்: 774-760-0088
சிகாகோ
இட்டாஸ்கா, IL
தொலைபேசி: 630-285-0071
தொலைநகல்: 630-285-0075
டல்லாஸ்
அடிசன், டி.எக்ஸ்
தொலைபேசி: 972-818-7423
தொலைநகல்: 972-818-2924
டெட்ராய்ட்
நோவி, எம்.ஐ
தொலைபேசி: 248-848-4000
ஹூஸ்டன், TX
தொலைபேசி: 281-894-5983
இண்டியானாபோலிஸ்
நோபல்ஸ்வில்லே, IN
தொலைபேசி: 317-773-8323
தொலைநகல்: 317-773-5453
தொலைபேசி: 317-536-2380
லாஸ் ஏஞ்சல்ஸ்
மிஷன் விஜோ, CA
தொலைபேசி: 949-462-9523
தொலைநகல்: 949-462-9608
தொலைபேசி: 951-273-7800
ராலே, NC
தொலைபேசி: 919-844-7510
நியூயார்க், NY
தொலைபேசி: 631-435-6000
சான் ஜோஸ், CA
தொலைபேசி: 408-735-9110
தொலைபேசி: 408-436-4270
கனடா - டொராண்டோ
தொலைபேசி: 905-695-1980
தொலைநகல்: 905-695-2078
ஆஸ்திரேலியா - சிட்னி
தொலைபேசி: 61-2-9868-6733
சீனா - பெய்ஜிங்
தொலைபேசி: 86-10-8569-7000
சீனா - செங்டு
தொலைபேசி: 86-28-8665-5511
சீனா - சோங்கிங்
தொலைபேசி: 86-23-8980-9588
சீனா - டோங்குவான்
தொலைபேசி: 86-769-8702-9880
சீனா - குவாங்சோ
தொலைபேசி: 86-20-8755-8029
சீனா - ஹாங்சோ
தொலைபேசி: 86-571-8792-8115
சீனா - ஹாங்காங் SAR
தொலைபேசி: 852-2943-5100
சீனா - நான்ஜிங்
தொலைபேசி: 86-25-8473-2460
சீனா - கிங்டாவ்
தொலைபேசி: 86-532-8502-7355
சீனா - ஷாங்காய்
தொலைபேசி: 86-21-3326-8000
சீனா - ஷென்யாங்
தொலைபேசி: 86-24-2334-2829
சீனா - ஷென்சென்
தொலைபேசி: 86-755-8864-2200
சீனா - சுசோவ்
தொலைபேசி: 86-186-6233-1526
சீனா - வுஹான்
தொலைபேசி: 86-27-5980-5300
சீனா - சியான்
தொலைபேசி: 86-29-8833-7252
சீனா - ஜியாமென்
தொலைபேசி: 86-592-2388138
சீனா - ஜுஹாய்
தொலைபேசி: 86-756-3210040
இந்தியா - பெங்களூர்
தொலைபேசி: 91-80-3090-4444
இந்தியா - புது டெல்லி
தொலைபேசி: 91-11-4160-8631
இந்தியா - புனே
தொலைபேசி: 91-20-4121-0141
ஜப்பான் - ஒசாகா
தொலைபேசி: 81-6-6152-7160
ஜப்பான் - டோக்கியோ
தொலைபேசி: 81-3-6880- 3770
கொரியா - டேகு
தொலைபேசி: 82-53-744-4301
கொரியா - சியோல்
தொலைபேசி: 82-2-554-7200
மலேசியா - கோலாலம்பூர்
தொலைபேசி: 60-3-7651-7906
மலேசியா - பினாங்கு
தொலைபேசி: 60-4-227-8870
பிலிப்பைன்ஸ் - மணிலா
தொலைபேசி: 63-2-634-9065
சிங்கப்பூர்
தொலைபேசி: 65-6334-8870
தைவான் - ஹசின் சூ
தொலைபேசி: 886-3-577-8366
தைவான் - காஹ்சியுங்
தொலைபேசி: 886-7-213-7830
தைவான் - தைபே
தொலைபேசி: 886-2-2508-8600
தாய்லாந்து - பாங்காக்
தொலைபேசி: 66-2-694-1351
வியட்நாம் - ஹோ சி மின்
தொலைபேசி: 84-28-5448-2100
ஆஸ்திரியா - வெல்ஸ்
தொலைபேசி: 43-7242-2244-39
தொலைநகல்: 43-7242-2244-393
டென்மார்க் - கோபன்ஹேகன்
தொலைபேசி: 45-4485-5910
தொலைநகல்: 45-4485-2829
பின்லாந்து - எஸ்பூ
தொலைபேசி: 358-9-4520-820
பிரான்ஸ் - பாரிஸ்
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
ஜெர்மனி - கார்ச்சிங்
தொலைபேசி: 49-8931-9700
ஜெர்மனி - ஹான்
தொலைபேசி: 49-2129-3766400
ஜெர்மனி - ஹெய்ல்பிரான்
தொலைபேசி: 49-7131-72400
ஜெர்மனி - கார்ல்ஸ்ரூஹே
தொலைபேசி: 49-721-625370
ஜெர்மனி - முனிச்
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
ஜெர்மனி - ரோசன்ஹெய்ம்
தொலைபேசி: 49-8031-354-560
இஸ்ரேல் - ஹோட் ஹஷரோன்
தொலைபேசி: 972-9-775-5100
இத்தாலி - மிலன்
தொலைபேசி: 39-0331-742611
தொலைநகல்: 39-0331-466781
இத்தாலி - படோவா
தொலைபேசி: 39-049-7625286
நெதர்லாந்து - ட்ரூனென்
தொலைபேசி: 31-416-690399
தொலைநகல்: 31-416-690340
நார்வே - ட்ரொன்ட்ஹெய்ம்
தொலைபேசி: 47-72884388
போலந்து - வார்சா
தொலைபேசி: 48-22-3325737
ருமேனியா - புக்கரெஸ்ட்
Tel: 40-21-407-87-50
ஸ்பெயின் - மாட்ரிட்
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
ஸ்வீடன் - கோதன்பெர்க்
Tel: 46-31-704-60-40
ஸ்வீடன் - ஸ்டாக்ஹோம்
தொலைபேசி: 46-8-5090-4654
யுகே - வோக்கிங்ஹாம்
தொலைபேசி: 44-118-921-5800
தொலைநகல்: 44-118-921-5820

மைக்ரோசிப் - லோகோ

ஆவணங்கள் / ஆதாரங்கள்

மைக்ரோசிப் DS00004807F போலார்ஃபயர் குடும்ப FPGA தனிப்பயன் ஓட்டம் [pdf] பயனர் வழிகாட்டி
DS00004807F போலார்ஃபயர் குடும்பம் FPGA தனிப்பயன் ஓட்டம், DS00004807F, போலார்ஃபயர் குடும்பம் FPGA தனிப்பயன் ஓட்டம், குடும்பம் FPGA தனிப்பயன் ஓட்டம், தனிப்பயன் ஓட்டம், ஓட்டம்

குறிப்புகள்

கருத்து தெரிவிக்கவும்

உங்கள் மின்னஞ்சல் முகவரி வெளியிடப்படாது. தேவையான புலங்கள் குறிக்கப்பட்டுள்ளன *