ਪੋਲਰਫਾਇਰ ਫੈਮਿਲੀ FPGA ਕਸਟਮ ਫਲੋ ਯੂਜ਼ਰ ਗਾਈਡ
ਲਿਬੇਰੋ ਐਸਓਸੀ ਵੀ2024.2
ਜਾਣ-ਪਛਾਣ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
Libero System-on-Chip (SoC) ਸਾਫਟਵੇਅਰ ਇੱਕ ਪੂਰੀ ਤਰ੍ਹਾਂ ਏਕੀਕ੍ਰਿਤ ਫੀਲਡ ਪ੍ਰੋਗਰਾਮੇਬਲ ਗੇਟ ਐਰੇ (FPGA) ਡਿਜ਼ਾਈਨ ਵਾਤਾਵਰਣ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਕੁਝ ਉਪਭੋਗਤਾ Libero SoC ਵਾਤਾਵਰਣ ਤੋਂ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਦੀ ਵਰਤੋਂ ਕਰਨਾ ਚਾਹ ਸਕਦੇ ਹਨ। Libero ਨੂੰ ਹੁਣ FPGA ਡਿਜ਼ਾਈਨ ਵਾਤਾਵਰਣ ਵਿੱਚ ਏਕੀਕ੍ਰਿਤ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ। ਪੂਰੇ FPGA ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰਨ ਲਈ Libero SoC ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕੀਤੀ ਜਾਂਦੀ ਹੈ।
ਇਹ ਯੂਜ਼ਰ ਗਾਈਡ ਪੋਲਰਫਾਇਰ ਅਤੇ ਪੋਲਰਫਾਇਰ ਐਸਓਸੀ ਫੈਮਿਲੀ ਡਿਵਾਈਸਾਂ ਲਈ ਕਸਟਮ ਫਲੋ ਦਾ ਵਰਣਨ ਕਰਦੀ ਹੈ, ਜੋ ਕਿ ਵੱਡੇ FPGA ਡਿਜ਼ਾਈਨ ਫਲੋ ਦੇ ਹਿੱਸੇ ਵਜੋਂ ਲਿਬੇਰੋ ਨੂੰ ਏਕੀਕ੍ਰਿਤ ਕਰਨ ਦੀ ਪ੍ਰਕਿਰਿਆ ਹੈ। ਸਮਰਥਿਤ ਡਿਵਾਈਸ ਫੈਮਿਲੀਜ਼® ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ ਉਹਨਾਂ ਡਿਵਾਈਸ ਪਰਿਵਾਰਾਂ ਦੀ ਸੂਚੀ ਦਿੰਦੀ ਹੈ ਜਿਨ੍ਹਾਂ ਨੂੰ ਲਿਬੇਰੋ ਐਸਓਸੀ ਸਮਰਥਨ ਦਿੰਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਇਸ ਗਾਈਡ ਵਿੱਚ ਕੁਝ ਜਾਣਕਾਰੀ ਸਿਰਫ ਡਿਵਾਈਸਾਂ ਦੇ ਇੱਕ ਖਾਸ ਪਰਿਵਾਰ 'ਤੇ ਲਾਗੂ ਹੋ ਸਕਦੀ ਹੈ। ਇਸ ਸਥਿਤੀ ਵਿੱਚ, ਅਜਿਹੀ ਜਾਣਕਾਰੀ ਸਪਸ਼ਟ ਤੌਰ 'ਤੇ ਪਛਾਣੀ ਜਾਂਦੀ ਹੈ।
ਸਾਰਣੀ 1. Libero SoC ਦੁਆਰਾ ਸਮਰਥਿਤ ਡਿਵਾਈਸ ਪਰਿਵਾਰ
ਡਿਵਾਈਸ ਪਰਿਵਾਰ | ਵਰਣਨ |
ਪੋਲਰਫਾਇਰ® | ਪੋਲਰਫਾਇਰ FPGAs ਬੇਮਿਸਾਲ ਸੁਰੱਖਿਆ ਅਤੇ ਭਰੋਸੇਯੋਗਤਾ ਦੇ ਨਾਲ ਮੱਧ-ਰੇਂਜ ਘਣਤਾ 'ਤੇ ਉਦਯੋਗ ਦੀ ਸਭ ਤੋਂ ਘੱਟ ਸ਼ਕਤੀ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹਨ। |
ਪੋਲਰਫਾਇਰ SoC | ਪੋਲਰਫਾਇਰ SoC ਪਹਿਲਾ SoC FPGA ਹੈ ਜਿਸ ਵਿੱਚ ਇੱਕ ਨਿਰਧਾਰਕ, ਸੁਮੇਲ RISC-V CPU ਕਲੱਸਟਰ ਹੈ, ਅਤੇ ਇੱਕ ਨਿਰਧਾਰਕ L2 ਮੈਮੋਰੀ ਸਬਸਿਸਟਮ ਹੈ ਜੋ Linux® ਅਤੇ ਰੀਅਲ-ਟਾਈਮ ਐਪਲੀਕੇਸ਼ਨਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। |
ਵੱਧview (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਜਦੋਂ ਕਿ Libero SoC SoC ਅਤੇ FPGA ਡਿਜ਼ਾਈਨ ਵਿਕਸਤ ਕਰਨ ਲਈ ਇੱਕ ਪੂਰੀ ਤਰ੍ਹਾਂ ਏਕੀਕ੍ਰਿਤ ਐਂਡ-ਟੂ-ਐਂਡ ਡਿਜ਼ਾਈਨ ਵਾਤਾਵਰਣ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ, ਇਹ Libero SoC ਵਾਤਾਵਰਣ ਤੋਂ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ ਦੇ ਟੂਲਸ ਨਾਲ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਉਣ ਲਈ ਲਚਕਤਾ ਵੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਕੁਝ ਡਿਜ਼ਾਈਨ ਕਦਮ Libero SoC ਵਾਤਾਵਰਣ ਦੇ ਅੰਦਰ ਹੀ ਰਹਿਣੇ ਚਾਹੀਦੇ ਹਨ।
ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ FPGA ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਵਿੱਚ ਮੁੱਖ ਕਦਮਾਂ ਦੀ ਸੂਚੀ ਦਿੰਦੀ ਹੈ ਅਤੇ ਉਹਨਾਂ ਕਦਮਾਂ ਨੂੰ ਦਰਸਾਉਂਦੀ ਹੈ ਜਿਨ੍ਹਾਂ ਲਈ Libero SoC ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਣੀ ਚਾਹੀਦੀ ਹੈ।
ਸਾਰਣੀ 1-1। FPGA ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ
ਡਿਜ਼ਾਈਨ ਫਲੋ ਸਟੈਪ | ਲਿਬੇਰੋ ਦੀ ਵਰਤੋਂ ਜ਼ਰੂਰ ਕਰੋ | ਵਰਣਨ |
ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ: HDL | ਨੰ | ਜੇਕਰ ਚਾਹੋ ਤਾਂ Libero® SoC ਦੇ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ HDL ਸੰਪਾਦਕ/ਚੈਕਰ ਟੂਲ ਦੀ ਵਰਤੋਂ ਕਰੋ। |
ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ: ਕੌਂਫਿਗਰੇਟਰ | ਹਾਂ | IP ਕੈਟਾਲਾਗ ਕੋਰ ਕੰਪੋਨੈਂਟ ਜਨਰੇਸ਼ਨ ਲਈ ਪਹਿਲਾ Libero ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ। |
ਆਟੋਮੈਟਿਕ PDC/SDC ਕੰਸਟ੍ਰੈਂਟ ਜਨਰੇਸ਼ਨ | ਨੰ | ਪ੍ਰਾਪਤ ਪਾਬੰਦੀਆਂ ਨੂੰ ਸਾਰੇ HDL ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ files ਅਤੇ ਇੱਕ derive_constraints ਉਪਯੋਗਤਾ ਜਦੋਂ Libero SoC ਤੋਂ ਬਾਹਰ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਜਿਵੇਂ ਕਿ ਅੰਤਿਕਾ C—Derive Constraints ਵਿੱਚ ਦੱਸਿਆ ਗਿਆ ਹੈ। |
ਸਿਮੂਲੇਸ਼ਨ | ਨੰ | ਜੇਕਰ ਲੋੜ ਹੋਵੇ ਤਾਂ Libero SoC ਦੇ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ ਟੂਲ ਦੀ ਵਰਤੋਂ ਕਰੋ। ਟਾਰਗੇਟ ਡਿਵਾਈਸ, ਟਾਰਗੇਟ ਸਿਮੂਲੇਟਰ, ਅਤੇ ਬੈਕਐਂਡ ਲਾਗੂ ਕਰਨ ਲਈ ਵਰਤੇ ਜਾਣ ਵਾਲੇ ਟਾਰਗੇਟ Libero ਸੰਸਕਰਣ ਲਈ ਪਹਿਲਾਂ ਤੋਂ ਕੰਪਾਈਲ ਕੀਤੀਆਂ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰਨ ਦੀ ਲੋੜ ਹੈ। |
ਸੰਸਲੇਸ਼ਣ | ਨੰ | ਜੇਕਰ ਚਾਹੋ ਤਾਂ Libero SoC ਦੇ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ ਟੂਲ ਦੀ ਵਰਤੋਂ ਕਰੋ। |
ਡਿਜ਼ਾਈਨ ਲਾਗੂਕਰਨ: ਪਾਬੰਦੀਆਂ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰੋ, ਨੈੱਟਲਿਸਟ ਕੰਪਾਇਲ ਕਰੋ, ਸਥਾਨ-ਅਤੇ- ਰੂਟ (ਵੇਖੋ ਓਵਰview) | ਹਾਂ | ਬੈਕਐਂਡ ਲਾਗੂ ਕਰਨ ਲਈ ਦੂਜਾ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ। |
ਟਾਈਮਿੰਗ ਅਤੇ ਪਾਵਰ ਵੈਰੀਫਿਕੇਸ਼ਨ | ਹਾਂ | ਦੂਜੇ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਰਹੋ। |
ਡਿਜ਼ਾਈਨ ਸ਼ੁਰੂਆਤੀ ਡੇਟਾ ਅਤੇ ਯਾਦਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ | ਹਾਂ | ਡਿਵਾਈਸ ਵਿੱਚ ਵੱਖ-ਵੱਖ ਕਿਸਮਾਂ ਦੀਆਂ ਯਾਦਾਂ ਅਤੇ ਡਿਜ਼ਾਈਨ ਸ਼ੁਰੂਆਤੀਕਰਨ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰਨ ਲਈ ਇਸ ਟੂਲ ਦੀ ਵਰਤੋਂ ਕਰੋ। ਦੂਜੇ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਰਹੋ। |
ਪ੍ਰੋਗਰਾਮਿੰਗ File ਪੀੜ੍ਹੀ | ਹਾਂ | ਦੂਜੇ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਰਹੋ। |
ਮਹੱਤਵਪੂਰਨ: ਤੁਸੀਂ 'ਤੇ ਉਪਲਬਧ ਪ੍ਰੀ-ਕੰਪਾਈਲਡ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ ਪ੍ਰੀ-ਕੰਪਾਈਲਡ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਤੀਜੀ-ਧਿਰ ਸਿਮੂਲੇਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਪੰਨਾ।
ਇੱਕ ਸ਼ੁੱਧ ਫੈਬਰਿਕ FPGA ਪ੍ਰਵਾਹ ਵਿੱਚ, HDL ਜਾਂ ਸਕੀਮੈਟਿਕ ਐਂਟਰੀ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਆਪਣਾ ਡਿਜ਼ਾਈਨ ਦਰਜ ਕਰੋ ਅਤੇ ਇਸਨੂੰ ਸਿੱਧਾ ਪਾਸ ਕਰੋ।
ਸਿੰਥੇਸਿਸ ਟੂਲਸ ਨੂੰ। ਪ੍ਰਵਾਹ ਅਜੇ ਵੀ ਸਮਰਥਿਤ ਹੈ। ਪੋਲਰਫਾਇਰ ਅਤੇ ਪੋਲਰਫਾਇਰ SoC FPGAs ਵਿੱਚ ਮਹੱਤਵਪੂਰਨ ਹਨ
ਮਲਕੀਅਤ ਵਾਲੇ ਹਾਰਡ IP ਬਲਾਕ ਜਿਨ੍ਹਾਂ ਨੂੰ Libero SoC IP ਤੋਂ ਸੰਰਚਨਾ ਕੋਰ (SgCores) ਦੀ ਵਰਤੋਂ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
ਕੈਟਾਲਾਗ। SoC ਕਾਰਜਸ਼ੀਲਤਾ ਵਾਲੇ ਕਿਸੇ ਵੀ ਬਲਾਕ ਲਈ ਵਿਸ਼ੇਸ਼ ਹੈਂਡਲਿੰਗ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ:
- ਪੋਲਰਫਾਇਰ
- ਪੀਐਫ_ਯੂਪੀਰੋਮ
- ਪੀਐਫ_ਸਿਸਟਮ_ਸਰਵਿਸਿਜ਼
- ਪੀਐਫ_ਸੀਸੀਸੀ
- ਪੀਐਫ ਸੀਐਲਕੇ ਡੀਆਈਵੀ
- ਪੀਐਫ_ਕ੍ਰਾਈਪਟੋ
- ਪੀਐਫ_ਡੀਆਰਆਈ
- PF_INIT_MONITOR
- ਪੀਐਫ_ਐਨਜੀਐਮਯੂਐਕਸ
- ਪੀਐਫ_ਓਐਸਸੀ
- ਰੈਮ (TPSRAM, DPSRAM, URAM)
– ਪੀਐਫ_ਐਸਆਰਏਐਮ_ਏਐਚਬੀਐਲ_ਐਕਸੀ
– ਪੀਐਫ_ਐਕਸਸੀਵੀਆਰ_ਈਆਰਐਮ
– ਪੀਐਫ_ਐਕਸਸੀਵੀਆਰ_ਆਰਈਐਫ_ਸੀਐਲਕੇ
- ਪੀਐਫ_ਟੀਐਕਸ_ਪੀਐਲਐਲ
- ਪੀਐਫ_ਪੀਸੀਆਈਈ
- ਪੀਐਫ_ਆਈਓ
- ਪੀਐਫ_ਆਈਓਡੀ_ਸੀਡੀਆਰ
– ਪੀਐਫ_ਆਈਓਡੀ_ਸੀਡੀਆਰ_ਸੀਸੀਸੀ
– ਪੀਐਫ_ਆਈਓਡੀ_ਜਨਰਿਕ_ਆਰਐਕਸ
– ਪੀਐਫ_ਆਈਓਡੀ_ਜਨਰਿਕ_ਟੀਐਕਸ
– ਪੀਐਫ_ਆਈਓਡੀ_ਜਨਰਿਕ_ਟੀਐਕਸ_ਸੀਸੀਸੀ
– ਪੀਐਫ_ਆਰਜੀਐਮਆਈਆਈ_ਟੂ_ਜੀਐਮਆਈਆਈ
– ਪੀਐਫ_ਆਈਓਡੀ_ਓਕਟਲ_ਡੀਡੀਆਰ
- ਪੀਐਫ_ਡੀਡੀਆਰ3
- ਪੀਐਫ_ਡੀਡੀਆਰ4
- ਪੀਐਫ_ਐਲਪੀਡੀਡੀਆਰ3
– ਪੀਐਫ_ਕਿਊਡੀਆਰ
– ਪੀਐਫ_ਕੋਰਸਮਾਰਟਬਰਟ
– ਪੀਐਫ_ਟੀAMPER
– PF_TVS, ਅਤੇ ਹੋਰ।
ਪਹਿਲਾਂ ਸੂਚੀਬੱਧ SgCores ਤੋਂ ਇਲਾਵਾ, Libero SoC ਕੈਟਾਲਾਗ ਵਿੱਚ PolarFire ਅਤੇ PolarFire SoC ਡਿਵਾਈਸ ਪਰਿਵਾਰਾਂ ਲਈ ਬਹੁਤ ਸਾਰੇ DirectCore ਸਾਫਟ IP ਉਪਲਬਧ ਹਨ ਜੋ FPGA ਫੈਬਰਿਕ ਸਰੋਤਾਂ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ।
ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ ਲਈ, ਜੇਕਰ ਤੁਸੀਂ ਪਿਛਲੇ ਕਿਸੇ ਵੀ ਹਿੱਸੇ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ (ਕੰਪੋਨੈਂਟ ਕੌਂਫਿਗਰੇਸ਼ਨ) ਦੇ ਹਿੱਸੇ ਲਈ Libero SoC ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ, ਪਰ ਤੁਸੀਂ Libero ਤੋਂ ਬਾਹਰ ਆਪਣੀ ਬਾਕੀ ਦੀ ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ (HDL ਐਂਟਰੀ, ਅਤੇ ਇਸ ਤਰ੍ਹਾਂ) ਜਾਰੀ ਰੱਖ ਸਕਦੇ ਹੋ। Libero ਤੋਂ ਬਾਹਰ FPGA ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰਨ ਲਈ, ਇਸ ਗਾਈਡ ਦੇ ਬਾਕੀ ਹਿੱਸੇ ਵਿੱਚ ਦਿੱਤੇ ਗਏ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ।
1.1 ਕੰਪੋਨੈਂਟ ਲਾਈਫ ਸਾਈਕਲ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਹੇਠ ਦਿੱਤੇ ਕਦਮ ਇੱਕ SoC ਕੰਪੋਨੈਂਟ ਦੇ ਜੀਵਨ ਚੱਕਰ ਦਾ ਵਰਣਨ ਕਰਦੇ ਹਨ ਅਤੇ ਡੇਟਾ ਨੂੰ ਕਿਵੇਂ ਸੰਭਾਲਣਾ ਹੈ ਇਸ ਬਾਰੇ ਨਿਰਦੇਸ਼ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹਨ।
- Libero SoC ਵਿੱਚ ਇਸਦੇ ਕੌਂਫਿਗਰੇਟਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਕੰਪੋਨੈਂਟ ਤਿਆਰ ਕਰੋ। ਇਹ ਹੇਠ ਲਿਖੀਆਂ ਕਿਸਮਾਂ ਦਾ ਡੇਟਾ ਤਿਆਰ ਕਰਦਾ ਹੈ:
- ਐਚਡੀਐਲ files
- ਮੈਮੋਰੀ files
- ਉਤੇਜਨਾ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ files
- ਕੰਪੋਨੈਂਟ SDC file - ਐਚਡੀਐਲ ਲਈ files, ਬਾਹਰੀ ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ ਟੂਲ/ਪ੍ਰਕਿਰਿਆ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਉਹਨਾਂ ਨੂੰ ਬਾਕੀ HDL ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਇੰਸਟੈਂਟੀਏਟ ਅਤੇ ਏਕੀਕ੍ਰਿਤ ਕਰੋ।
- ਸਪਲਾਈ ਮੈਮੋਰੀ files ਅਤੇ ਉਤੇਜਨਾ fileਤੁਹਾਡੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ ਲਈ।
- ਸਪਲਾਈ ਕੰਪੋਨੈਂਟ SDC file ਕੰਸਟ੍ਰੈਂਟ ਜਨਰੇਸ਼ਨ ਲਈ ਡੈਰੀਵ ਕੰਸਟ੍ਰੈਂਟ ਟੂਲ। ਹੋਰ ਵੇਰਵਿਆਂ ਲਈ ਅੰਤਿਕਾ C—ਡੈਰੀਵ ਕੰਸਟ੍ਰੈਂਟਸ ਵੇਖੋ।
- ਤੁਹਾਨੂੰ ਇੱਕ ਦੂਜਾ ਲਾਇਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ, ਜਿੱਥੇ ਤੁਸੀਂ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ ਨੈੱਟਲਿਸਟ ਅਤੇ ਆਪਣੇ ਕੰਪੋਨੈਂਟ ਮੈਟਾਡੇਟਾ ਨੂੰ ਆਯਾਤ ਕਰਦੇ ਹੋ, ਇਸ ਤਰ੍ਹਾਂ ਤੁਹਾਡੇ ਦੁਆਰਾ ਤਿਆਰ ਕੀਤੇ ਗਏ ਅਤੇ ਤੁਹਾਡੇ ਦੁਆਰਾ ਪ੍ਰੋਗਰਾਮ ਕੀਤੇ ਗਏ ਵਿਚਕਾਰ ਸਬੰਧ ਨੂੰ ਪੂਰਾ ਕਰਦੇ ਹੋ।
1.2 Libero SoC ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਕੁਝ ਡਿਜ਼ਾਈਨ ਕਦਮ Libero SoC ਵਾਤਾਵਰਣ ਦੇ ਅੰਦਰ ਚਲਾਏ ਜਾਣੇ ਚਾਹੀਦੇ ਹਨ (ਸਾਰਣੀ 1-1)। ਇਹਨਾਂ ਕਦਮਾਂ ਨੂੰ ਚਲਾਉਣ ਲਈ, ਤੁਹਾਨੂੰ ਦੋ Libero SoC ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣੇ ਚਾਹੀਦੇ ਹਨ। ਪਹਿਲਾ ਪ੍ਰੋਜੈਕਟ ਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟ ਕੌਂਫਿਗਰੇਸ਼ਨ ਅਤੇ ਜਨਰੇਸ਼ਨ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਅਤੇ ਦੂਜਾ ਪ੍ਰੋਜੈਕਟ ਉੱਚ-ਪੱਧਰੀ ਡਿਜ਼ਾਈਨ ਦੇ ਭੌਤਿਕ ਲਾਗੂਕਰਨ ਲਈ ਹੈ।
1.3 ਕਸਟਮ ਫਲੋ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਹੇਠ ਦਿੱਤੀ ਤਸਵੀਰ ਦਰਸਾਉਂਦੀ ਹੈ:
- Libero SoC ਨੂੰ Libero SoC ਵਾਤਾਵਰਣ ਤੋਂ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਦੇ ਨਾਲ ਵੱਡੇ FPGA ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਦੇ ਹਿੱਸੇ ਵਜੋਂ ਜੋੜਿਆ ਜਾ ਸਕਦਾ ਹੈ।
- ਇਸ ਪ੍ਰਵਾਹ ਵਿੱਚ ਸ਼ਾਮਲ ਕਈ ਕਦਮ, ਡਿਜ਼ਾਈਨ ਬਣਾਉਣ ਤੋਂ ਸ਼ੁਰੂ ਹੋ ਕੇ ਅਤੇ ਸਿਲਾਈ ਕਰਨ ਤੋਂ ਲੈ ਕੇ ਡਿਵਾਈਸ ਦੀ ਪ੍ਰੋਗਰਾਮਿੰਗ ਤੱਕ।
- ਡੇਟਾ ਐਕਸਚੇਂਜ (ਇਨਪੁਟ ਅਤੇ ਆਉਟਪੁੱਟ) ਜੋ ਹਰੇਕ ਡਿਜ਼ਾਈਨ ਫਲੋ ਸਟੈਪ 'ਤੇ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।
ਸੁਝਾਅ:
- SNVM.cfg, UPROM.cfg
- *.ਮੈਮ file ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਜਨਰੇਸ਼ਨ: pa4rtupromgen.exe UPROM.cfg ਨੂੰ ਇਨਪੁੱਟ ਵਜੋਂ ਲੈਂਦਾ ਹੈ ਅਤੇ UPROM.mem ਤਿਆਰ ਕਰਦਾ ਹੈ।
ਕਸਟਮ ਪ੍ਰਵਾਹ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਕਦਮ ਹਨ:
- ਕੰਪੋਨੈਂਟ ਸੰਰਚਨਾ ਅਤੇ ਪੀੜ੍ਹੀ:
a. ਪਹਿਲਾ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ (ਇੱਕ ਰੈਫਰੈਂਸ ਪ੍ਰੋਜੈਕਟ ਵਜੋਂ ਕੰਮ ਕਰਨ ਲਈ)।
ਅ. ਕੈਟਾਲਾਗ ਵਿੱਚੋਂ ਕੋਰ ਚੁਣੋ। ਕੋਰ ਨੂੰ ਇੱਕ ਕੰਪੋਨੈਂਟ ਨਾਮ ਦੇਣ ਲਈ ਉਸ 'ਤੇ ਡਬਲ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਕੰਪੋਨੈਂਟ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ।
ਇਹ ਆਪਣੇ ਆਪ ਹੀ ਕੰਪੋਨੈਂਟ ਡੇਟਾ ਨਿਰਯਾਤ ਕਰਦਾ ਹੈ ਅਤੇ files. ਇੱਕ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਵੀ ਤਿਆਰ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਵੇਰਵਿਆਂ ਲਈ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਵੇਖੋ। ਹੋਰ ਵੇਰਵਿਆਂ ਲਈ, ਕੰਪੋਨੈਂਟ ਕੌਂਫਿਗਰੇਸ਼ਨ ਵੇਖੋ। - ਲਿਬੇਰੋ ਤੋਂ ਬਾਹਰ ਆਪਣਾ RTL ਡਿਜ਼ਾਈਨ ਪੂਰਾ ਕਰੋ:
a. HDL ਕੰਪੋਨੈਂਟ ਨੂੰ ਇੰਸਟੈਂਟੀਏਟ ਕਰੋ files.
b. HDL ਦੀ ਸਥਿਤੀ files ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਵਿੱਚ ਸੂਚੀਬੱਧ ਹੈ files. - ਕੰਪੋਨੈਂਟਸ ਲਈ SDC ਕੰਸਟ੍ਰੈਂਟਸ ਤਿਆਰ ਕਰੋ। ਟਾਈਮਿੰਗ ਕੰਸਟ੍ਰੈਂਟਸ ਬਣਾਉਣ ਲਈ Derive Constrants ਯੂਟਿਲਿਟੀ ਦੀ ਵਰਤੋਂ ਕਰੋ। file(SDC) ਇਸ 'ਤੇ ਅਧਾਰਤ:
a. ਕੰਪੋਨੈਂਟ HDL files
b. ਕੰਪੋਨੈਂਟ SDC files
c. ਯੂਜ਼ਰ ਐਚਡੀਐਲ files
ਹੋਰ ਵੇਰਵਿਆਂ ਲਈ, ਅੰਤਿਕਾ C—ਡੈਰੀਵ ਪਾਬੰਦੀਆਂ ਵੇਖੋ। - ਸਿੰਥੇਸਿਸ ਟੂਲ/ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ:
a. HDL ਪ੍ਰਾਪਤ ਕਰੋ files, ਉਤੇਜਕ files, ਅਤੇ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਵਿੱਚ ਦੱਸੇ ਗਏ ਖਾਸ ਸਥਾਨਾਂ ਤੋਂ ਕੰਪੋਨੈਂਟ ਡੇਟਾ।
b. Libero SoC ਤੋਂ ਬਾਹਰ ਤੀਜੀ-ਧਿਰ ਦੇ ਟੂਲਸ ਨਾਲ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸਿੰਥੇਸਾਈਜ਼ ਅਤੇ ਸਿਮੂਲੇਟ ਕਰੋ। - ਆਪਣਾ ਦੂਜਾ (ਲਾਗੂਕਰਨ) ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ।
- ਡਿਜ਼ਾਈਨ ਫਲੋ ਟੂਲ ਚੇਨ ਤੋਂ ਸਿੰਥੇਸਿਸ ਹਟਾਓ (ਪ੍ਰੋਜੈਕਟ > ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗਾਂ > ਡਿਜ਼ਾਈਨ ਫਲੋ > ਇਨੇਬਲ ਸਿੰਥੇਸਿਸ ਚੈੱਕ ਬਾਕਸ ਨੂੰ ਸਾਫ਼ ਕਰੋ)।
- ਡਿਜ਼ਾਈਨ ਸਰੋਤ ਆਯਾਤ ਕਰੋ files (ਸਿੰਥੇਸਿਸ ਟੂਲ ਤੋਂ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ *.vm ਨੈੱਟਲਿਸਟ):
- ਪੋਸਟ-ਸਿੰਥੇਸਿਸ ਆਯਾਤ ਕਰੋ *.vm ਨੈੱਟਲਿਸਟ (File>ਆਯਾਤ ਕਰੋ> ਸਿੰਥੇਸਾਈਜ਼ਡ ਵੇਰੀਲੋਗ ਨੈੱਟਲਿਸਟ (VM))।
– ਕੰਪੋਨੈਂਟ ਮੈਟਾਡੇਟਾ *.cfg fileuPROM ਅਤੇ/ਜਾਂ sNVM ਲਈ s। - ਕੋਈ ਵੀ Libero SoC ਬਲਾਕ ਕੰਪੋਨੈਂਟ ਆਯਾਤ ਕਰੋ fileਸ. ਬਲਾਕ files *.cxz ਵਿੱਚ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ file ਫਾਰਮੈਟ।
ਬਲਾਕ ਕਿਵੇਂ ਬਣਾਉਣਾ ਹੈ ਇਸ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ, ਵੇਖੋ ਪੋਲਰਫਾਇਰ ਬਲਾਕ ਫਲੋ ਯੂਜ਼ਰ ਗਾਈਡ. - ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ ਨੂੰ ਆਯਾਤ ਕਰੋ:
- ਆਯਾਤ I/O ਪਾਬੰਦੀ files (ਪਾਬੰਦੀਆਂ ਪ੍ਰਬੰਧਕ > I/O ਗੁਣ > ਆਯਾਤ)।
- ਫਲੋਰ ਪਲੈਨਿੰਗ ਆਯਾਤ ਕਰੋ *.pdc files (ਪਾਬੰਦੀਆਂ ਪ੍ਰਬੰਧਕ > ਫਲੋਰ ਪਲੈਨਰ > ਆਯਾਤ)।
- ਆਯਾਤ *.sdc ਸਮੇਂ ਦੀ ਸੀਮਾ files (ਪਾਬੰਦੀਆਂ ਪ੍ਰਬੰਧਕ > ਸਮਾਂ >ਆਯਾਤ)। SDC ਆਯਾਤ ਕਰੋ file Derive Constraint ਟੂਲ ਰਾਹੀਂ ਤਿਆਰ ਕੀਤਾ ਗਿਆ।
- ਆਯਾਤ *.ndc ਪਾਬੰਦੀ files (ਕੰਸਟ੍ਰੈਂਟਸ ਮੈਨੇਜਰ > ਨੈੱਟਲਿਸਟਐਟ੍ਰੀਬਿਊਟਸ > ਇੰਪੋਰਟ), ਜੇਕਰ ਕੋਈ ਹੋਵੇ। - ਪਾਬੰਦੀ file ਅਤੇ ਟੂਲ ਐਸੋਸੀਏਸ਼ਨ
– ਕੰਸਟ੍ਰੈਂਟ ਮੈਨੇਜਰ ਵਿੱਚ, *.pdc ਨੂੰ ਜੋੜੋ fileਸਥਾਨ ਅਤੇ ਰੂਟ ਲਈ s, *.sdc fileਸਥਾਨ ਅਤੇ ਰੂਟ ਅਤੇ ਸਮੇਂ ਦੀ ਤਸਦੀਕ ਲਈ, ਅਤੇ *.ndc files ਨੂੰ ਕੰਪਾਈਲ ਨੈੱਟਲਿਸਟ ਲਈ। - ਪੂਰਾ ਡਿਜ਼ਾਈਨ ਲਾਗੂਕਰਨ
- ਸਥਾਨ ਅਤੇ ਰਸਤਾ, ਸਮਾਂ ਅਤੇ ਸ਼ਕਤੀ ਦੀ ਪੁਸ਼ਟੀ, ਡਿਜ਼ਾਈਨ ਸ਼ੁਰੂਆਤੀ ਡੇਟਾ ਅਤੇ ਯਾਦਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨਾ, ਅਤੇ ਪ੍ਰੋਗਰਾਮਿੰਗ file ਪੀੜ੍ਹੀ। - ਡਿਜ਼ਾਈਨ ਦੀ ਪੁਸ਼ਟੀ ਕਰੋ
- Libero SoC ਡਿਜ਼ਾਈਨ ਸੂਟ ਨਾਲ ਪ੍ਰਦਾਨ ਕੀਤੇ ਗਏ ਡਿਜ਼ਾਈਨ ਟੂਲਸ ਦੀ ਵਰਤੋਂ ਕਰਕੇ FPGA 'ਤੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਪ੍ਰਮਾਣਿਤ ਕਰੋ ਅਤੇ ਲੋੜ ਅਨੁਸਾਰ ਡੀਬੱਗ ਕਰੋ।
ਕੰਪੋਨੈਂਟ ਸੰਰਚਨਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਕਸਟਮ ਪ੍ਰਵਾਹ ਵਿੱਚ ਪਹਿਲਾ ਕਦਮ ਇੱਕ Libero ਸੰਦਰਭ ਪ੍ਰੋਜੈਕਟ (ਜਿਸਨੂੰ ਟੇਬਲ 1-1 ਵਿੱਚ ਪਹਿਲਾ Libero ਪ੍ਰੋਜੈਕਟ ਵੀ ਕਿਹਾ ਜਾਂਦਾ ਹੈ) ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਆਪਣੇ ਹਿੱਸਿਆਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨਾ ਹੈ। ਅਗਲੇ ਕਦਮਾਂ ਵਿੱਚ, ਤੁਸੀਂ ਇਸ ਸੰਦਰਭ ਪ੍ਰੋਜੈਕਟ ਤੋਂ ਡੇਟਾ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ।
ਜੇਕਰ ਤੁਸੀਂ ਪਹਿਲਾਂ ਸੂਚੀਬੱਧ ਕਿਸੇ ਵੀ ਹਿੱਸੇ ਦੀ ਵਰਤੋਂ ਕਰ ਰਹੇ ਹੋ, ਤਾਂ ਓਵਰ ਦੇ ਅਧੀਨview ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ, ਇਸ ਭਾਗ ਵਿੱਚ ਦੱਸੇ ਗਏ ਕਦਮਾਂ ਨੂੰ ਪੂਰਾ ਕਰੋ।
ਜੇਕਰ ਤੁਸੀਂ ਉਪਰੋਕਤ ਕਿਸੇ ਵੀ ਹਿੱਸੇ ਦੀ ਵਰਤੋਂ ਨਹੀਂ ਕਰ ਰਹੇ ਹੋ, ਤਾਂ ਤੁਸੀਂ ਆਪਣਾ RTL Libero ਤੋਂ ਬਾਹਰ ਲਿਖ ਸਕਦੇ ਹੋ ਅਤੇ ਇਸਨੂੰ ਸਿੱਧੇ ਆਪਣੇ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਵਿੱਚ ਆਯਾਤ ਕਰ ਸਕਦੇ ਹੋ। ਫਿਰ ਤੁਸੀਂ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ ਸੈਕਸ਼ਨ ਵਿੱਚ ਜਾ ਸਕਦੇ ਹੋ ਅਤੇ ਸਿਰਫ਼ ਆਪਣੀ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ *.vm ਨੈੱਟਲਿਸਟ ਨੂੰ ਆਪਣੇ ਅੰਤਿਮ Libero ਲਾਗੂਕਰਨ ਪ੍ਰੋਜੈਕਟ (ਸਾਰਣੀ 1-1 ਵਿੱਚ ਦੂਜਾ Libero ਪ੍ਰੋਜੈਕਟ ਵੀ ਕਿਹਾ ਜਾਂਦਾ ਹੈ) ਵਿੱਚ ਆਯਾਤ ਕਰ ਸਕਦੇ ਹੋ।
2.1 ਲਿਬੇਰੋ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਕੰਪੋਨੈਂਟ ਕੌਂਫਿਗਰੇਸ਼ਨ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਪਿਛਲੀ ਸੂਚੀ ਵਿੱਚੋਂ ਵਰਤੇ ਜਾਣ ਵਾਲੇ ਹਿੱਸਿਆਂ ਦੀ ਚੋਣ ਕਰਨ ਤੋਂ ਬਾਅਦ, ਹੇਠ ਲਿਖੇ ਕਦਮ ਚੁੱਕੋ:
- ਇੱਕ ਨਵਾਂ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ (ਕੋਰ ਕੌਂਫਿਗਰੇਸ਼ਨ ਅਤੇ ਜਨਰੇਸ਼ਨ): ਉਹ ਡਿਵਾਈਸ ਅਤੇ ਫੈਮਿਲੀ ਚੁਣੋ ਜਿਸ 'ਤੇ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਿਮ ਡਿਜ਼ਾਈਨ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਂਦੇ ਹੋ।
- ਕਸਟਮ ਫਲੋ ਵਿੱਚ ਦੱਸੇ ਗਏ ਇੱਕ ਜਾਂ ਵੱਧ ਕੋਰਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ।
a. ਇੱਕ ਸਮਾਰਟਡਿਜ਼ਾਈਨ ਬਣਾਓ ਅਤੇ ਲੋੜੀਂਦੇ ਕੋਰ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ ਅਤੇ ਇਸਨੂੰ ਸਮਾਰਟਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟ ਵਿੱਚ ਸਥਾਪਿਤ ਕਰੋ।
ਅ. ਸਾਰੇ ਪਿੰਨਾਂ ਨੂੰ ਉੱਪਰਲੇ ਪੱਧਰ 'ਤੇ ਵਧਾਓ।
c. ਸਮਾਰਟਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰੋ।
d. ਸਿਮੂਲੇਟਰ ਨੂੰ ਇਨਵੋਕ ਕਰਨ ਲਈ ਸਿਮੂਲੇਟ ਟੂਲ (ਪ੍ਰੀ-ਸਿੰਥੇਸਿਸ ਜਾਂ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ ਜਾਂ ਪੋਸਟ-ਲੇਆਉਟ ਵਿਕਲਪਾਂ ਵਿੱਚੋਂ ਕੋਈ ਵੀ) 'ਤੇ ਡਬਲ ਕਲਿੱਕ ਕਰੋ। ਸਿਮੂਲੇਟਰ ਨੂੰ ਇਨਵੋਕ ਕਰਨ ਤੋਂ ਬਾਅਦ ਤੁਸੀਂ ਇਸ ਤੋਂ ਬਾਹਰ ਆ ਸਕਦੇ ਹੋ। ਇਹ ਕਦਮ ਸਿਮੂਲੇਸ਼ਨ ਤਿਆਰ ਕਰਦਾ ਹੈ। fileਤੁਹਾਡੇ ਪ੍ਰੋਜੈਕਟ ਲਈ ਜ਼ਰੂਰੀ ਹੈ।
ਸੁਝਾਅ: ਤੁਸੀਂ ਜੇਕਰ ਤੁਸੀਂ Libero ਤੋਂ ਬਾਹਰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਇਹ ਕਦਮ ਜ਼ਰੂਰ ਪੂਰਾ ਕਰੋ।
ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ, ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਵੇਖੋ।
e. ਆਪਣਾ ਪ੍ਰੋਜੈਕਟ ਸੇਵ ਕਰੋ—ਇਹ ਤੁਹਾਡਾ ਰੈਫਰੈਂਸ ਪ੍ਰੋਜੈਕਟ ਹੈ।
2.2 ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਜਦੋਂ ਤੁਸੀਂ ਆਪਣੇ ਹਿੱਸੇ ਤਿਆਰ ਕਰਦੇ ਹੋ, ਤਾਂ ਇੱਕ ਸੈੱਟ fileਹਰੇਕ ਹਿੱਸੇ ਲਈ s ਤਿਆਰ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟ ਦੇ ਸੈੱਟ ਦਾ ਵੇਰਵਾ ਦਿੰਦੀ ਹੈ files ਤਿਆਰ ਕੀਤੇ ਗਏ ਹਨ ਅਤੇ ਹਰੇਕ ਅਗਲੇ ਪੜਾਅ (ਸਿੰਥੇਸਿਸ, ਸਿਮੂਲੇਸ਼ਨ, ਫਰਮਵੇਅਰ ਜਨਰੇਸ਼ਨ, ਅਤੇ ਇਸ ਤਰ੍ਹਾਂ ਦੇ) ਵਿੱਚ ਵਰਤੇ ਗਏ ਹਨ। ਇਹ ਰਿਪੋਰਟ ਤੁਹਾਨੂੰ ਸਾਰੇ ਤਿਆਰ ਕੀਤੇ ਗਏ ਸਥਾਨਾਂ ਦੀ ਜਾਣਕਾਰੀ ਦਿੰਦੀ ਹੈ। fileਕਸਟਮ ਫਲੋ ਨਾਲ ਅੱਗੇ ਵਧਣ ਲਈ s ਦੀ ਲੋੜ ਹੈ। ਤੁਸੀਂ ਰਿਪੋਰਟਸ ਖੇਤਰ ਵਿੱਚ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕਦੇ ਹੋ: ਰਿਪੋਰਟਸ ਟੈਬ ਖੋਲ੍ਹਣ ਲਈ ਡਿਜ਼ਾਈਨ > ਰਿਪੋਰਟਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਰਿਪੋਰਟਸ ਟੈਬ ਵਿੱਚ, ਤੁਸੀਂ manifest.txt ਦਾ ਇੱਕ ਸੈੱਟ ਦੇਖਦੇ ਹੋ। files (ਉੱਪਰview), ਤੁਹਾਡੇ ਦੁਆਰਾ ਤਿਆਰ ਕੀਤੇ ਹਰੇਕ ਹਿੱਸੇ ਲਈ ਇੱਕ।
ਸੁਝਾਅ: ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਦੇਖਣ ਲਈ ਤੁਹਾਨੂੰ ਇੱਕ ਕੰਪੋਨੈਂਟ ਜਾਂ ਮੋਡੀਊਲ ਨੂੰ '"ਰੂਟ" ਵਜੋਂ ਸੈੱਟ ਕਰਨਾ ਪਵੇਗਾ। file ਰਿਪੋਰਟਾਂ ਟੈਬ ਵਿੱਚ ਸਮੱਗਰੀ।
ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ ਵਿਅਕਤੀਗਤ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟ ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕਦੇ ਹੋ fileਹਰੇਕ ਕੋਰ ਕੰਪੋਨੈਂਟ ਲਈ s ਤਿਆਰ ਕੀਤੇ ਗਏ ਹਨ ਜਾਂ ਸਮਾਰਟਡਿਜ਼ਾਈਨ ਕੰਪੋਨੈਂਟ ਤੋਂ /ਕੰਪੋਨੈਂਟ/ਕੰਮ/ / / _manifest.txt ਜਾਂ /ਕੰਪੋਨੈਂਟ/ਕੰਮ/ / _manifest.txt. ਤੁਸੀਂ ਮੈਨੀਫੈਸਟ ਤੱਕ ਵੀ ਪਹੁੰਚ ਕਰ ਸਕਦੇ ਹੋ file ਲਿਬੇਰੋ ਵਿੱਚ ਨਵੇਂ ਕੰਪੋਨੈਂਟਸ ਟੈਬ ਤੋਂ ਤਿਆਰ ਕੀਤੇ ਗਏ ਹਰੇਕ ਕੰਪੋਨੈਂਟ ਦੀ ਸਮੱਗਰੀ, ਜਿੱਥੇ file ਪ੍ਰੋਜੈਕਟ ਡਾਇਰੈਕਟਰੀ ਦੇ ਸੰਬੰਧ ਵਿੱਚ ਸਥਾਨਾਂ ਦਾ ਜ਼ਿਕਰ ਕੀਤਾ ਗਿਆ ਹੈ।ਹੇਠ ਲਿਖੀਆਂ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟਾਂ 'ਤੇ ਧਿਆਨ ਕੇਂਦਰਿਤ ਕਰੋ:
- ਜੇਕਰ ਤੁਸੀਂ ਕੋਰਾਂ ਨੂੰ ਸਮਾਰਟਡਿਜ਼ਾਈਨ ਵਿੱਚ ਇੰਸਟੈਂਟੀਏਟ ਕੀਤਾ ਹੈ, ਤਾਂ ਪੜ੍ਹੋ file _ਮੈਨੀਫੈਸਟ.txt.
- ਜੇਕਰ ਤੁਸੀਂ ਕੋਰਾਂ ਲਈ ਕੰਪੋਨੈਂਟ ਬਣਾਏ ਹਨ, ਤਾਂ ਪੜ੍ਹੋ _ਮੈਨੀਫੈਸਟ.txt.
ਤੁਹਾਨੂੰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ 'ਤੇ ਲਾਗੂ ਹੋਣ ਵਾਲੀਆਂ ਸਾਰੀਆਂ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਉਦਾਹਰਣ ਵਜੋਂampਹਾਂ, ਜੇਕਰ ਤੁਹਾਡੇ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਇੱਕ ਸਮਾਰਟਡਿਜ਼ਾਈਨ ਹੈ ਜਿਸ ਵਿੱਚ ਇੱਕ ਜਾਂ ਇੱਕ ਤੋਂ ਵੱਧ ਕੋਰ ਕੰਪੋਨੈਂਟ ਇੰਸਟੈਂਟ ਕੀਤੇ ਗਏ ਹਨ ਅਤੇ ਤੁਸੀਂ ਉਹਨਾਂ ਸਾਰਿਆਂ ਨੂੰ ਆਪਣੇ ਅੰਤਿਮ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਵਰਤਣ ਦਾ ਇਰਾਦਾ ਰੱਖਦੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ ਚੁਣਨਾ ਚਾਹੀਦਾ ਹੈ fileਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਵਿੱਚ ਵਰਤੋਂ ਲਈ ਉਹਨਾਂ ਸਾਰੇ ਹਿੱਸਿਆਂ ਦੀਆਂ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟਾਂ ਵਿੱਚ ਸੂਚੀਬੱਧ s।
2.3 ਵਿਆਖਿਆ ਮੈਨੀਫੈਸਟ Files (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਜਦੋਂ ਤੁਸੀਂ ਇੱਕ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਖੋਲ੍ਹਦੇ ਹੋ file, ਤੁਸੀਂ ਰਸਤੇ ਦੇਖਦੇ ਹੋ fileਤੁਹਾਡੇ Libero ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ s ਅਤੇ ਡਿਜ਼ਾਈਨ ਫਲੋ ਵਿੱਚ ਉਹਨਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ ਪੁਆਇੰਟਰ। ਤੁਸੀਂ ਹੇਠ ਲਿਖੀਆਂ ਕਿਸਮਾਂ ਦੇਖ ਸਕਦੇ ਹੋ fileਇੱਕ ਮੈਨੀਫੈਸਟ ਵਿੱਚ file:
- HDL ਸਰੋਤ fileਸਾਰੇ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਲਈ s
- ਉਤੇਜਨਾ fileਸਾਰੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਲਈ s
- ਪਾਬੰਦੀ files
ਪੋਲਰਫਾਇਰ ਕੋਰ ਕੰਪੋਨੈਂਟ ਦਾ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਹੇਠਾਂ ਦਿੱਤਾ ਗਿਆ ਹੈ।ਹਰੇਕ ਕਿਸਮ ਦੀ file ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਵਿੱਚ ਹੇਠਲੀ ਧਾਰਾ ਜ਼ਰੂਰੀ ਹੈ। ਅਗਲੇ ਭਾਗ ਏਕੀਕਰਨ ਦਾ ਵਰਣਨ ਕਰਦੇ ਹਨ fileਮੈਨੀਫੈਸਟ ਤੋਂ ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਵਿੱਚ s।
ਕੰਸਟ੍ਰੈਂਟ ਜਨਰੇਸ਼ਨ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਸੰਰਚਨਾ ਅਤੇ ਜਨਰੇਸ਼ਨ ਕਰਦੇ ਸਮੇਂ, SDC/PDC/NDC ਸੀਮਾ ਲਿਖਣਾ/ਜਨਰੇਟ ਕਰਨਾ ਯਕੀਨੀ ਬਣਾਓ। fileਡਿਜ਼ਾਈਨ ਨੂੰ ਸਿੰਥੇਸਿਸ, ਪਲੇਸ-ਐਂਡ-ਰੂਟ, ਅਤੇ ਵੈਰੀਫਾਈ ਟਾਈਮਿੰਗ ਟੂਲਸ ਨੂੰ ਪਾਸ ਕਰਨ ਲਈ।
ਲਿਬੇਰੋ ਵਾਤਾਵਰਣ ਦੇ ਬਾਹਰ ਡੈਰੀਵ ਕੰਸਟ੍ਰੈਂਟਸ ਉਪਯੋਗਤਾ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਉਹਨਾਂ ਨੂੰ ਹੱਥੀਂ ਲਿਖਣ ਦੀ ਬਜਾਏ ਰੁਕਾਵਟਾਂ ਪੈਦਾ ਕਰੋ। ਲਿਬੇਰੋ ਵਾਤਾਵਰਣ ਦੇ ਬਾਹਰ ਡੈਰੀਵ ਕੰਸਟ੍ਰੈਂਟ ਉਪਯੋਗਤਾ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ, ਤੁਹਾਨੂੰ ਇਹ ਕਰਨਾ ਪਵੇਗਾ:
- ਸਪਲਾਈ ਯੂਜ਼ਰ HDL, ਕੰਪੋਨੈਂਟ HDL, ਅਤੇ ਕੰਪੋਨੈਂਟ SDC ਕੰਸਟ੍ਰੈਂਟ files
- ਉੱਚ ਪੱਧਰੀ ਮੋਡੀਊਲ ਨਿਰਧਾਰਤ ਕਰੋ
- ਉਹ ਸਥਾਨ ਦੱਸੋ ਜਿੱਥੇ ਪ੍ਰਾਪਤ ਕੀਤੀ ਰੁਕਾਵਟ ਪੈਦਾ ਕਰਨੀ ਹੈ। files
SDC ਕੰਪੋਨੈਂਟ ਪਾਬੰਦੀਆਂ ਹੇਠ ਉਪਲਬਧ ਹਨ /ਕੰਪੋਨੈਂਟ/ਕੰਮ/ / / ਡਾਇਰੈਕਟਰੀ ਕੰਪੋਨੈਂਟ ਸੰਰਚਨਾ ਅਤੇ ਪੀੜ੍ਹੀ ਤੋਂ ਬਾਅਦ।
ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਲਈ ਰੁਕਾਵਟਾਂ ਕਿਵੇਂ ਪੈਦਾ ਕਰਨੀਆਂ ਹਨ, ਇਸ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ, ਅੰਤਿਕਾ C—ਡੈਰਾਈਵ ਰੁਕਾਵਟਾਂ ਵੇਖੋ।
ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਦਾ ਸੰਸਲੇਸ਼ਣ ਕਰਨਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਕਸਟਮ ਫਲੋ ਦੀਆਂ ਮੁੱਖ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਵਿੱਚੋਂ ਇੱਕ ਇਹ ਹੈ ਕਿ ਤੁਸੀਂ ਤੀਜੀ-ਧਿਰ ਦੇ ਸੰਸਲੇਸ਼ਣ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ
ਲਿਬੇਰੋ ਤੋਂ ਬਾਹਰ ਟੂਲ। ਕਸਟਮ ਫਲੋ Synopsys SynplifyPro ਦੀ ਵਰਤੋਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਆਪਣੇ ਸਿੰਥੇਸਾਈਜ਼ ਕਰਨ ਲਈ
ਪ੍ਰੋਜੈਕਟ ਲਈ, ਹੇਠ ਲਿਖੀ ਪ੍ਰਕਿਰਿਆ ਦੀ ਵਰਤੋਂ ਕਰੋ:
- ਆਪਣੇ ਸਿੰਥੇਸਿਸ ਟੂਲ ਵਿੱਚ ਇੱਕ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ, ਜੋ ਕਿ ਤੁਹਾਡੇ ਦੁਆਰਾ ਬਣਾਏ ਗਏ Libero ਪ੍ਰੋਜੈਕਟ ਵਾਂਗ ਡਿਵਾਈਸ ਫੈਮਿਲੀ, ਡਾਈ ਅਤੇ ਪੈਕੇਜ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਂਦਾ ਹੈ।
a. ਆਪਣਾ ਖੁਦ ਦਾ RTL ਆਯਾਤ ਕਰੋ fileਜਿਵੇਂ ਤੁਸੀਂ ਆਮ ਤੌਰ 'ਤੇ ਕਰਦੇ ਹੋ।
b. ਸਿੰਥੇਸਿਸ ਆਉਟਪੁੱਟ ਨੂੰ ਸਟ੍ਰਕਚਰਲ ਵੇਰੀਲੋਗ (.vm) ਤੇ ਸੈੱਟ ਕਰੋ।
ਸੁਝਾਅ: ਢਾਂਚਾਗਤ ਪੋਲਰਫਾਇਰ ਵਿੱਚ ਵੇਰੀਲੌਗ (.vm) ਇੱਕੋ ਇੱਕ ਸਮਰਥਿਤ ਸਿੰਥੇਸਿਸ ਆਉਟਪੁੱਟ ਫਾਰਮੈਟ ਹੈ। - ਇੰਪੋਰਟ ਕੰਪੋਨੈਂਟ HDL fileਤੁਹਾਡੇ ਸਿੰਥੇਸਿਸ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ s:
a. ਹਰੇਕ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟ ਲਈ: ਹਰੇਕ ਲਈ file HDL ਸਰੋਤ ਦੇ ਅਧੀਨ fileਸਾਰੇ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਲਈ, ਆਯਾਤ ਕਰੋ file ਤੁਹਾਡੇ ਸਿੰਥੇਸਿਸ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ। - ਨੂੰ ਆਯਾਤ ਕਰੋ file polarfire_syn_comps.v (ਜੇਕਰ Synopsys Synplify ਵਰਤ ਰਹੇ ਹੋ) ਤੋਂ
ਤੁਹਾਡੇ ਸਿੰਥੇਸਿਸ ਪ੍ਰੋਜੈਕਟ ਲਈ ਇੰਸਟਾਲੇਸ਼ਨ ਸਥਾਨ>/ਡਾਟਾ/aPA5M। - ਪਹਿਲਾਂ ਤਿਆਰ ਕੀਤਾ SDC ਆਯਾਤ ਕਰੋ file ਡੈਰੀਵੇਡ ਕੰਸਟ੍ਰੈਂਟ ਟੂਲ ਰਾਹੀਂ (ਅੰਤਿਕਾ ਵੇਖੋ)
ਏ—ਸample SDC ਪਾਬੰਦੀਆਂ) ਸਿੰਥੇਸਿਸ ਟੂਲ ਵਿੱਚ। ਇਹ ਪਾਬੰਦੀ file ਸਿੰਥੇਸਿਸ ਟੂਲ ਨੂੰ ਘੱਟ ਮਿਹਨਤ ਅਤੇ ਘੱਟ ਡਿਜ਼ਾਈਨ ਦੁਹਰਾਓ ਨਾਲ ਟਾਈਮਿੰਗ ਕਲੋਜ਼ਰ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਸੀਮਤ ਕਰਦਾ ਹੈ।
ਮਹੱਤਵਪੂਰਨ:
- ਜੇਕਰ ਤੁਸੀਂ ਉਹੀ *.sdc ਵਰਤਣ ਦੀ ਯੋਜਨਾ ਬਣਾ ਰਹੇ ਹੋ file ਡਿਜ਼ਾਈਨ ਲਾਗੂਕਰਨ ਪੜਾਅ ਦੌਰਾਨ ਪਲੇਸ-ਐਂਡ-ਰੂਟ ਨੂੰ ਸੀਮਤ ਕਰਨ ਲਈ, ਤੁਹਾਨੂੰ ਇਸ *.sdc ਨੂੰ ਸਿੰਥੇਸਿਸ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਆਯਾਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਇਹ ਇਹ ਯਕੀਨੀ ਬਣਾਉਣ ਲਈ ਹੈ ਕਿ ਸਿੰਥੇਸਿਸਡ ਨੈੱਟਲਿਸਟ ਵਿੱਚ ਕੋਈ ਡਿਜ਼ਾਈਨ ਆਬਜੈਕਟ ਨਾਮ ਮੇਲ ਨਹੀਂ ਖਾਂਦਾ ਅਤੇ ਡਿਜ਼ਾਈਨ ਪ੍ਰਕਿਰਿਆ ਦੇ ਲਾਗੂਕਰਨ ਪੜਾਅ ਦੌਰਾਨ ਪਲੇਸ-ਐਂਡ-ਰੂਟ ਪਾਬੰਦੀਆਂ। ਜੇਕਰ ਤੁਸੀਂ ਇਸ *.sdc ਨੂੰ ਸ਼ਾਮਲ ਨਹੀਂ ਕਰਦੇ ਹੋ file ਸਿੰਥੇਸਿਸ ਸਟੈਪ ਵਿੱਚ, ਸਿੰਥੇਸਿਸ ਤੋਂ ਤਿਆਰ ਕੀਤੀ ਗਈ ਨੈੱਟਲਿਸਟ ਡਿਜ਼ਾਈਨ ਆਬਜੈਕਟ ਨਾਮ ਦੇ ਮੇਲ ਨਾ ਖਾਣ ਕਾਰਨ ਪਲੇਸ ਅਤੇ ਰੂਟ ਸਟੈਪ ਵਿੱਚ ਅਸਫਲ ਹੋ ਸਕਦੀ ਹੈ।
a. ਨੈੱਟਲਿਸਟ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ *.ndc, ਜੇਕਰ ਕੋਈ ਹਨ, ਨੂੰ ਸਿੰਥੇਸਿਸ ਟੂਲ ਵਿੱਚ ਆਯਾਤ ਕਰੋ।
b. ਸਿੰਥੇਸਿਸ ਚਲਾਓ। - ਤੁਹਾਡੇ ਸਿੰਥੇਸਿਸ ਟੂਲ ਆਉਟਪੁੱਟ ਦੀ ਸਥਿਤੀ ਵਿੱਚ *.vm ਨੈੱਟਲਿਸਟ ਹੈ file ਸਿੰਥੇਸਿਸ ਤੋਂ ਬਾਅਦ ਤਿਆਰ ਕੀਤਾ ਗਿਆ। ਡਿਜ਼ਾਈਨ ਪ੍ਰਕਿਰਿਆ ਜਾਰੀ ਰੱਖਣ ਲਈ ਤੁਹਾਨੂੰ ਨੈੱਟਲਿਸਟ ਨੂੰ ਲਿਬੇਰੋ ਇੰਪਲੀਮੈਂਟੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਆਯਾਤ ਕਰਨਾ ਪਵੇਗਾ।
ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਲਿਬੇਰੋ ਤੋਂ ਬਾਹਰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨ ਲਈ (ਭਾਵ, ਆਪਣੇ ਖੁਦ ਦੇ ਸਿਮੂਲੇਸ਼ਨ ਵਾਤਾਵਰਣ ਅਤੇ ਸਿਮੂਲੇਟਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ), ਹੇਠ ਦਿੱਤੇ ਕਦਮ ਚੁੱਕੋ:
- ਡਿਜ਼ਾਈਨ Files:
a. ਪ੍ਰੀ-ਸਿੰਥੇਸਿਸ ਸਿਮੂਲੇਸ਼ਨ:
• ਆਪਣੇ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਆਪਣੇ RTL ਨੂੰ ਆਯਾਤ ਕਰੋ।
• ਹਰੇਕ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟ ਲਈ।
- ਹਰੇਕ ਨੂੰ ਆਯਾਤ ਕਰੋ file HDL ਸਰੋਤ ਦੇ ਅਧੀਨ fileਤੁਹਾਡੇ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਸਾਰੇ ਸਿੰਥੇਸਿਸ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਲਈ s।
• ਇਹਨਾਂ ਨੂੰ ਕੰਪਾਇਲ ਕਰੋ fileਤੁਹਾਡੇ ਸਿਮੂਲੇਟਰ ਦੀਆਂ ਹਦਾਇਤਾਂ ਅਨੁਸਾਰ।
b. ਸੰਸਲੇਸ਼ਣ ਤੋਂ ਬਾਅਦ ਦਾ ਸਿਮੂਲੇਸ਼ਨ:
• ਆਪਣੀ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ *.vm ਨੈੱਟਲਿਸਟ (ਸਿੰਥੇਸਾਈਜ਼ਿੰਗ ਯੂਅਰ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਤਿਆਰ ਕੀਤੀ ਗਈ) ਨੂੰ ਆਪਣੇ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਆਯਾਤ ਕਰੋ ਅਤੇ ਇਸਨੂੰ ਕੰਪਾਇਲ ਕਰੋ।
c. ਪੋਸਟ-ਲੇਆਉਟ ਸਿਮੂਲੇਸ਼ਨ:
• ਪਹਿਲਾਂ, ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਲਾਗੂ ਕਰਨਾ ਪੂਰਾ ਕਰੋ (ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਲਾਗੂ ਕਰਨਾ ਵੇਖੋ)। ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਤੁਹਾਡਾ ਅੰਤਿਮ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਪੋਸਟ-ਲੇਆਉਟ ਸਥਿਤੀ ਵਿੱਚ ਹੈ।
• Generate BackAnnotated 'ਤੇ ਡਬਲ-ਕਲਿੱਕ ਕਰੋ Fileਲਾਇਬੇਰੋ ਡਿਜ਼ਾਈਨ ਫਲੋ ਵਿੰਡੋ ਵਿੱਚ s। ਇਹ ਦੋ ਜਨਰੇਟ ਕਰਦਾ ਹੈ files:
/ਡਿਜ਼ਾਈਨਰ/ / _ba.v/vhd /ਡਿਜ਼ਾਈਨਰ/
/ _ba.sdf ਵੱਲੋਂ ਹੋਰ
• ਇਹਨਾਂ ਦੋਵਾਂ ਨੂੰ ਆਯਾਤ ਕਰੋ fileਤੁਹਾਡੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲ ਵਿੱਚ। - ਉਤੇਜਨਾ ਅਤੇ ਸੰਰਚਨਾ files:
a. ਹਰੇਕ ਕੰਪੋਨੈਂਟ ਮੈਨੀਫੈਸਟ ਰਿਪੋਰਟ ਲਈ:
• ਸਭ ਕਾਪੀ ਕਰੋ fileਉਤੇਜਨਾ ਦੇ ਅਧੀਨ Fileਤੁਹਾਡੇ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਦੀ ਰੂਟ ਡਾਇਰੈਕਟਰੀ ਦੇ ਸਾਰੇ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਸੈਕਸ਼ਨਾਂ ਲਈ s।
b. ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਕੋਈ ਵੀ Tcl fileਪਿਛਲੀਆਂ ਸੂਚੀਆਂ (ਕਦਮ 2.a ਵਿੱਚ) ਵਿੱਚ s ਪਹਿਲਾਂ ਸਿਮੂਲੇਸ਼ਨ ਸ਼ੁਰੂ ਹੋਣ ਤੋਂ ਪਹਿਲਾਂ ਚਲਾਏ ਜਾਂਦੇ ਹਨ।
c. UPROM.mem: ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ UPROM ਕੋਰ ਦੀ ਵਰਤੋਂ ਇੱਕ ਜਾਂ ਵੱਧ ਡੇਟਾ ਸਟੋਰੇਜ ਕਲਾਇੰਟਸ ਲਈ ਯੋਗ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਸਮੱਗਰੀ ਦੀ ਵਰਤੋਂ ਦੇ ਵਿਕਲਪ ਨਾਲ ਕਰਦੇ ਹੋ, ਜਿਨ੍ਹਾਂ ਨੂੰ ਤੁਸੀਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ UPROM.mem ਤਿਆਰ ਕਰਨ ਲਈ ਐਗਜ਼ੀਕਿਊਟੇਬਲ pa4rtupromgen (ਵਿੰਡੋਜ਼ 'ਤੇ pa4rtupromgen.exe) ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। file. pa4rtupromgen ਐਗਜ਼ੀਕਿਊਟੇਬਲ UPROM.cfg ਲੈਂਦਾ ਹੈ file ਇੱਕ Tcl ਸਕ੍ਰਿਪਟ ਰਾਹੀਂ ਇਨਪੁਟਸ ਦੇ ਰੂਪ ਵਿੱਚ file ਅਤੇ UPROM.mem ਨੂੰ ਆਉਟਪੁੱਟ ਦਿੰਦਾ ਹੈ file ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਲੋੜੀਂਦਾ ਹੈ। ਇਹ UPROM.mem file ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਉਣ ਤੋਂ ਪਹਿਲਾਂ ਸਿਮੂਲੇਸ਼ਨ ਫੋਲਡਰ ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। ਇੱਕ ਸਾਬਕਾamppa4rtupromgen ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਵਰਤੋਂ ਨੂੰ ਦਰਸਾਉਂਦੇ ਹੋਏ ਹੇਠਾਂ ਦਿੱਤੇ ਕਦਮਾਂ ਵਿੱਚ ਦਿੱਤਾ ਗਿਆ ਹੈ। UPROM.cfg file ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਉਪਲਬਧ ਹੈ /ਕੰਪੋਨੈਂਟ/ਕੰਮ/ / Libero ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਜੋ ਤੁਸੀਂ UPROM ਕੰਪੋਨੈਂਟ ਬਣਾਉਣ ਲਈ ਵਰਤਿਆ ਸੀ।
d. snvm.mem: ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਿਸਟਮ ਸਰਵਿਸਿਜ਼ ਕੋਰ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ ਅਤੇ ਕੋਰ ਵਿੱਚ sNVM ਟੈਬ ਨੂੰ "ਯੂਜ਼ ਕੰਟੈਂਟ ਫਾਰ ਸਿਮੂਲੇਸ਼ਨ" ਵਿਕਲਪ ਨਾਲ ਕੌਂਫਿਗਰ ਕੀਤਾ ਹੈ ਜੋ ਇੱਕ ਜਾਂ ਵੱਧ ਕਲਾਇੰਟਸ ਲਈ ਸਮਰੱਥ ਹੈ ਜਿਨ੍ਹਾਂ ਨੂੰ ਤੁਸੀਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ, ਤਾਂ ਇੱਕ snvm.mem file ਆਪਣੇ ਆਪ ਤਿਆਰ ਹੁੰਦਾ ਹੈ
ਡਾਇਰੈਕਟਰੀ /ਕੰਪੋਨੈਂਟ/ਕੰਮ/ / ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਜੋ ਤੁਸੀਂ ਸਿਸਟਮ ਸਰਵਿਸਿਜ਼ ਕੰਪੋਨੈਂਟ ਤਿਆਰ ਕਰਨ ਲਈ ਵਰਤਿਆ ਸੀ। ਇਹ snvm.mem file ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਉਣ ਤੋਂ ਪਹਿਲਾਂ ਸਿਮੂਲੇਸ਼ਨ ਫੋਲਡਰ ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। - ਵਰਕਿੰਗ ਫੋਲਡਰ ਦੇ ਹੇਠਾਂ ਇੱਕ ਵਰਕਿੰਗ ਫੋਲਡਰ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਨਾਮ ਦਾ ਇੱਕ ਸਬ-ਫੋਲਡਰ ਬਣਾਓ।
pa4rtupromgen ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਵਰਕਿੰਗ ਫੋਲਡਰ ਵਿੱਚ ਸਿਮੂਲੇਸ਼ਨ ਸਬ ਫੋਲਡਰ ਦੀ ਮੌਜੂਦਗੀ ਦੀ ਉਮੀਦ ਕਰਦਾ ਹੈ ਅਤੇ *.tcl ਸਕ੍ਰਿਪਟ ਸਿਮੂਲੇਸ਼ਨ ਸਬ ਫੋਲਡਰ ਵਿੱਚ ਰੱਖੀ ਗਈ ਹੈ। - UPROM.cfg ਦੀ ਨਕਲ ਕਰੋ file ਕੰਪੋਨੈਂਟ ਜਨਰੇਸ਼ਨ ਲਈ ਬਣਾਏ ਗਏ ਪਹਿਲੇ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਤੋਂ ਵਰਕਿੰਗ ਫੋਲਡਰ ਵਿੱਚ।
- ਹੇਠ ਲਿਖੀਆਂ ਕਮਾਂਡਾਂ ਨੂੰ *.tcl ਸਕ੍ਰਿਪਟ ਵਿੱਚ ਪੇਸਟ ਕਰੋ ਅਤੇ ਇਸਨੂੰ ਸਟੈਪ 3 ਵਿੱਚ ਬਣਾਏ ਸਿਮੂਲੇਸ਼ਨ ਫੋਲਡਰ ਵਿੱਚ ਰੱਖੋ।
SampURPOM.mem ਤਿਆਰ ਕਰਨ ਲਈ PolarFire ਅਤੇ PolarFire Soc ਫੈਮਿਲੀ ਡਿਵਾਈਸਾਂ ਲਈ le *.tcl file
UPROM.cfg ਤੋਂ
ਸੈੱਟ_ਡਿਵਾਈਸ -ਫੈਮ -ਮਰਨਾ -ਪੀਕੇਜੀ
ਸੈੱਟ_ਇਨਪੁੱਟ_ਸੀਐਫਜੀ -ਪਾਥ
ਸੈੱਟ_ਸਿਮ_ਮੈਮ -ਪਾਥFile/UPROM.mem>
gen_sim -use_init ਗਲਤ
ਡਾਈ ਅਤੇ ਪੈਕੇਜ ਲਈ ਵਰਤਣ ਲਈ ਸਹੀ ਅੰਦਰੂਨੀ ਨਾਮ ਲਈ, *.prjx ਵੇਖੋ file ਪਹਿਲੇ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਦਾ (ਕੰਪੋਨੈਂਟ ਜਨਰੇਸ਼ਨ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ)।
ਆਰਗੂਮੈਂਟ use_init ਨੂੰ ਗਲਤ ਤੇ ਸੈੱਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਆਉਟਪੁੱਟ ਦਾ ਮਾਰਗ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ set_sim_mem ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ। file UPROM.mem ਯਾਨੀ
ਸਕ੍ਰਿਪਟ ਦੇ ਚੱਲਣ 'ਤੇ ਤਿਆਰ ਕੀਤਾ ਗਿਆ file pa4rtupromgen ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਦੇ ਨਾਲ। - ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ ਜਾਂ ਸਾਈਗਵਿਨ ਟਰਮੀਨਲ 'ਤੇ, ਸਟੈਪ 3 ਵਿੱਚ ਬਣਾਈ ਗਈ ਵਰਕਿੰਗ ਡਾਇਰੈਕਟਰੀ 'ਤੇ ਜਾਓ।
pa4rtupromgen ਕਮਾਂਡ ਨੂੰ–script ਵਿਕਲਪ ਨਾਲ ਚਲਾਓ ਅਤੇ ਇਸਨੂੰ ਪਿਛਲੇ ਪੜਾਅ ਵਿੱਚ ਬਣਾਈ ਗਈ *.tcl ਸਕ੍ਰਿਪਟ ਪਾਸ ਕਰੋ।
ਵਿੰਡੋਜ਼ ਲਈ
/designer/bin/pa4rtupromgen.exe \
-ਸਕ੍ਰਿਪਟ./ਸਿਮੂਲੇਸ਼ਨ/ .tcl
ਲੀਨਕਸ ਲਈ:
/ਬਿਨ/pa4rtupromgen
-ਸਕ੍ਰਿਪਟ./ਸਿਮੂਲੇਸ਼ਨ/ .tcl - pa4rtupromgen ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਦੇ ਸਫਲਤਾਪੂਰਵਕ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਤੋਂ ਬਾਅਦ, ਜਾਂਚ ਕਰੋ ਕਿ UPROM.mem file *.tcl ਸਕ੍ਰਿਪਟ ਵਿੱਚ set_sim_mem ਕਮਾਂਡ ਵਿੱਚ ਦੱਸੇ ਗਏ ਸਥਾਨ 'ਤੇ ਤਿਆਰ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
- sNVM ਦੀ ਨਕਲ ਕਰਨ ਲਈ, snvm.mem ਦੀ ਨਕਲ ਕਰੋ file ਆਪਣੇ ਪਹਿਲੇ Libero ਪ੍ਰੋਜੈਕਟ (ਕੰਪੋਨੈਂਟ ਕੌਂਫਿਗਰੇਸ਼ਨ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ) ਤੋਂ ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਉਣ ਲਈ ਆਪਣੇ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਦੇ ਉੱਚ ਪੱਧਰੀ ਸਿਮੂਲੇਸ਼ਨ ਫੋਲਡਰ ਵਿੱਚ (Libero SoC ਤੋਂ ਬਾਹਰ)। UPROM ਸਮੱਗਰੀ ਨੂੰ ਸਿਮੂਲੇਟ ਕਰਨ ਲਈ, ਤਿਆਰ ਕੀਤੇ UPROM.mem ਦੀ ਨਕਲ ਕਰੋ। file ਸਿਮੂਲੇਸ਼ਨ (Libero SoC ਤੋਂ ਬਾਹਰ) ਚਲਾਉਣ ਲਈ ਆਪਣੇ ਸਿਮੂਲੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਦੇ ਉੱਚ ਪੱਧਰੀ ਸਿਮੂਲੇਸ਼ਨ ਫੋਲਡਰ ਵਿੱਚ।
ਮਹੱਤਵਪੂਰਨ: ਨੂੰ SoC ਕੰਪੋਨੈਂਟਸ ਦੀ ਕਾਰਜਸ਼ੀਲਤਾ ਨੂੰ ਸਿਮੂਲੇਟ ਕਰੋ, ਪਹਿਲਾਂ ਤੋਂ ਕੰਪਾਈਲ ਕੀਤੀਆਂ ਪੋਲਰਫਾਇਰ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਡਾਊਨਲੋਡ ਕਰੋ ਅਤੇ ਉਹਨਾਂ ਨੂੰ ਆਪਣੇ ਸਿਮੂਲੇਸ਼ਨ ਵਾਤਾਵਰਣ ਵਿੱਚ ਆਯਾਤ ਕਰੋ ਜਿਵੇਂ ਕਿ ਇੱਥੇ ਦੱਸਿਆ ਗਿਆ ਹੈ। ਹੋਰ ਵੇਰਵਿਆਂ ਲਈ, ਅੰਤਿਕਾ B—ਸਿਮੂਲੇਸ਼ਨ ਵਾਤਾਵਰਣ ਵਿੱਚ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਆਯਾਤ ਕਰਨਾ ਵੇਖੋ।
ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਲਾਗੂ ਕਰਨਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਆਪਣੇ ਵਾਤਾਵਰਣ ਵਿੱਚ ਸਿੰਥੇਸਿਸ ਅਤੇ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ ਸਿਮੂਲੇਸ਼ਨ ਨੂੰ ਪੂਰਾ ਕਰਨ ਤੋਂ ਬਾਅਦ, ਤੁਹਾਨੂੰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਭੌਤਿਕ ਤੌਰ 'ਤੇ ਲਾਗੂ ਕਰਨ, ਟਾਈਮਿੰਗ ਚਲਾਉਣ ਅਤੇ ਪਾਵਰ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨ, ਅਤੇ ਆਪਣੀ ਪ੍ਰੋਗਰਾਮਿੰਗ ਤਿਆਰ ਕਰਨ ਲਈ ਦੁਬਾਰਾ ਲਿਬੇਰੋ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। file.
- ਡਿਜ਼ਾਈਨ ਦੇ ਭੌਤਿਕ ਲਾਗੂਕਰਨ ਅਤੇ ਲੇਆਉਟ ਲਈ ਇੱਕ ਨਵਾਂ ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ। ਕੰਪੋਨੈਂਟ ਕੌਂਫਿਗਰੇਸ਼ਨ ਵਿੱਚ ਤੁਹਾਡੇ ਦੁਆਰਾ ਬਣਾਏ ਗਏ ਸੰਦਰਭ ਪ੍ਰੋਜੈਕਟ ਵਾਂਗ ਹੀ ਡਿਵਾਈਸ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਣਾ ਯਕੀਨੀ ਬਣਾਓ।
- ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਤੋਂ ਬਾਅਦ, ਡਿਜ਼ਾਈਨ ਫਲੋ ਵਿੰਡੋ (ਪ੍ਰੋਜੈਕਟ > ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗਾਂ > ਡਿਜ਼ਾਈਨ ਫਲੋ > ਸਮਰੱਥ ਸਿੰਥੇਸਿਸ ਨੂੰ ਅਣਚੈਕ ਕਰੋ) ਵਿੱਚ ਟੂਲ ਚੇਨ ਤੋਂ ਸਿੰਥੇਸਿਸ ਨੂੰ ਹਟਾਓ।
- ਆਪਣੇ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ *.vm ਨੂੰ ਆਯਾਤ ਕਰੋ file ਇਸ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ, (File > ਆਯਾਤ > ਸਿੰਥੇਸਾਈਜ਼ਡ ਵੇਰੀਲੌਗ ਨੈੱਟਲਿਸਟ (VM))।
ਸੁਝਾਅ: ਇਹ ਸਿਫਾਰਸ਼ ਕੀਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਤੁਸੀਂ ਇਸਦਾ ਇੱਕ ਲਿੰਕ ਬਣਾਓ file, ਤਾਂ ਜੋ ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਮੁੜ ਸੰਸ਼ਲੇਸ਼ਣ ਕਰਦੇ ਹੋ, ਤਾਂ Libero ਹਮੇਸ਼ਾ ਨਵੀਨਤਮ ਪੋਸਟ-ਸਿੰਥੇਸਿਸ ਨੈੱਟਲਿਸਟ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।
a. ਡਿਜ਼ਾਈਨ ਹਾਇਰਾਰਚੀ ਵਿੰਡੋ ਵਿੱਚ, ਰੂਟ ਮੋਡੀਊਲ ਦਾ ਨਾਮ ਨੋਟ ਕਰੋ। - ਲਿਬੇਰੋ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਸੀਮਾਵਾਂ ਨੂੰ ਆਯਾਤ ਕਰੋ। *.pdc/*.sdc/*.ndc ਸੀਮਾਵਾਂ ਨੂੰ ਆਯਾਤ ਕਰਨ ਲਈ ਸੀਮਾ ਪ੍ਰਬੰਧਕ ਦੀ ਵਰਤੋਂ ਕਰੋ।
a. ਆਯਾਤ I/O *.pdc ਸੀਮਾ files (ਪਾਬੰਦੀਆਂ ਪ੍ਰਬੰਧਕ > I/O ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ >ਆਯਾਤ)।
b. ਆਯਾਤ ਫਲੋਰਪਲੈਨਿੰਗ *.pdc ਪਾਬੰਦੀ files (ਪਾਬੰਦੀਆਂ ਪ੍ਰਬੰਧਕ > ਫਲੋਰ ਪਲੈਨਰ > ਆਯਾਤ)।
c. ਆਯਾਤ *.sdc ਸਮਾਂ ਸੀਮਾ files (ਕੰਸਟ੍ਰੈਂਟਸ ਮੈਨੇਜਰ > ਟਾਈਮਿੰਗ > ਇੰਪੋਰਟ)। ਜੇਕਰ ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਓਵਰ ਵਿੱਚ ਸੂਚੀਬੱਧ ਕੋਈ ਵੀ ਕੋਰ ਹੈview, SDC ਨੂੰ ਆਯਾਤ ਕਰਨਾ ਯਕੀਨੀ ਬਣਾਓ file ਡੈਰੀਵੇਟ ਕੰਸਟ੍ਰੈਂਟ ਟੂਲ ਰਾਹੀਂ ਤਿਆਰ ਕੀਤਾ ਗਿਆ।
d. ਆਯਾਤ *.ndc ਪਾਬੰਦੀ files (ਪਾਬੰਦੀਆਂ ਪ੍ਰਬੰਧਕ > ਨੈੱਟਲਿਸਟ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ > ਆਯਾਤ)। - ਸਹਿਯੋਗੀ ਪਾਬੰਦੀਆਂ Fileਡਿਜ਼ਾਈਨ ਟੂਲਸ ਲਈ।
a. ਕੰਸਟ੍ਰੈਂਟ ਮੈਨੇਜਰ ਖੋਲ੍ਹੋ (ਕੰਸਟ੍ਰੈਂਟਸ ਮੈਨੇਜ ਕਰੋ > ਕੰਸਟ੍ਰੈਂਟਸ ਮੈਨੇਜ ਕਰੋ ਖੋਲ੍ਹੋ) View).
ਪਾਬੰਦੀ ਦੇ ਅੱਗੇ ਸਥਾਨ-ਅਤੇ-ਰੂਟ ਅਤੇ ਸਮਾਂ ਪੁਸ਼ਟੀਕਰਨ ਚੈੱਕ ਬਾਕਸ 'ਤੇ ਨਿਸ਼ਾਨ ਲਗਾਓ। file ਪਾਬੰਦੀ ਸਥਾਪਤ ਕਰਨ ਲਈ file ਅਤੇ ਟੂਲ ਐਸੋਸੀਏਸ਼ਨ। *.pdc ਸੀਮਾ ਨੂੰ Place-andRoute ਨਾਲ ਅਤੇ *.sdc ਨੂੰ Place-and-Route ਅਤੇ ਟਾਈਮਿੰਗ ਵੈਰੀਫਿਕੇਸ਼ਨ ਦੋਵਾਂ ਨਾਲ ਜੋੜੋ। *.ndc ਨੂੰ ਜੋੜੋ file ਨੈੱਟਲਿਸਟ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ।
ਸੁਝਾਅ: ਜੇਕਰ ਇਸ *.sdc ਪਾਬੰਦੀ ਨਾਲ ਸਥਾਨ ਅਤੇ ਰਸਤਾ ਅਸਫਲ ਹੋ ਜਾਂਦੇ ਹਨ। file, ਫਿਰ ਇਹੀ *.sdc ਆਯਾਤ ਕਰੋ file ਸੰਸਲੇਸ਼ਣ ਅਤੇ ਸੰਸਲੇਸ਼ਣ ਨੂੰ ਦੁਬਾਰਾ ਚਲਾਉਣ ਲਈ।
- ਲੇਆਉਟ ਸਟੈਪ ਨੂੰ ਪੂਰਾ ਕਰਨ ਲਈ ਕੰਪਾਈਲ ਨੈੱਟਲਿਸਟ ਅਤੇ ਫਿਰ ਪਲੇਸ ਐਂਡ ਰੂਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਕੌਂਫਿਗਰ ਡਿਜ਼ਾਈਨ ਇਨੀਸ਼ੀਏਲਾਈਜ਼ੇਸ਼ਨ ਡੇਟਾ ਐਂਡ ਮੈਮੋਰੀਜ਼ ਟੂਲ ਤੁਹਾਨੂੰ ਡਿਜ਼ਾਈਨ ਬਲਾਕਾਂ, ਜਿਵੇਂ ਕਿ LSRAM, µSRAM, XCVR (ਟ੍ਰਾਂਸੀਵਰ), ਅਤੇ PCIe ਨੂੰ ਗੈਰ-ਵੋਲੇਟਾਈਲ µPROM, sNVM, ਜਾਂ ਬਾਹਰੀ SPI ਫਲੈਸ਼ ਸਟੋਰੇਜ ਮੈਮੋਰੀ ਵਿੱਚ ਸਟੋਰ ਕੀਤੇ ਡੇਟਾ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸ਼ੁਰੂ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਟੂਲ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਇਨੀਸ਼ੀਏਲਾਈਜ਼ੇਸ਼ਨ ਕ੍ਰਮ ਦੇ ਨਿਰਧਾਰਨ, ਇਨੀਸ਼ੀਏਲਾਈਜ਼ੇਸ਼ਨ ਕਲਾਇੰਟਸ, ਯੂਜ਼ਰ ਡੇਟਾ ਕਲਾਇੰਟਸ ਦੇ ਨਿਰਧਾਰਨ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ ਹੇਠ ਲਿਖੀਆਂ ਟੈਬਾਂ ਹਨ।
- ਡਿਜ਼ਾਈਨ ਸ਼ੁਰੂਆਤੀ ਟੈਬ
– µPROM ਟੈਬ
- sNVM ਟੈਬ
- SPI ਫਲੈਸ਼ ਟੈਬ
- ਫੈਬਰਿਕ ਰੈਮ ਟੈਬ
ਡਿਜ਼ਾਈਨ ਸ਼ੁਰੂਆਤੀ ਡੇਟਾ ਅਤੇ ਯਾਦਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨ ਲਈ ਟੂਲ ਵਿੱਚ ਟੈਬਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ।ਸੰਰਚਨਾ ਪੂਰੀ ਕਰਨ ਤੋਂ ਬਾਅਦ, ਸ਼ੁਰੂਆਤੀ ਡੇਟਾ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੇ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
• ਸ਼ੁਰੂਆਤੀ ਕਲਾਇੰਟ ਤਿਆਰ ਕਰੋ
• ਬਿੱਟਸਟ੍ਰੀਮ ਤਿਆਰ ਕਰੋ ਜਾਂ ਨਿਰਯਾਤ ਕਰੋ
• ਡਿਵਾਈਸ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ
ਇਸ ਟੂਲ ਦੀ ਵਰਤੋਂ ਕਿਵੇਂ ਕਰਨੀ ਹੈ ਇਸ ਬਾਰੇ ਵਿਸਤ੍ਰਿਤ ਜਾਣਕਾਰੀ ਲਈ, Libero SoC ਡਿਜ਼ਾਈਨ ਫਲੋ ਯੂਜ਼ਰ ਗਾਈਡ ਵੇਖੋ। ਟੂਲ ਵਿੱਚ ਵੱਖ-ਵੱਖ ਟੈਬਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨ ਅਤੇ ਮੈਮੋਰੀ ਕੌਂਫਿਗਰੇਸ਼ਨ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਵਰਤੀਆਂ ਜਾਂਦੀਆਂ Tcl ਕਮਾਂਡਾਂ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ। files (*.cfg), ਵੇਖੋ Tcl ਕਮਾਂਡਾਂ ਰੈਫਰੈਂਸ ਗਾਈਡ. - ਇੱਕ ਪ੍ਰੋਗਰਾਮਿੰਗ ਤਿਆਰ ਕਰੋ File ਇਸ ਪ੍ਰੋਜੈਕਟ ਤੋਂ ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ FPGA ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਵਰਤੋ।
ਅੰਤਿਕਾ A—Sample SDC ਪਾਬੰਦੀਆਂ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ
Libero SoC ਕੁਝ IP ਕੋਰਾਂ, ਜਿਵੇਂ ਕਿ CCC, OSC, ਟ੍ਰਾਂਸਸੀਵਰ ਅਤੇ ਇਸ ਤਰ੍ਹਾਂ ਦੇ ਹੋਰਾਂ ਲਈ SDC ਟਾਈਮਿੰਗ ਸੀਮਾਵਾਂ ਪੈਦਾ ਕਰਦਾ ਹੈ। SDC ਸੀਮਾਵਾਂ ਨੂੰ ਡਿਜ਼ਾਈਨ ਟੂਲਸ ਵਿੱਚ ਪਾਸ ਕਰਨ ਨਾਲ ਘੱਟ ਮਿਹਨਤ ਅਤੇ ਘੱਟ ਡਿਜ਼ਾਈਨ ਦੁਹਰਾਓ ਨਾਲ ਟਾਈਮਿੰਗ ਕਲੋਜ਼ਰ ਨੂੰ ਪੂਰਾ ਕਰਨ ਦੀ ਸੰਭਾਵਨਾ ਵੱਧ ਜਾਂਦੀ ਹੈ। ਸੀਮਾਵਾਂ ਵਿੱਚ ਹਵਾਲਾ ਦਿੱਤੇ ਗਏ ਸਾਰੇ ਡਿਜ਼ਾਈਨ ਵਸਤੂਆਂ ਲਈ ਉੱਚ-ਪੱਧਰੀ ਉਦਾਹਰਣ ਤੋਂ ਪੂਰਾ ਲੜੀਵਾਰ ਮਾਰਗ ਦਿੱਤਾ ਗਿਆ ਹੈ।
7.1 SDC ਸਮੇਂ ਦੀਆਂ ਪਾਬੰਦੀਆਂ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਲਿਬੇਰੋ ਆਈਪੀ ਕੋਰ ਰੈਫਰੈਂਸ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ, ਇਹ ਉੱਚ-ਪੱਧਰੀ ਐਸਡੀਸੀ ਪਾਬੰਦੀ file ਕੰਸਟ੍ਰੈਂਟ ਮੈਨੇਜਰ (ਡਿਜ਼ਾਈਨ ਫਲੋ > ਓਪਨ ਮੈਨੇਜਮੈਂਟ ਕੰਸਟ੍ਰੈਂਟ) ਤੋਂ ਉਪਲਬਧ ਹੈ। View >ਸਮਾਂ > ਸੀਮਾਵਾਂ ਪ੍ਰਾਪਤ ਕਰੋ)।
ਮਹੱਤਵਪੂਰਨ: ਵੇਖੋ ਇਹ file ਜੇਕਰ ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ CCC, OSC, ਟ੍ਰਾਂਸਸੀਵਰ, ਅਤੇ ਹੋਰ ਹਿੱਸੇ ਸ਼ਾਮਲ ਹਨ ਤਾਂ SDC ਸੀਮਾਵਾਂ ਨੂੰ ਸੈੱਟ ਕਰਨ ਲਈ। ਜੇਕਰ ਜ਼ਰੂਰੀ ਹੋਵੇ, ਤਾਂ ਪੂਰੇ ਲੜੀਵਾਰ ਮਾਰਗ ਨੂੰ ਸੋਧੋ, ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਲੜੀ ਨਾਲ ਮੇਲ ਕਰਨ ਲਈ ਜਾਂ Derive_Constraints ਉਪਯੋਗਤਾ ਅਤੇ ਅੰਤਿਕਾ C ਵਿੱਚ ਕਦਮਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ—ਕੰਪੋਨੈਂਟ ਪੱਧਰ SDC 'ਤੇ Derive Constraints file.
ਨੂੰ ਸੰਭਾਲੋ file ਇੱਕ ਵੱਖਰੇ ਨਾਮ ਤੇ ਅਤੇ SDC ਆਯਾਤ ਕਰੋ file ਸਿੰਥੇਸਿਸ ਟੂਲ, ਪਲੇਸ-ਐਂਡ-ਰੂਟ ਟੂਲ, ਅਤੇ ਟਾਈਮਿੰਗ ਵੈਰੀਫਿਕੇਸ਼ਨ ਲਈ, ਬਿਲਕੁਲ ਕਿਸੇ ਹੋਰ SDC ਰੁਕਾਵਟ ਵਾਂਗ files.
7.1.1 ਪ੍ਰਾਪਤ SDC File (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
# ਇਹ file ਹੇਠ ਦਿੱਤੇ SDC ਸਰੋਤ ਦੇ ਆਧਾਰ 'ਤੇ ਤਿਆਰ ਕੀਤਾ ਗਿਆ ਸੀ files:
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
ਟ੍ਰਾਂਸਮਿਟ_ਪੀਐਲਐਲ/ਟ੍ਰਾਂਸਮਿਟ_ਪੀਐਲਐਲ_0/ਟ੍ਰਾਂਸਮਿਟ_ਪੀਐਲਐਲ_ਟ੍ਰਾਂਸਮਿਟ_ਪੀਐਲਐਲ_0_ਪੀਐਫ_ਟੀਐਕਸ_ਪੀਐਲਐਲ.ਐਸਡੀਸੀ
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
ਡੀਐਮਏ_ਆਈਨੀਟਿਏਟਰ/ਡੀਐਮਏ_ਆਈਨੀਟਿਏਟਰ_0/ਡੀਐਮਏ_ਆਈਨੀਟਿਏਟਰ.ਐਸਡੀਸੀ
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
ICICLE_MSS/ICICLE_MSS.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /drive/icicle_kit_ref_des/icicle-kit-reference-design-master/MPFS_ICICLE/ਕੰਪੋਨੈਂਟ/ਵਰਕ/
ਪੀਸੀਆਈਈ_ਆਈਨੀਟਿਏਟਰ/ਪੀਸੀਆਈਈ_ਆਈਨੀਟਿਏਟਰ_0/ਪੀਸੀਆਈਈ_ਆਈਨੀਟਿਏਟਰ.ਐਸਡੀਸੀ
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** ਇਸ ਵਿੱਚ ਕੋਈ ਵੀ ਸੋਧ file ਜੇਕਰ ਪ੍ਰਾਪਤ ਸੀਮਾਵਾਂ ਨੂੰ ਦੁਬਾਰਾ ਚਲਾਇਆ ਜਾਂਦਾ ਹੈ ਤਾਂ ਇਹ ਖਤਮ ਹੋ ਜਾਣਗੀਆਂ। ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -ਪੀਰੀਅਡ 6.25
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { ਘੜੀਆਂ_ਅਤੇ_ਰੀਸੈਟਸ_ਇੰਸਟ_0/OSCILLATOR_160MHz_ਇੰਸਟ_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] ਘੜੀ ਬਣਾਓ -ਨਾਮ {REF_CLK_PAD_P} -ਪੀਰੀਅਡ 10 [ਪੋਰਟ ਪ੍ਰਾਪਤ ਕਰੋ {REF_CLK_PAD_P } ] ਘੜੀ ਬਣਾਓ -ਨਾਮ {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} -ਪੀਰੀਅਡ 8
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/DIV_CLK } ] ਤਿਆਰ_ਘੜੀ ਬਣਾਓ -ਨਾਮ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/
OUT0} -25 ਦੁਆਰਾ ਗੁਣਾ ਕਰੋ -32 ਦੁਆਰਾ ਵੰਡੋ -ਸਰੋਤ
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ਪੜਾਅ 0
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { ਘੜੀਆਂ_ਅਤੇ_ਰਿਸੈਟਸ_ਇਨਸਟ_0/ਸੀਸੀਸੀ_ਐਫਆਈਸੀ_ਐਕਸ_ਸੀਐਲਕੇ/ਪੀਐਫ_ਸੀਸੀਸੀ_ਸੀ0_0/ਪੀਐਲਐਲ_ਇਨਸਟ_0/ਓਯੂਟੀ0 } ] ਤਿਆਰ_ਘੜੀ ਬਣਾਓ -ਨਾਮ { ਘੜੀਆਂ_ਅਤੇ_ਰਿਸੈਟਸ_ਇਨਸਟ_0/ਸੀਸੀਸੀ_ਐਫਆਈਸੀ_ਐਕਸ_ਸੀਐਲਕੇ/ਪੀਐਫ_ਸੀਸੀ_ਸੀ0_0/ਪੀਐਲਐਲ_ਇਨਸਟ_0/
OUT1} -25 ਦੁਆਰਾ ਗੁਣਾ ਕਰੋ -32 ਦੁਆਰਾ ਵੰਡੋ -ਸਰੋਤ
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ਪੜਾਅ 0
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { ਘੜੀਆਂ_ਅਤੇ_ਰਿਸੈਟਸ_ਇਨਸਟ_0/ਸੀਸੀਸੀ_ਐਫਆਈਸੀ_ਐਕਸ_ਸੀਐਲਕੇ/ਪੀਐਫ_ਸੀਸੀਸੀ_ਸੀ0_0/ਪੀਐਲਐਲ_ਇਨਸਟ_0/ਓਯੂਟੀ1 } ] ਤਿਆਰ_ਘੜੀ ਬਣਾਓ -ਨਾਮ { ਘੜੀਆਂ_ਅਤੇ_ਰਿਸੈਟਸ_ਇਨਸਟ_0/ਸੀਸੀਸੀ_ਐਫਆਈਸੀ_ਐਕਸ_ਸੀਐਲਕੇ/ਪੀਐਫ_ਸੀਸੀ_ਸੀ0_0/ਪੀਐਲਐਲ_ਇਨਸਟ_0/
OUT2} -25 ਦੁਆਰਾ ਗੁਣਾ ਕਰੋ -32 ਦੁਆਰਾ ਵੰਡੋ -ਸਰੋਤ
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ਪੜਾਅ 0
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { ਘੜੀਆਂ_ਅਤੇ_ਰਿਸੈਟਸ_ਇਨਸਟ_0/ਸੀਸੀਸੀ_ਐਫਆਈਸੀ_ਐਕਸ_ਸੀਐਲਕੇ/ਪੀਐਫ_ਸੀਸੀਸੀ_ਸੀ0_0/ਪੀਐਲਐਲ_ਇਨਸਟ_0/ਓਯੂਟੀ2 } ] ਤਿਆਰ_ਘੜੀ ਬਣਾਓ -ਨਾਮ { ਘੜੀਆਂ_ਅਤੇ_ਰਿਸੈਟਸ_ਇਨਸਟ_0/ਸੀਸੀਸੀ_ਐਫਆਈਸੀ_ਐਕਸ_ਸੀਐਲਕੇ/ਪੀਐਫ_ਸੀਸੀ_ਸੀ0_0/ਪੀਐਲਐਲ_ਇਨਸਟ_0/
OUT3} -25 ਦੁਆਰਾ ਗੁਣਾ ਕਰੋ -64 ਦੁਆਰਾ ਵੰਡੋ -ਸਰੋਤ
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/REF_CLK_0 } ] -ਪੜਾਅ 0
[ get_pins { CLOCKS_AND_RESETS_inst_0/CCC_FIC_x_CLK/PF_CCC_C0_0/pll_inst_0/OUT3 } ] create_generated_clock -name {CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/
Y_DIV} -2 ਦੁਆਰਾ_ਵੰਡੋ - ਸਰੋਤ
[ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ ਪਿੰਨ ਪ੍ਰਾਪਤ ਕਰੋ { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] ਗਲਤ_ਪਾਥ ਸੈੱਟ ਕਰੋ -ਥਰੂ [ ਨੈੱਟ ਪ੍ਰਾਪਤ ਕਰੋ { DMA_INITIATOR_inst_0/ARESETN* } ] ਗਲਤ_ਪਾਥ ਸੈੱਟ ਕਰੋ -[ ਸੈੱਲ ਪ੍ਰਾਪਤ ਕਰੋ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -ਤੋਂ [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] ਗਲਤ_ਮਾਰਗ ਸੈੱਟ ਕਰੋ - [ ਸੈੱਲ ਪ੍ਰਾਪਤ ਕਰੋ { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -ਤੋਂ [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] set_false_path -through [ get_nets { FIC0_INITIATOR_inst_0/ARESETN* } ] set_false_path -to [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5]
PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] set_false_path -from [ get_pins { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] set_false_path -through [ get_nets { PCIE_INITIATOR_inst_0/ARESETN* } ]
ਅੰਤਿਕਾ B—ਸਿਮੂਲੇਸ਼ਨ ਵਾਤਾਵਰਣ ਵਿੱਚ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਆਯਾਤ ਕਰਨਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
Libero SoC ਨਾਲ RTL ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਡਿਫਾਲਟ ਸਿਮੂਲੇਟਰ ModelSim ME Pro ਹੈ।
ਡਿਫਾਲਟ ਸਿਮੂਲੇਟਰ ਲਈ ਪਹਿਲਾਂ ਤੋਂ ਕੰਪਾਈਲ ਕੀਤੀਆਂ ਲਾਇਬ੍ਰੇਰੀਆਂ ਡਾਇਰੈਕਟਰੀ 'ਤੇ Libero ਇੰਸਟਾਲੇਸ਼ਨ ਦੇ ਨਾਲ ਉਪਲਬਧ ਹਨ। /Designer/lib/modelsimpro/precompiled/vlog for® ਸਮਰਥਿਤ ਪਰਿਵਾਰਾਂ ਲਈ। Libero SoC ਮਾਡਲਸਿਮ, ਕੁਐਸਟੈਸਿਮ, VCS, Xcelium ਦੇ ਹੋਰ ਥਰਡ-ਪਾਰਟੀ ਸਿਮੂਲੇਟਰ ਐਡੀਸ਼ਨਾਂ ਦਾ ਵੀ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।
, ਐਕਟਿਵ HDL, ਅਤੇ ਰਿਵੇਰਾ ਪ੍ਰੋ। ਸੰਬੰਧਿਤ ਪ੍ਰੀ-ਕੰਪਾਈਲਡ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਇਸ ਤੋਂ ਡਾਊਨਲੋਡ ਕਰੋ Libero SoC v12.0 ਅਤੇ ਬਾਅਦ ਵਿੱਚ ਸਿਮੂਲੇਟਰ ਅਤੇ ਇਸਦੇ ਸੰਸਕਰਣ ਦੇ ਅਧਾਰ ਤੇ।
Libero ਵਾਤਾਵਰਣ ਦੇ ਸਮਾਨ, run.do file ਲਿਬੇਰੋ ਤੋਂ ਬਾਹਰ ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਉਣ ਲਈ ਬਣਾਇਆ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ।
ਇੱਕ ਸਧਾਰਨ run.do ਬਣਾਓ file ਜਿਸ ਵਿੱਚ ਕੰਪਾਇਲੇਸ਼ਨ ਨਤੀਜਿਆਂ, ਲਾਇਬ੍ਰੇਰੀ ਮੈਪਿੰਗ, ਕੰਪਾਇਲੇਸ਼ਨ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਲਾਇਬ੍ਰੇਰੀ ਸਥਾਪਤ ਕਰਨ ਦੀਆਂ ਕਮਾਂਡਾਂ ਹਨ। ਇੱਕ ਮੁੱਢਲਾ run.do ਬਣਾਉਣ ਲਈ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ। file.
- vlib ਕਮਾਂਡ vlib presynth ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਕੰਪਾਇਲੇਸ਼ਨ ਨਤੀਜਿਆਂ ਨੂੰ ਸਟੋਰ ਕਰਨ ਲਈ ਇੱਕ ਲਾਜ਼ੀਕਲ ਲਾਇਬ੍ਰੇਰੀ ਬਣਾਓ।
- vmap ਕਮਾਂਡ vmap ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਲਾਜ਼ੀਕਲ ਲਾਇਬ੍ਰੇਰੀ ਨਾਮ ਨੂੰ ਪਹਿਲਾਂ ਤੋਂ ਕੰਪਾਈਲ ਕੀਤੀ ਲਾਇਬ੍ਰੇਰੀ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਮੈਪ ਕਰੋ। .
- ਸਰੋਤ ਕੰਪਾਇਲ ਕਰੋ files—ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਭਾਸ਼ਾ-ਵਿਸ਼ੇਸ਼ ਕੰਪਾਈਲਰ ਕਮਾਂਡਾਂ ਦੀ ਵਰਤੋਂ ਕਰੋ। files ਨੂੰ ਵਰਕਿੰਗ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਭੇਜਦਾ ਹੈ।
- .v/.sv ਲਈ ਵਲੌਗ
– .vhd ਲਈ vcom - ਕਿਸੇ ਵੀ ਉੱਚ-ਪੱਧਰੀ ਮੋਡੀਊਲ ਦਾ ਨਾਮ ਦੱਸ ਕੇ vsim ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਡਿਜ਼ਾਈਨ ਲੋਡ ਕਰੋ।
- ਰਨ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸਿਮੂਲੇਟ ਕਰੋ।
ਡਿਜ਼ਾਈਨ ਲੋਡ ਕਰਨ ਤੋਂ ਬਾਅਦ, ਸਿਮੂਲੇਸ਼ਨ ਸਮਾਂ ਜ਼ੀਰੋ 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਅਤੇ ਤੁਸੀਂ ਸਿਮੂਲੇਸ਼ਨ ਸ਼ੁਰੂ ਕਰਨ ਲਈ ਰਨ ਕਮਾਂਡ ਦਰਜ ਕਰ ਸਕਦੇ ਹੋ।
ਸਿਮੂਲੇਟਰ ਟ੍ਰਾਂਸਕ੍ਰਿਪਟ ਵਿੰਡੋ ਵਿੱਚ, run.do ਚਲਾਓ file ਜਿਵੇਂ ਕਿ run.do ਸਿਮੂਲੇਸ਼ਨ ਚਲਾਓ। Sample run.do file ਹੇਠ ਅਨੁਸਾਰ.
ਚੁੱਪਚਾਪ ACTELLIBNAME PolarFire ਸੈੱਟ ਕਰੋ ਚੁੱਪਚਾਪ PROJECT_DIR “W:/Test/basic_test” ਸੈੱਟ ਕਰੋ ਜੇਕਰ
{[file ਮੌਜੂਦ ਹੈ presynth/_info]} { echo “INFO: ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀ presynth ਮੌਜੂਦ ਹੈ” } ਨਹੀਂ ਤਾਂ
{ file ਡਿਲੀਟ -ਫੋਰਸ ਪ੍ਰੈਸਿੰਥ vlib ਪ੍ਰੈਸਿੰਥ } vmap ਪ੍ਰੈਸਿੰਥ ਪ੍ਰੈਸਿੰਥ vmap ਪੋਲਰਫਾਇਰ
“X:/Libero/Designer/lib/modelsimpro/precompiled/vlog/PolarFire” vlog -sv -work presynth
“${PROJECT_DIR}/hdl/top.v” vlog “+incdir+${PROJECT_DIR}/stimulus” -sv -ਵਰਕ ਪ੍ਰੈਸਿੰਥ “$
{PROJECT_DIR}/stimulus/tb.v” vsim -L PolarFire -L presynth -t 1ps presynth.tb ਵੇਵ ਜੋੜੋ /tb/*
1000ns log /tb/* ਐਗਜ਼ਿਟ ਚਲਾਓ
ਅੰਤਿਕਾ C—ਡੈਰੀਵ ਪਾਬੰਦੀਆਂ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਇਹ ਅੰਤਿਕਾ Derive Constraints Tcl ਕਮਾਂਡਾਂ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ।
9.1 ਪਾਬੰਦੀਆਂ ਪ੍ਰਾਪਤ ਕਰੋ Tcl ਕਮਾਂਡਾਂ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
derive_constraints ਸਹੂਲਤ ਤੁਹਾਨੂੰ Libero SoC ਡਿਜ਼ਾਈਨ ਵਾਤਾਵਰਣ ਤੋਂ ਬਾਹਰ RTL ਜਾਂ ਕੌਂਫਿਗਰੇਟਰ ਤੋਂ ਪਾਬੰਦੀਆਂ ਪ੍ਰਾਪਤ ਕਰਨ ਵਿੱਚ ਮਦਦ ਕਰਦੀ ਹੈ। ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਲਈ ਪਾਬੰਦੀਆਂ ਪੈਦਾ ਕਰਨ ਲਈ, ਤੁਹਾਨੂੰ ਯੂਜ਼ਰ HDL, ਕੰਪੋਨੈਂਟ HDL, ਅਤੇ ਕੰਪੋਨੈਂਟ ਪਾਬੰਦੀਆਂ ਦੀ ਲੋੜ ਹੈ। fileਐੱਸ. ਐੱਸ.ਡੀ.ਸੀ. ਕੰਪੋਨੈਂਟ ਪਾਬੰਦੀਆਂ fileਦੇ ਅਧੀਨ ਉਪਲਬਧ ਹਨ /ਕੰਪੋਨੈਂਟ/ਕੰਮ/ / / ਡਾਇਰੈਕਟਰੀ ਕੰਪੋਨੈਂਟ ਸੰਰਚਨਾ ਅਤੇ ਪੀੜ੍ਹੀ ਤੋਂ ਬਾਅਦ।
ਹਰੇਕ ਹਿੱਸੇ ਦੀ ਸੀਮਾ file ਇਸ ਵਿੱਚ set_component tcl ਕਮਾਂਡ (ਕੰਪੋਨੈਂਟ ਦਾ ਨਾਮ ਦਰਸਾਉਂਦਾ ਹੈ) ਅਤੇ ਸੰਰਚਨਾ ਤੋਂ ਬਾਅਦ ਤਿਆਰ ਕੀਤੀਆਂ ਗਈਆਂ ਰੁਕਾਵਟਾਂ ਦੀ ਸੂਚੀ ਸ਼ਾਮਲ ਹੁੰਦੀ ਹੈ। ਇਹ ਰੁਕਾਵਟਾਂ ਸੰਰਚਨਾ ਦੇ ਆਧਾਰ 'ਤੇ ਤਿਆਰ ਕੀਤੀਆਂ ਜਾਂਦੀਆਂ ਹਨ ਅਤੇ ਹਰੇਕ ਹਿੱਸੇ ਲਈ ਖਾਸ ਹੁੰਦੀਆਂ ਹਨ।
Example 9-1। ਕੰਪੋਨੈਂਟ ਕੰਸਟ੍ਰੈਂਟ File PF_CCC ਕੋਰ ਲਈ
ਇੱਥੇ ਇੱਕ ਸਾਬਕਾ ਹੈampਇੱਕ ਕੰਪੋਨੈਂਟ ਸੀਮਾ ਦਾ LE file PF_CCC ਕੋਰ ਲਈ:
ਸੈੱਟ_ਕੰਪੋਨੈਂਟ PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# ਮਾਈਕ੍ਰੋਚਿੱਪ ਕਾਰਪੋਰੇਸ਼ਨ
# ਮਿਤੀ: 2021-ਅਕਤੂਬਰ-26 04:36:00
# PLL #0 ਲਈ ਬੇਸ ਕਲਾਕ
ਬਣਾਓ_ਘੜੀ -ਪੀਰੀਅਡ 10 [ਪਿਨ ਪ੍ਰਾਪਤ ਕਰੋ { pll_inst_0/REF_CLK_0 } ] ਬਣਾਓ_ਜਨਰੇਟ_ਘੜੀ -ਵੰਡੋ_ਦੁਆਰਾ 1 -ਸਰੋਤ [ ਪਿਨ ਪ੍ਰਾਪਤ ਕਰੋ { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { plll_inst_0/OUT0 } ] ਇੱਥੇ, create_clock ਅਤੇ create_generated_clock ਕ੍ਰਮਵਾਰ ਸੰਦਰਭ ਅਤੇ ਆਉਟਪੁੱਟ ਘੜੀ ਦੀਆਂ ਸੀਮਾਵਾਂ ਹਨ, ਜੋ ਕਿ ਸੰਰਚਨਾ ਦੇ ਅਧਾਰ ਤੇ ਤਿਆਰ ਕੀਤੀਆਂ ਜਾਂਦੀਆਂ ਹਨ।
9.1.1 derive_constraints ਸਹੂਲਤ ਨਾਲ ਕੰਮ ਕਰਨਾ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਪਹਿਲਾਂ ਦਿੱਤੇ ਗਏ ਕੰਪੋਨੈਂਟ SDC ਦੇ ਆਧਾਰ 'ਤੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚੋਂ ਲੰਘਣ ਵਾਲੀਆਂ ਰੁਕਾਵਟਾਂ ਪ੍ਰਾਪਤ ਕਰੋ ਅਤੇ ਹਰੇਕ ਕੰਪੋਨੈਂਟ ਲਈ ਨਵੀਆਂ ਰੁਕਾਵਟਾਂ ਨਿਰਧਾਰਤ ਕਰੋ। files. CCC ਸੰਦਰਭ ਘੜੀਆਂ ਲਈ, ਇਹ ਸੰਦਰਭ ਘੜੀ ਦੇ ਸਰੋਤ ਨੂੰ ਲੱਭਣ ਲਈ ਡਿਜ਼ਾਈਨ ਰਾਹੀਂ ਵਾਪਸ ਪ੍ਰਸਾਰਿਤ ਹੁੰਦਾ ਹੈ। ਜੇਕਰ ਸਰੋਤ ਇੱਕ I/O ਹੈ, ਤਾਂ ਸੰਦਰਭ ਘੜੀ ਦੀ ਸੀਮਾ I/O 'ਤੇ ਸੈੱਟ ਕੀਤੀ ਜਾਵੇਗੀ। ਜੇਕਰ ਇਹ ਇੱਕ CCC ਆਉਟਪੁੱਟ ਜਾਂ ਕੋਈ ਹੋਰ ਘੜੀ ਸਰੋਤ ਹੈ (ਉਦਾਹਰਨ ਲਈample, ਟ੍ਰਾਂਸਸੀਵਰ, ਔਸਿਲੇਟਰ), ਇਹ ਦੂਜੇ ਕੰਪੋਨੈਂਟ ਤੋਂ ਘੜੀ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ ਅਤੇ ਜੇਕਰ ਅੰਤਰਾਲ ਮੇਲ ਨਹੀਂ ਖਾਂਦੇ ਤਾਂ ਚੇਤਾਵਨੀ ਦੀ ਰਿਪੋਰਟ ਕਰਦਾ ਹੈ। ਡੈਰੀਵ ਕੰਸਟ੍ਰੈਂਸ਼ਮੈਂਟ ਕੁਝ ਮੈਕਰੋਜ਼ ਜਿਵੇਂ ਕਿ ਔਨ-ਚਿੱਪ ਔਸਿਲੇਟਰ ਲਈ ਵੀ ਕੰਸਟ੍ਰੈਂਸ਼ਟਾਂ ਨਿਰਧਾਰਤ ਕਰੇਗਾ ਜੇਕਰ ਤੁਹਾਡੇ ਕੋਲ ਉਹ ਤੁਹਾਡੇ RTL ਵਿੱਚ ਹਨ।
derive_constraints ਸਹੂਲਤ ਨੂੰ ਚਲਾਉਣ ਲਈ, ਤੁਹਾਨੂੰ ਇੱਕ .tcl ਸਪਲਾਈ ਕਰਨਾ ਪਵੇਗਾ file ਦਿੱਤੇ ਕ੍ਰਮ ਵਿੱਚ ਹੇਠ ਲਿਖੀ ਜਾਣਕਾਰੀ ਦੇ ਨਾਲ ਕਮਾਂਡ-ਲਾਈਨ ਆਰਗੂਮੈਂਟ।
- set_device ਭਾਗ ਵਿੱਚ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਡਿਵਾਈਸ ਜਾਣਕਾਰੀ ਦਿਓ।
- RTL ਲਈ ਰਸਤਾ ਦੱਸੋ files ਭਾਗ read_verilog ਜਾਂ read_vhdl ਵਿੱਚ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਕਰ ਰਿਹਾ ਹੈ।
- set_top_level ਭਾਗ ਵਿੱਚ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਉੱਚ ਪੱਧਰੀ ਮੋਡੀਊਲ ਸੈੱਟ ਕਰੋ।
- ਕੰਪੋਨੈਂਟ SDC ਲਈ ਮਾਰਗ ਦੱਸੋ files ਭਾਗ read_sdc ਜਾਂ read_ndc ਵਿੱਚ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਕਰ ਰਿਹਾ ਹੈ।
- ਨੂੰ ਚਲਾਓ files ਭਾਗ derive_constraints ਵਿੱਚ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਕਰ ਰਿਹਾ ਹੈ।
- SDC ਪ੍ਰਾਪਤ ਸੀਮਾਵਾਂ ਲਈ ਮਾਰਗ ਨਿਰਧਾਰਤ ਕਰੋ file write_sdc ਜਾਂ write_pdc ਜਾਂ write_ndc ਭਾਗ ਵਿੱਚ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ।
Example 9-2. derive.tcl ਦੇ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਅਤੇ ਸਮੱਗਰੀ File
ਹੇਠ ਦਿੱਤੀ ਇੱਕ ਸਾਬਕਾ ਹੈampderive_constraints ਸਹੂਲਤ ਨੂੰ ਚਲਾਉਣ ਲਈ ਕਮਾਂਡ-ਲਾਈਨ ਆਰਗੂਮੈਂਟ।
$ /bin{64}/derive_constraints derive.tcl
derive.tcl ਦੀ ਸਮੱਗਰੀ file:
# ਡਿਵਾਈਸ ਜਾਣਕਾਰੀ
ਸੈੱਟ_ਡਿਵਾਈਸ -ਫੈਮਿਲੀ ਪੋਲਰਫਾਇਰ -ਡਾਈ MPF100T -ਸਪੀਡ -1
# ਆਰਟੀਐਲ files
ਰੀਡ_ਵੇਰੀਲੌਗ -ਮੋਡ ਸਿਸਟਮ_ਵੇਰੀਲੌਗ ਪ੍ਰੋਜੈਕਟ/ਕੰਪੋਨੈਂਟ/ਵਰਕ/txpll0/
txpll0_txpll0_0_PF_TX_PLL.v ਵੱਲੋਂ ਹੋਰ
ਰੀਡ_ਵੇਰੀਲੌਗ -ਮੋਡ ਸਿਸਟਮ_ਵੇਰੀਲੌਗ {ਪ੍ਰੋਜੈਕਟ/ਕੰਪੋਨੈਂਟ/ਵਰਕ/txpll0/txpll0.v}
ਰੀਡ_ਵੇਰੀਲੋਗ -ਮੋਡ ਸਿਸਟਮ_ਵੇਰੀਲੋਗ {ਪ੍ਰੋਜੈਕਟ/ਕੰਪੋਨੈਂਟ/ਵਰਕ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
ਰੀਡ_ਵੇਰੀਲੌਗ -ਮੋਡ ਸਿਸਟਮ_ਵੇਰੀਲੌਗ {ਪ੍ਰੋਜੈਕਟ/ਕੰਪੋਨੈਂਟ/ਵਰਕ/xcvr0/xcvr0.v}
ਰੀਡ_ਵੀਐਚਡੀਐਲ -ਮੋਡ vhdl_2008 {ਪ੍ਰੋਜੈਕਟ/ਐਚਡੀਐਲ/ਐਕਸਸੀਵੀਆਰ1.ਵੀਐਚਡੀ}
#ਕੰਪੋਨੈਂਟ ਐਸ.ਡੀ.ਸੀ. files
ਸੈੱਟ_ਟੌਪ_ਲੈਵਲ {xcvr1}
ਰੀਡ_ਐਸਡੀਸੀ -ਕੰਪੋਨੈਂਟ {ਪ੍ਰੋਜੈਕਟ/ਕੰਪੋਨੈਂਟ/ਵਰਕ/txpll0/txpll0_0/
txpll0_txpll0_0_PF_TX_PLL.sdc}
ਰੀਡ_ਐਸਡੀਸੀ -ਕੰਪੋਨੈਂਟ {ਪ੍ਰੋਜੈਕਟ/ਕੰਪੋਨੈਂਟ/ਵਰਕ/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਡੈਰੀਵੇਵ_ਕੰਸਟ੍ਰੈਂਟਸ
#SDC/PDC/NDC ਨਤੀਜਾ files
ਲਿਖੋ_ਐਸਡੀਸੀ {ਪ੍ਰੋਜੈਕਟ/ਕੰਸਟ੍ਰੈਂਟ/xcvr1_derived_ਕੰਸਟ੍ਰੈਂਟਸ.ਐਸਡੀਸੀ}
ਲਿਖੋ_ਪੀਡੀਸੀ {ਪ੍ਰੋਜੈਕਟ/ਕੰਸਟ੍ਰੈਂਟ/ਐਫਪੀ/ਐਕਸਸੀਵੀਆਰ1_ਡਰਾਇਵਡ_ਕੰਸਟ੍ਰੈਂਟਸ.ਪੀਡੀਸੀ}
9.1.2 ਡਿਵਾਈਸ ਸੈੱਟ ਕਰੋ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਪਰਿਵਾਰ ਦਾ ਨਾਮ, ਮਰਨ ਦਾ ਨਾਮ, ਅਤੇ ਸਪੀਡ ਗ੍ਰੇਡ ਦੱਸੋ।
ਸੈੱਟ_ਡਿਵਾਈਸ - ਪਰਿਵਾਰ -ਮਰਨਾ -ਸਪੀਡ
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
-ਪਰਿਵਾਰ | ਸਤਰ | ਪਰਿਵਾਰ ਦਾ ਨਾਮ ਦੱਸੋ। ਸੰਭਾਵੀ ਮੁੱਲ PolarFire®, PolarFire SoC ਹਨ। |
-ਮਰਨਾ | ਸਤਰ | ਡਾਈ ਦਾ ਨਾਮ ਦੱਸੋ। |
-ਸਪੀਡ | ਸਤਰ | ਡਿਵਾਈਸ ਸਪੀਡ ਗ੍ਰੇਡ ਦੱਸੋ। ਸੰਭਵ ਮੁੱਲ STD ਜਾਂ -1 ਹਨ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ—ਡਾਈ ਗੁੰਮ ਹੈ | ਡਾਈ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ ਅਤੇ ਇਸਨੂੰ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0005 | ਅਣਜਾਣ 'MPF30' ਦਿਨ | -die ਵਿਕਲਪ ਦਾ ਮੁੱਲ ਸਹੀ ਨਹੀਂ ਹੈ। ਵਿਕਲਪ ਦੇ ਵਰਣਨ ਵਿੱਚ ਮੁੱਲਾਂ ਦੀ ਸੰਭਾਵਿਤ ਸੂਚੀ ਵੇਖੋ। |
ERR0023 | ਪੈਰਾਮੀਟਰ—ਡਾਈ ਦਾ ਮੁੱਲ ਗੁੰਮ ਹੈ | ਡਾਈ ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ—ਪਰਿਵਾਰ ਗੁੰਮ ਹੈ | ਪਰਿਵਾਰਕ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ ਅਤੇ ਇਸਨੂੰ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0004 | ਅਣਜਾਣ ਪਰਿਵਾਰ 'ਪੋਲਰਫਾਇਰ®' | ਪਰਿਵਾਰ ਵਿਕਲਪ ਸਹੀ ਨਹੀਂ ਹੈ। ਵਿਕਲਪ ਦੇ ਵਰਣਨ ਵਿੱਚ ਮੁੱਲਾਂ ਦੀ ਸੰਭਾਵਿਤ ਸੂਚੀ ਵੇਖੋ। |
………… ਜਾਰੀ ਰਿਹਾ | ||
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਪੈਰਾਮੀਟਰ—ਪਰਿਵਾਰ ਵਿੱਚ ਮੁੱਲ ਗੁੰਮ ਹੈ | ਪਰਿਵਾਰ ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ—ਗਤੀ ਗੁੰਮ ਹੈ | ਗਤੀ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ ਅਤੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0007 | ਅਣਜਾਣ ਗਤੀ ' ' | ਸਪੀਡ ਵਿਕਲਪ ਸਹੀ ਨਹੀਂ ਹੈ। ਵਿਕਲਪ ਦੇ ਵਰਣਨ ਵਿੱਚ ਮੁੱਲਾਂ ਦੀ ਸੰਭਾਵਿਤ ਸੂਚੀ ਵੇਖੋ। |
ERR0023 | ਪੈਰਾਮੀਟਰ—ਸਪੀਡ ਦਾ ਮੁੱਲ ਗੁੰਮ ਹੈ | ਸਪੀਡ ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
Example
ਸੈੱਟ_ਡਿਵਾਈਸ -ਫੈਮਿਲੀ {ਪੋਲਰਫਾਇਰ} -ਡਾਈ {MPF300T_ES} -ਸਪੀਡ -1
ਸੈੱਟ_ਡਿਵਾਈਸ -ਫੈਮਿਲੀ ਸਮਾਰਟਫਿਊਜ਼ਨ 2 -ਡਾਈ M2S090T -ਸਪੀਡ -1
9.1.3 ਰੀਡ_ਵਰਿਲੌਗ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਇੱਕ ਵੇਰੀਲੋਗ ਪੜ੍ਹੋ file ਵੈਰੀਫਿਕ ਦੀ ਵਰਤੋਂ ਕਰਕੇ।
ਰੀਡ_ਵਰਿਲੌਗ [-ਲਿਬ ] [-ਮੋਡ ]fileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
-ਲਿਬ | ਸਤਰ | ਉਸ ਲਾਇਬ੍ਰੇਰੀ ਨੂੰ ਦੱਸੋ ਜਿਸ ਵਿੱਚ ਲਾਇਬ੍ਰੇਰੀ ਵਿੱਚ ਸ਼ਾਮਲ ਕੀਤੇ ਜਾਣ ਵਾਲੇ ਮੋਡੀਊਲ ਹਨ। |
-ਮੋਡ | ਸਤਰ | ਵੇਰੀਲੌਗ ਸਟੈਂਡਰਡ ਦੱਸੋ। ਸੰਭਵ ਮੁੱਲ ਹਨ verilog_95, verilog_2k, system_verilog_2005, system_verilog_2009, system_verilog, verilog_ams, verilog_psl, system_verilog_mfcu। ਮੁੱਲ ਕੇਸ ਅਸੰਵੇਦਨਸ਼ੀਲ ਹਨ। ਡਿਫਾਲਟ verilog_2k ਹੈ। |
fileਨਾਮ | ਸਤਰ | ਵੇਰੀਲੌਗ file ਨਾਮ |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਪੈਰਾਮੀਟਰ—lib ਦਾ ਮੁੱਲ ਗੁੰਮ ਹੈ | lib ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0023 | ਪੈਰਾਮੀਟਰ—ਮੋਡ ਵਿੱਚ ਮੁੱਲ ਗੁੰਮ ਹੈ | ਮੋਡ ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0015 | ਅਣਜਾਣ ਮੋਡ ' ' | ਨਿਰਧਾਰਤ ਵੇਰੀਲੌਗ ਮੋਡ ਅਣਜਾਣ ਹੈ। ਸੰਭਵ ਵੇਰੀਲੌਗ ਮੋਡ ਇਨ—ਮੋਡ ਵਿਕਲਪ ਵਰਣਨ ਦੀ ਸੂਚੀ ਵੇਖੋ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ file ਨਾਮ ਗੁੰਮ ਹੈ। | ਕੋਈ ਵੇਰੀਲਾਗ ਨਹੀਂ file ਮਾਰਗ ਪ੍ਰਦਾਨ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0016 | ਵੈਰੀਫਿਕ ਦੇ ਪਾਰਸਰ ਕਾਰਨ ਅਸਫਲ ਰਿਹਾ। | ਵੇਰੀਲੌਗ ਵਿੱਚ ਸਿੰਟੈਕਸ ਗਲਤੀ file. ਵੈਰੀਫਿਕ ਦੇ ਪਾਰਸਰ ਨੂੰ ਗਲਤੀ ਸੁਨੇਹੇ ਦੇ ਉੱਪਰ ਕੰਸੋਲ ਵਿੱਚ ਦੇਖਿਆ ਜਾ ਸਕਦਾ ਹੈ। |
ERR0012 | set_device ਨੂੰ ਕਾਲ ਨਹੀਂ ਕੀਤਾ ਜਾਂਦਾ ਹੈ | ਡਿਵਾਈਸ ਦੀ ਜਾਣਕਾਰੀ ਨਿਰਧਾਰਤ ਨਹੀਂ ਕੀਤੀ ਗਈ ਹੈ। ਡਿਵਾਈਸ ਦਾ ਵਰਣਨ ਕਰਨ ਲਈ set_device ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ। |
Example
ਰੀਡ_ਵੇਰੀਲੋਗ -ਮੋਡ ਸਿਸਟਮ_ਵੇਰੀਲੋਗ {ਕੰਪੋਨੈਂਟ/ਵਰਕ/ਟੌਪ/ਟੌਪ.ਵੀ}
ਰੀਡ_ਵੇਰੀਲੌਗ -ਮੋਡ ਸਿਸਟਮ_ਵੇਰੀਲੌਗ_ਐਮਐਫਸੀਯੂ ਡਿਜ਼ਾਈਨ.ਵੀ
9.1.4 ਰੀਡ_ਵੀਐਚਡੀਐਲ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਇੱਕ VHDL ਸ਼ਾਮਲ ਕਰੋ file VHDL ਦੀ ਸੂਚੀ ਵਿੱਚ files.
ਰੀਡ_ਵੀਐਚਡੀਐਲ [-ਲਿਬ ] [-ਮੋਡ ]fileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
-ਲਿਬ | — | ਉਹ ਲਾਇਬ੍ਰੇਰੀ ਦੱਸੋ ਜਿਸ ਵਿੱਚ ਸਮੱਗਰੀ ਸ਼ਾਮਲ ਕੀਤੀ ਜਾਣੀ ਹੈ। |
-ਮੋਡ | — | VHDL ਸਟੈਂਡਰਡ ਦੱਸਦਾ ਹੈ। ਡਿਫਾਲਟ VHDL_93 ਹੈ। ਸੰਭਵ ਮੁੱਲ vhdl_93, vhdl_87, vhdl_2k, vhdl_2008, vhdl_psl ਹਨ। ਮੁੱਲ ਕੇਸ ਅਸੰਵੇਦਨਸ਼ੀਲ ਹਨ। |
fileਨਾਮ | — | VHDL file ਨਾਮ |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਪੈਰਾਮੀਟਰ—lib ਦਾ ਮੁੱਲ ਗੁੰਮ ਹੈ | lib ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0023 | ਪੈਰਾਮੀਟਰ—ਮੋਡ ਵਿੱਚ ਮੁੱਲ ਗੁੰਮ ਹੈ | ਮੋਡ ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0018 | ਅਣਜਾਣ ਮੋਡ ' ' | ਨਿਰਧਾਰਤ VHDL ਮੋਡ ਅਣਜਾਣ ਹੈ। ਸੰਭਵ VHDL ਮੋਡ ਇਨ—ਮੋਡ ਵਿਕਲਪ ਵਰਣਨ ਦੀ ਸੂਚੀ ਵੇਖੋ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ file ਨਾਮ ਗੁੰਮ ਹੈ। | ਕੋਈ VHDL ਨਹੀਂ file ਮਾਰਗ ਪ੍ਰਦਾਨ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0019 | invalid_path.v ਰਜਿਸਟਰ ਕਰਨ ਵਿੱਚ ਅਸਮਰੱਥ file | ਨਿਰਧਾਰਤ VHDL file ਮੌਜੂਦ ਨਹੀਂ ਹੈ ਜਾਂ ਪੜ੍ਹਨ ਦੀ ਇਜਾਜ਼ਤ ਨਹੀਂ ਹੈ। |
ERR0012 | set_device ਨੂੰ ਕਾਲ ਨਹੀਂ ਕੀਤਾ ਜਾਂਦਾ ਹੈ | ਡਿਵਾਈਸ ਦੀ ਜਾਣਕਾਰੀ ਨਿਰਧਾਰਤ ਨਹੀਂ ਕੀਤੀ ਗਈ ਹੈ। ਡਿਵਾਈਸ ਦਾ ਵਰਣਨ ਕਰਨ ਲਈ set_device ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰੋ। |
Example
ਰੀਡ_ਵੀਐਚਡੀਐਲ -ਮੋਡ vhdl_2008 osc2dfn.vhd
ਪੜ੍ਹੋ_ਵੀਐਚਡੀਐਲ {ਐਚਡੀਐਲ/ਟੌਪ.ਵੀਐਚਡੀ}
9.1.5 ਸੈੱਟ_ਟੌਪ_ਲੈਵਲ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
RTL ਵਿੱਚ ਉੱਚ-ਪੱਧਰੀ ਮੋਡੀਊਲ ਦਾ ਨਾਮ ਦੱਸੋ।
ਸੈੱਟ_ਟੌਪ_ਲੈਵਲ [-ਲਿਬ ]
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
-ਲਿਬ | ਸਤਰ | ਉੱਚ-ਪੱਧਰੀ ਮੋਡੀਊਲ ਜਾਂ ਇਕਾਈ ਦੀ ਖੋਜ ਕਰਨ ਲਈ ਲਾਇਬ੍ਰੇਰੀ (ਵਿਕਲਪਿਕ)। |
ਨਾਮ | ਸਤਰ | ਉੱਚ-ਪੱਧਰੀ ਮੋਡੀਊਲ ਜਾਂ ਇਕਾਈ ਦਾ ਨਾਮ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ ਸਿਖਰਲਾ ਪੱਧਰ ਗੁੰਮ ਹੈ। | ਉੱਚ ਪੱਧਰੀ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ ਅਤੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0023 | ਪੈਰਾਮੀਟਰ—lib ਦਾ ਮੁੱਲ ਗੁੰਮ ਹੈ | lib ਵਿਕਲਪ ਬਿਨਾਂ ਮੁੱਲਾਂ ਦੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਗਿਆ ਹੈ। |
ERR0014 | ਉੱਚ ਪੱਧਰ ਲੱਭਣ ਵਿੱਚ ਅਸਮਰੱਥ ਲਾਇਬ੍ਰੇਰੀ ਵਿੱਚ | ਨਿਰਧਾਰਤ ਉੱਚ-ਪੱਧਰੀ ਮਾਡਿਊਲ ਪ੍ਰਦਾਨ ਕੀਤੀ ਲਾਇਬ੍ਰੇਰੀ ਵਿੱਚ ਪਰਿਭਾਸ਼ਿਤ ਨਹੀਂ ਹੈ। ਇਸ ਗਲਤੀ ਨੂੰ ਠੀਕ ਕਰਨ ਲਈ, ਸਿਖਰਲੇ ਮਾਡਿਊਲ ਜਾਂ ਲਾਇਬ੍ਰੇਰੀ ਨਾਮ ਨੂੰ ਠੀਕ ਕਰਨਾ ਲਾਜ਼ਮੀ ਹੈ। |
ERR0017 | ਵਿਸਤ੍ਰਿਤ ਅਸਫਲ ਰਿਹਾ | RTL ਵਿਸਥਾਰ ਪ੍ਰਕਿਰਿਆ ਵਿੱਚ ਗਲਤੀ। ਗਲਤੀ ਸੁਨੇਹਾ ਕੰਸੋਲ ਤੋਂ ਦੇਖਿਆ ਜਾ ਸਕਦਾ ਹੈ। |
Example
ਸੈੱਟ_ਟੌਪ_ਲੈਵਲ {ਟੌਪ}
set_top_level -lib hdl ਟੌਪ
9.1.6 read_sdc (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਇੱਕ SDC ਪੜ੍ਹੋ file ਕੰਪੋਨੈਂਟ ਡੇਟਾਬੇਸ ਵਿੱਚ।
ਰੀਡ_ਐਸਡੀਸੀ - ਕੰਪੋਨੈਂਟfileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
-ਕੰਪੋਨੈਂਟ | — | ਇਹ read_sdc ਕਮਾਂਡ ਲਈ ਇੱਕ ਲਾਜ਼ਮੀ ਫਲੈਗ ਹੈ ਜਦੋਂ ਅਸੀਂ ਰੁਕਾਵਟਾਂ ਪ੍ਰਾਪਤ ਕਰਦੇ ਹਾਂ। |
fileਨਾਮ | ਸਤਰ | SDC ਦਾ ਰਸਤਾ file. |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ file ਨਾਮ ਗੁੰਮ ਹੈ। | ਲਾਜ਼ਮੀ ਵਿਕਲਪ file ਨਾਮ ਨਹੀਂ ਦਿੱਤਾ ਗਿਆ ਹੈ। |
ERR0000 | ਐਸ.ਡੀ.ਸੀ file <file_path> ਪੜ੍ਹਨਯੋਗ ਨਹੀਂ ਹੈ। | ਨਿਰਧਾਰਤ SDC file ਪੜ੍ਹਨ ਦੀ ਇਜਾਜ਼ਤ ਨਹੀਂ ਹੈ। |
ERR0001 | ਖੋਲ੍ਹਣ ਵਿੱਚ ਅਸਮਰੱਥfile_path> file. | ਐਸ.ਡੀ.ਸੀ. file ਮੌਜੂਦ ਨਹੀਂ ਹੈ। ਰਸਤਾ ਠੀਕ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0008 | ਵਿੱਚ set_component ਕਮਾਂਡ ਗੁੰਮ ਹੈfile_path> file | SDC ਦਾ ਨਿਰਧਾਰਤ ਹਿੱਸਾ file ਕੰਪੋਨੈਂਟ ਨੂੰ ਨਹੀਂ ਦੱਸਦਾ। |
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0009 | <List of errors from sdc file> | ਐਸ.ਡੀ.ਸੀ. file ਗਲਤ sdc ਕਮਾਂਡਾਂ ਹਨ। ਉਦਾਹਰਣ ਵਜੋਂample,
ਜਦੋਂ set_multicycle_path ਸੀਮਾ ਵਿੱਚ ਕੋਈ ਗਲਤੀ ਹੁੰਦੀ ਹੈ: read_sdc ਕਮਾਂਡ ਚਲਾਉਣ ਦੌਰਾਨ ਗਲਤੀ: infile_path> file: ਕਮਾਂਡ set_multicycle_path ਵਿੱਚ ਗਲਤੀ: ਅਣਜਾਣ ਪੈਰਾਮੀਟਰ [get_cells {reg_a}]। |
Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਇੱਕ NDC ਪੜ੍ਹੋ file ਕੰਪੋਨੈਂਟ ਡੇਟਾਬੇਸ ਵਿੱਚ।
ਰੀਡ_ਐਨਡੀਸੀ - ਕੰਪੋਨੈਂਟfileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
-ਕੰਪੋਨੈਂਟ | — | ਇਹ read_ndc ਕਮਾਂਡ ਲਈ ਇੱਕ ਲਾਜ਼ਮੀ ਫਲੈਗ ਹੈ ਜਦੋਂ ਅਸੀਂ ਰੁਕਾਵਟਾਂ ਪ੍ਰਾਪਤ ਕਰਦੇ ਹਾਂ। |
fileਨਾਮ | ਸਤਰ | ਐਨਡੀਸੀ ਦਾ ਰਸਤਾ file. |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0001 | ਖੋਲ੍ਹਣ ਵਿੱਚ ਅਸਮਰੱਥfile_path> file | ਐਨ.ਡੀ.ਸੀ. file ਮੌਜੂਦ ਨਹੀਂ ਹੈ। ਰਸਤਾ ਠੀਕ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ—AtclParamO_ ਗੁੰਮ ਹੈ। | ਲਾਜ਼ਮੀ ਵਿਕਲਪ fileਨਾਮ ਨਹੀਂ ਦਿੱਤਾ ਗਿਆ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ—ਕੰਪੋਨੈਂਟ ਗੁੰਮ ਹੈ। | ਕੰਪੋਨੈਂਟ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ ਅਤੇ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ERR0000 | ਐਨ.ਡੀ.ਸੀ file 'file_path>' ਪੜ੍ਹਨਯੋਗ ਨਹੀਂ ਹੈ। | ਨਿਰਧਾਰਤ ਐਨ.ਡੀ.ਸੀ. file ਪੜ੍ਹਨ ਦੀ ਇਜਾਜ਼ਤ ਨਹੀਂ ਹੈ। |
Example
ਰੀਡ_ਐਨਡੀਸੀ -ਕੰਪੋਨੈਂਟ {ਕੰਪੋਨੈਂਟ/ਵਰਕ/ਸੀਸੀਸੀ1/ਸੀਸੀਸੀ1_0/ਸੀਸੀਸੀ_ਕੰਪ.ਐਨਡੀਸੀ}
9.1.8 derive_constraints (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਕੰਪੋਨੈਂਟ SDC ਨੂੰ ਇੰਸਟੈਂਟ ਕਰੋ fileਡਿਜ਼ਾਈਨ-ਪੱਧਰ ਦੇ ਡੇਟਾਬੇਸ ਵਿੱਚ।
ਡੈਰੀਵੇਵ_ਕੰਸਟ੍ਰੈਂਟਸ
ਦਲੀਲਾਂ
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0013 | ਸਿਖਰ-ਪੱਧਰ ਪਰਿਭਾਸ਼ਿਤ ਨਹੀਂ ਹੈ | ਇਸਦਾ ਮਤਲਬ ਹੈ ਕਿ ਉੱਚ-ਪੱਧਰੀ ਮੋਡੀਊਲ ਜਾਂ ਇਕਾਈ ਨਿਰਧਾਰਤ ਨਹੀਂ ਹੈ। ਇਸ ਕਾਲ ਨੂੰ ਠੀਕ ਕਰਨ ਲਈ, ਜਾਰੀ ਕਰੋ derive_constraints ਕਮਾਂਡ ਤੋਂ ਪਹਿਲਾਂ set_top_level ਕਮਾਂਡ। |
Example
ਡੈਰੀਵੇਵ_ਕੰਸਟ੍ਰੈਂਟਸ
9.1.9 write_sdc (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਇੱਕ ਪਾਬੰਦੀ ਲਿਖਦਾ ਹੈ file SDC ਫਾਰਮੈਟ ਵਿੱਚ।
ਲਿਖੋ_ਐਸਡੀਸੀfileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
<fileਨਾਮ> | ਸਤਰ | SDC ਦਾ ਰਸਤਾ file ਤਿਆਰ ਕੀਤਾ ਜਾਵੇਗਾ। ਇਹ ਇੱਕ ਲਾਜ਼ਮੀ ਵਿਕਲਪ ਹੈ। ਜੇਕਰ file ਮੌਜੂਦ ਹੈ, ਤਾਂ ਇਸਨੂੰ ਓਵਰਰਾਈਟ ਕੀਤਾ ਜਾਵੇਗਾ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0003 | ਖੋਲ੍ਹਣ ਵਿੱਚ ਅਸਮਰੱਥfile ਮਾਰਗ> file. | File ਪਾਥ ਸਹੀ ਨਹੀਂ ਹੈ। ਜਾਂਚ ਕਰੋ ਕਿ ਕੀ ਪੇਰੈਂਟ ਡਾਇਰੈਕਟਰੀਆਂ ਮੌਜੂਦ ਹਨ। |
ERR0002 | ਐਸ.ਡੀ.ਸੀ file 'file path>' ਲਿਖਣਯੋਗ ਨਹੀਂ ਹੈ। | ਨਿਰਧਾਰਤ SDC file ਲਿਖਣ ਦੀ ਇਜਾਜ਼ਤ ਨਹੀਂ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ file ਨਾਮ ਗੁੰਮ ਹੈ। | ਐਸ.ਡੀ.ਸੀ. file path ਇੱਕ ਲਾਜ਼ਮੀ ਵਿਕਲਪ ਹੈ ਅਤੇ ਇਸਨੂੰ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
Example
ਲਿਖੋ_ਐਸਡੀਸੀ “derived.sdc”
9.1.10 write_pdc (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਭੌਤਿਕ ਰੁਕਾਵਟਾਂ (ਸਿਰਫ਼ ਡੈਰੀਵ ਪਾਬੰਦੀਆਂ) ਲਿਖਦਾ ਹੈ।
ਲਿਖੋ_ਪੀਡੀਸੀfileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
<fileਨਾਮ> | ਸਤਰ | ਪੀਡੀਸੀ ਦਾ ਰਸਤਾ file ਤਿਆਰ ਕੀਤਾ ਜਾਵੇਗਾ। ਇਹ ਇੱਕ ਲਾਜ਼ਮੀ ਵਿਕਲਪ ਹੈ। ਜੇਕਰ file ਮਾਰਗ ਮੌਜੂਦ ਹੈ, ਤਾਂ ਇਸਨੂੰ ਓਵਰਰਾਈਟ ਕਰ ਦਿੱਤਾ ਜਾਵੇਗਾ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹੇ | ਵਰਣਨ |
ERR0003 | ਖੋਲ੍ਹਣ ਵਿੱਚ ਅਸਮਰੱਥfile ਮਾਰਗ> file | ਦ file ਪਾਥ ਸਹੀ ਨਹੀਂ ਹੈ। ਜਾਂਚ ਕਰੋ ਕਿ ਕੀ ਪੇਰੈਂਟ ਡਾਇਰੈਕਟਰੀਆਂ ਮੌਜੂਦ ਹਨ। |
ERR0002 | ਪੀ.ਡੀ.ਸੀ file 'file path>' ਲਿਖਣਯੋਗ ਨਹੀਂ ਹੈ। | ਨਿਰਧਾਰਤ ਪੀ.ਡੀ.ਸੀ. file ਲਿਖਣ ਦੀ ਇਜਾਜ਼ਤ ਨਹੀਂ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ file ਨਾਮ ਗੁੰਮ ਹੈ। | ਪੀ.ਡੀ.ਸੀ. file path ਇੱਕ ਲਾਜ਼ਮੀ ਵਿਕਲਪ ਹੈ ਅਤੇ ਇਸਨੂੰ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
Example
ਲਿਖੋ_ਪੀਡੀਸੀ “derived.pdc”
9.1.11 write_ndc (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
NDC ਸੀਮਾਵਾਂ ਨੂੰ a ਵਿੱਚ ਲਿਖਦਾ ਹੈ file.
ਲਿਖੋ_ਐਨਡੀਸੀfileਨਾਮ>
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
fileਨਾਮ | ਸਤਰ | ਐਨਡੀਸੀ ਦਾ ਰਸਤਾ file ਤਿਆਰ ਕੀਤਾ ਜਾਵੇਗਾ। ਇਹ ਇੱਕ ਲਾਜ਼ਮੀ ਵਿਕਲਪ ਹੈ। ਜੇਕਰ file ਮੌਜੂਦ ਹੈ, ਤਾਂ ਇਸਨੂੰ ਓਵਰਰਾਈਟ ਕੀਤਾ ਜਾਵੇਗਾ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹੇ | ਵਰਣਨ |
ERR0003 | ਖੋਲ੍ਹਣ ਵਿੱਚ ਅਸਮਰੱਥfile_path> file. | File ਮਾਰਗ ਸਹੀ ਨਹੀਂ ਹੈ। ਮੂਲ ਡਾਇਰੈਕਟਰੀਆਂ ਮੌਜੂਦ ਨਹੀਂ ਹਨ। |
ERR0002 | ਐਨ.ਡੀ.ਸੀ file 'file_path>' ਲਿਖਣਯੋਗ ਨਹੀਂ ਹੈ। | ਨਿਰਧਾਰਤ ਐਨ.ਡੀ.ਸੀ. file ਲਿਖਣ ਦੀ ਇਜਾਜ਼ਤ ਨਹੀਂ ਹੈ। |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ _AtclParamO_ ਗੁੰਮ ਹੈ। | ਐਨ.ਡੀ.ਸੀ. file path ਇੱਕ ਲਾਜ਼ਮੀ ਵਿਕਲਪ ਹੈ ਅਤੇ ਇਸਨੂੰ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
Example
write_ndc “derived.ndc”
9.1.12 add_include_path (ਇੱਕ ਸਵਾਲ ਪੁੱਛੋ)
ਵਰਣਨ
ਖੋਜ ਲਈ ਇੱਕ ਮਾਰਗ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ ਜਿਸ ਵਿੱਚ ਸ਼ਾਮਲ ਹਨ fileRTL ਪੜ੍ਹਦੇ ਸਮੇਂ files.
ਸ਼ਾਮਲ_ਪਾਥ
ਦਲੀਲਾਂ
ਪੈਰਾਮੀਟਰ | ਟਾਈਪ ਕਰੋ | ਵਰਣਨ |
ਡਾਇਰੈਕਟਰੀ | ਸਤਰ | ਖੋਜ ਲਈ ਇੱਕ ਮਾਰਗ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ ਜਿਸ ਵਿੱਚ ਸ਼ਾਮਲ ਹਨ fileRTL ਪੜ੍ਹਦੇ ਸਮੇਂ files. ਇਹ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
0 | ਹੁਕਮ ਸਫਲ ਹੋ ਗਿਆ। |
ਵਾਪਸੀ ਦੀ ਕਿਸਮ | ਵਰਣਨ |
1 | ਕਮਾਂਡ ਫੇਲ੍ਹ ਹੋ ਗਈ। ਇੱਕ ਗਲਤੀ ਹੈ। ਤੁਸੀਂ ਕੰਸੋਲ ਵਿੱਚ ਗਲਤੀ ਸੁਨੇਹਾ ਦੇਖ ਸਕਦੇ ਹੋ। |
ਗਲਤੀਆਂ ਦੀ ਸੂਚੀ
ਗਲਤੀ ਕੋਡ | ਗਲਤੀ ਸੁਨੇਹਾ | ਵਰਣਨ |
ERR0023 | ਲੋੜੀਂਦਾ ਪੈਰਾਮੀਟਰ ਪਾਥ ਸ਼ਾਮਲ ਨਹੀਂ ਹੈ। | ਡਾਇਰੈਕਟਰੀ ਵਿਕਲਪ ਲਾਜ਼ਮੀ ਹੈ ਅਤੇ ਪ੍ਰਦਾਨ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ। |
ਨੋਟ: ਜੇਕਰ ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਸਹੀ ਨਹੀਂ ਹੈ, ਤਾਂ add_include_path ਬਿਨਾਂ ਕਿਸੇ ਗਲਤੀ ਦੇ ਪਾਸ ਹੋ ਜਾਵੇਗਾ।
ਹਾਲਾਂਕਿ, ਵੈਰੀਫਿਕ ਦੇ ਪਾਰਸਰ ਦੇ ਕਾਰਨ read_verilog/read_vhd ਕਮਾਂਡਾਂ ਅਸਫਲ ਹੋ ਜਾਣਗੀਆਂ।
Example
ਸ਼ਾਮਲ ਕਰੋ_ਸ਼ਾਮਲ_ਪਾਥ ਕੰਪੋਨੈਂਟ/ਵਰਕ/COREABC0/COREABC0_0/rtl/vlog/core
ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ (ਕੋਈ ਸਵਾਲ ਪੁੱਛੋ)
ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ ਉਹਨਾਂ ਤਬਦੀਲੀਆਂ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ ਜੋ ਦਸਤਾਵੇਜ਼ ਵਿੱਚ ਲਾਗੂ ਕੀਤੇ ਗਏ ਸਨ। ਪਰਿਵਰਤਨ ਸਭ ਤੋਂ ਮੌਜੂਦਾ ਪ੍ਰਕਾਸ਼ਨ ਨਾਲ ਸ਼ੁਰੂ ਕਰਦੇ ਹੋਏ, ਸੰਸ਼ੋਧਨ ਦੁਆਰਾ ਸੂਚੀਬੱਧ ਕੀਤੇ ਗਏ ਹਨ।
ਸੰਸ਼ੋਧਨ | ਮਿਤੀ | ਵਰਣਨ |
F | 08/2024 | ਇਸ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਬਦਲਾਅ ਕੀਤੇ ਗਏ ਹਨ: • ਅੱਪਡੇਟ ਕੀਤਾ ਭਾਗ ਅੰਤਿਕਾ B—ਸਿਮੂਲੇਸ਼ਨ ਵਾਤਾਵਰਣ ਵਿੱਚ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਆਯਾਤ ਕਰਨਾ। |
E | 08/2024 | ਇਸ ਸੰਸ਼ੋਧਨ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਬਦਲਾਅ ਕੀਤੇ ਗਏ ਹਨ: • ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ ਸੈਕਸ਼ਨ ਓਵਰview. • ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ ਭਾਗ ਪ੍ਰਾਪਤ SDC File. • ਅੱਪਡੇਟ ਕੀਤਾ ਭਾਗ ਅੰਤਿਕਾ B—ਸਿਮੂਲੇਸ਼ਨ ਵਾਤਾਵਰਣ ਵਿੱਚ ਸਿਮੂਲੇਸ਼ਨ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨੂੰ ਆਯਾਤ ਕਰਨਾ। |
D | 02/2024 | ਇਹ ਦਸਤਾਵੇਜ਼ v2024.1 ਤੋਂ ਬਿਨਾਂ ਕਿਸੇ ਬਦਲਾਅ ਦੇ Libero 2023.2 SoC ਡਿਜ਼ਾਈਨ ਸੂਟ ਦੇ ਨਾਲ ਜਾਰੀ ਕੀਤਾ ਗਿਆ ਹੈ। ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ ਭਾਗ derive_constraints ਸਹੂਲਤ ਨਾਲ ਕੰਮ ਕਰਨਾ |
C | 08/2023 | ਇਹ ਦਸਤਾਵੇਜ਼ v2023.2 ਤੋਂ ਬਿਨਾਂ ਕਿਸੇ ਬਦਲਾਅ ਦੇ Libero 2023.1 SoC ਡਿਜ਼ਾਈਨ ਸੂਟ ਦੇ ਨਾਲ ਜਾਰੀ ਕੀਤਾ ਗਿਆ ਹੈ। |
B | 04/2023 | ਇਹ ਦਸਤਾਵੇਜ਼ v2023.1 ਤੋਂ ਬਿਨਾਂ ਕਿਸੇ ਬਦਲਾਅ ਦੇ Libero 2022.3 SoC ਡਿਜ਼ਾਈਨ ਸੂਟ ਦੇ ਨਾਲ ਜਾਰੀ ਕੀਤਾ ਗਿਆ ਹੈ। |
A | 12/2022 | ਸ਼ੁਰੂਆਤੀ ਸੰਸ਼ੋਧਨ। |
ਮਾਈਕ੍ਰੋਚਿਪ FPGA ਸਹਿਯੋਗ
ਮਾਈਕ੍ਰੋਚਿੱਪ ਐੱਫਪੀਜੀਏ ਉਤਪਾਦ ਸਮੂਹ ਆਪਣੇ ਉਤਪਾਦਾਂ ਨੂੰ ਵੱਖ-ਵੱਖ ਸਹਾਇਤਾ ਸੇਵਾਵਾਂ ਦੇ ਨਾਲ ਸਮਰਥਨ ਕਰਦਾ ਹੈ, ਜਿਸ ਵਿੱਚ ਗਾਹਕ ਸੇਵਾ, ਗਾਹਕ ਤਕਨੀਕੀ ਸਹਾਇਤਾ ਕੇਂਦਰ, ਏ. webਸਾਈਟ, ਅਤੇ ਵਿਸ਼ਵਵਿਆਪੀ ਵਿਕਰੀ ਦਫਤਰ।
ਗਾਹਕਾਂ ਨੂੰ ਸਮਰਥਨ ਨਾਲ ਸੰਪਰਕ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਮਾਈਕ੍ਰੋਚਿੱਪ ਔਨਲਾਈਨ ਸਰੋਤਾਂ 'ਤੇ ਜਾਣ ਦਾ ਸੁਝਾਅ ਦਿੱਤਾ ਜਾਂਦਾ ਹੈ ਕਿਉਂਕਿ ਇਹ ਬਹੁਤ ਸੰਭਾਵਨਾ ਹੈ ਕਿ ਉਨ੍ਹਾਂ ਦੇ ਸਵਾਲਾਂ ਦਾ ਜਵਾਬ ਪਹਿਲਾਂ ਹੀ ਦਿੱਤਾ ਗਿਆ ਹੈ।
ਰਾਹੀਂ ਤਕਨੀਕੀ ਸਹਾਇਤਾ ਕੇਂਦਰ ਨਾਲ ਸੰਪਰਕ ਕਰੋ web'ਤੇ ਸਾਈਟ www.microchip.com/support. FPGA ਡਿਵਾਈਸ ਪਾਰਟ ਨੰਬਰ ਦਾ ਜ਼ਿਕਰ ਕਰੋ, ਉਚਿਤ ਕੇਸ ਸ਼੍ਰੇਣੀ ਚੁਣੋ, ਅਤੇ ਡਿਜ਼ਾਈਨ ਅੱਪਲੋਡ ਕਰੋ files ਤਕਨੀਕੀ ਸਹਾਇਤਾ ਕੇਸ ਬਣਾਉਣ ਵੇਲੇ.
ਗੈਰ-ਤਕਨੀਕੀ ਉਤਪਾਦ ਸਹਾਇਤਾ ਲਈ ਗਾਹਕ ਸੇਵਾ ਨਾਲ ਸੰਪਰਕ ਕਰੋ, ਜਿਵੇਂ ਕਿ ਉਤਪਾਦ ਦੀ ਕੀਮਤ, ਉਤਪਾਦ ਅੱਪਗਰੇਡ, ਅੱਪਡੇਟ ਜਾਣਕਾਰੀ, ਆਰਡਰ ਸਥਿਤੀ, ਅਤੇ ਅਧਿਕਾਰ।
- ਉੱਤਰੀ ਅਮਰੀਕਾ ਤੋਂ, 800.262.1060 'ਤੇ ਕਾਲ ਕਰੋ
- ਬਾਕੀ ਦੁਨੀਆ ਤੋਂ, 650.318.4460 'ਤੇ ਕਾਲ ਕਰੋ
- ਫੈਕਸ, ਦੁਨੀਆ ਵਿੱਚ ਕਿਤੇ ਵੀ, 650.318.8044
ਮਾਈਕ੍ਰੋਚਿੱਪ ਜਾਣਕਾਰੀ
ਮਾਈਕ੍ਰੋਚਿੱਪ Webਸਾਈਟ
ਮਾਈਕ੍ਰੋਚਿੱਪ ਸਾਡੇ ਦੁਆਰਾ ਔਨਲਾਈਨ ਸਹਾਇਤਾ ਪ੍ਰਦਾਨ ਕਰਦੀ ਹੈ web'ਤੇ ਸਾਈਟ www.microchip.com/. ਇਹ webਸਾਈਟ ਨੂੰ ਬਣਾਉਣ ਲਈ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ files ਅਤੇ ਗਾਹਕਾਂ ਲਈ ਆਸਾਨੀ ਨਾਲ ਉਪਲਬਧ ਜਾਣਕਾਰੀ। ਉਪਲਬਧ ਸਮੱਗਰੀ ਵਿੱਚੋਂ ਕੁਝ ਵਿੱਚ ਸ਼ਾਮਲ ਹਨ:
- ਉਤਪਾਦ ਸਹਾਇਤਾ - ਡਾਟਾ ਸ਼ੀਟਾਂ ਅਤੇ ਇਰੱਟਾ, ਐਪਲੀਕੇਸ਼ਨ ਨੋਟਸ ਅਤੇ ਐੱਸample ਪ੍ਰੋਗਰਾਮ, ਡਿਜ਼ਾਈਨ ਸਰੋਤ, ਉਪਭੋਗਤਾ ਦੇ ਮਾਰਗਦਰਸ਼ਕ ਅਤੇ ਹਾਰਡਵੇਅਰ ਸਹਾਇਤਾ ਦਸਤਾਵੇਜ਼, ਨਵੀਨਤਮ ਸੌਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਅਤੇ ਆਰਕਾਈਵ ਕੀਤੇ ਸਾਫਟਵੇਅਰ
- ਆਮ ਤਕਨੀਕੀ ਸਹਾਇਤਾ - ਅਕਸਰ ਪੁੱਛੇ ਜਾਂਦੇ ਸਵਾਲ (FAQ), ਤਕਨੀਕੀ ਸਹਾਇਤਾ ਬੇਨਤੀਆਂ, ਔਨਲਾਈਨ ਚਰਚਾ ਸਮੂਹ, ਮਾਈਕ੍ਰੋਚਿੱਪ ਡਿਜ਼ਾਈਨ ਪਾਰਟਨਰ ਪ੍ਰੋਗਰਾਮ ਮੈਂਬਰ ਸੂਚੀ
- ਮਾਈਕ੍ਰੋਚਿੱਪ ਦਾ ਕਾਰੋਬਾਰ - ਉਤਪਾਦ ਚੋਣਕਾਰ ਅਤੇ ਆਰਡਰਿੰਗ ਗਾਈਡਾਂ, ਨਵੀਨਤਮ ਮਾਈਕ੍ਰੋਚਿੱਪ ਪ੍ਰੈਸ ਰਿਲੀਜ਼ਾਂ, ਸੈਮੀਨਾਰਾਂ ਅਤੇ ਸਮਾਗਮਾਂ ਦੀ ਸੂਚੀ, ਮਾਈਕ੍ਰੋਚਿੱਪ ਵਿਕਰੀ ਦਫਤਰਾਂ ਦੀ ਸੂਚੀ, ਵਿਤਰਕ ਅਤੇ ਫੈਕਟਰੀ ਪ੍ਰਤੀਨਿਧ
ਉਤਪਾਦ ਤਬਦੀਲੀ ਸੂਚਨਾ ਸੇਵਾ
ਮਾਈਕ੍ਰੋਚਿੱਪ ਦੀ ਉਤਪਾਦ ਤਬਦੀਲੀ ਸੂਚਨਾ ਸੇਵਾ ਗਾਹਕਾਂ ਨੂੰ ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦਾਂ 'ਤੇ ਮੌਜੂਦਾ ਰੱਖਣ ਵਿੱਚ ਮਦਦ ਕਰਦੀ ਹੈ। ਜਦੋਂ ਵੀ ਕਿਸੇ ਖਾਸ ਉਤਪਾਦ ਪਰਿਵਾਰ ਜਾਂ ਦਿਲਚਸਪੀ ਦੇ ਵਿਕਾਸ ਸੰਦ ਨਾਲ ਸਬੰਧਤ ਬਦਲਾਅ, ਅੱਪਡੇਟ, ਸੰਸ਼ੋਧਨ ਜਾਂ ਇਰੱਟਾ ਹੋਣ ਤਾਂ ਗਾਹਕਾਂ ਨੂੰ ਈਮੇਲ ਸੂਚਨਾ ਪ੍ਰਾਪਤ ਹੋਵੇਗੀ। ਰਜਿਸਟਰ ਕਰਨ ਲਈ, 'ਤੇ ਜਾਓ www.microchip.com/pcn ਅਤੇ ਰਜਿਸਟ੍ਰੇਸ਼ਨ ਨਿਰਦੇਸ਼ਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ।
ਗਾਹਕ ਸਹਾਇਤਾ
ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦਾਂ ਦੇ ਉਪਭੋਗਤਾ ਕਈ ਚੈਨਲਾਂ ਰਾਹੀਂ ਸਹਾਇਤਾ ਪ੍ਰਾਪਤ ਕਰ ਸਕਦੇ ਹਨ:
- ਵਿਤਰਕ ਜਾਂ ਪ੍ਰਤੀਨਿਧੀ
- ਸਥਾਨਕ ਵਿਕਰੀ ਦਫ਼ਤਰ
- ਏਮਬੈਡਡ ਹੱਲ ਇੰਜੀਨੀਅਰ (ਈਐਸਈ)
- ਤਕਨੀਕੀ ਸਮਰਥਨ
ਗਾਹਕਾਂ ਨੂੰ ਸਹਾਇਤਾ ਲਈ ਆਪਣੇ ਵਿਤਰਕ, ਪ੍ਰਤੀਨਿਧੀ ਜਾਂ ESE ਨਾਲ ਸੰਪਰਕ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਗਾਹਕਾਂ ਦੀ ਮਦਦ ਲਈ ਸਥਾਨਕ ਵਿਕਰੀ ਦਫ਼ਤਰ ਵੀ ਉਪਲਬਧ ਹਨ। ਇਸ ਦਸਤਾਵੇਜ਼ ਵਿੱਚ ਵਿਕਰੀ ਦਫਤਰਾਂ ਅਤੇ ਸਥਾਨਾਂ ਦੀ ਸੂਚੀ ਸ਼ਾਮਲ ਕੀਤੀ ਗਈ ਹੈ। ਦੁਆਰਾ ਤਕਨੀਕੀ ਸਹਾਇਤਾ ਉਪਲਬਧ ਹੈ webਸਾਈਟ 'ਤੇ: www.microchip.com/support
ਮਾਈਕ੍ਰੋਚਿੱਪ ਡਿਵਾਈਸ ਕੋਡ ਪ੍ਰੋਟੈਕਸ਼ਨ ਫੀਚਰ
ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦਾਂ 'ਤੇ ਕੋਡ ਸੁਰੱਖਿਆ ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਹੇਠਾਂ ਦਿੱਤੇ ਵੇਰਵਿਆਂ ਨੂੰ ਨੋਟ ਕਰੋ:
- ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦ ਉਹਨਾਂ ਦੀ ਖਾਸ ਮਾਈਕ੍ਰੋਚਿੱਪ ਡੇਟਾ ਸ਼ੀਟ ਵਿੱਚ ਮੌਜੂਦ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦੇ ਹਨ।
- ਮਾਈਕ੍ਰੋਚਿੱਪ ਦਾ ਮੰਨਣਾ ਹੈ ਕਿ ਇਸਦੇ ਉਤਪਾਦਾਂ ਦਾ ਪਰਿਵਾਰ ਸੁਰੱਖਿਅਤ ਹੈ ਜਦੋਂ ਉਦੇਸ਼ ਤਰੀਕੇ ਨਾਲ, ਓਪਰੇਟਿੰਗ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅੰਦਰ, ਅਤੇ ਆਮ ਹਾਲਤਾਂ ਵਿੱਚ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ।
- ਮਾਈਕਰੋਚਿੱਪ ਮੁੱਲਾਂ ਅਤੇ ਇਸ ਦੇ ਬੌਧਿਕ ਸੰਪੱਤੀ ਅਧਿਕਾਰਾਂ ਦੀ ਹਮਲਾਵਰਤਾ ਨਾਲ ਸੁਰੱਖਿਆ ਕਰਦੀ ਹੈ। ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦ ਦੀਆਂ ਕੋਡ ਸੁਰੱਖਿਆ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਉਲੰਘਣਾ ਕਰਨ ਦੀਆਂ ਕੋਸ਼ਿਸ਼ਾਂ ਦੀ ਸਖਤੀ ਨਾਲ ਮਨਾਹੀ ਹੈ ਅਤੇ ਡਿਜੀਟਲ ਮਿਲੇਨੀਅਮ ਕਾਪੀਰਾਈਟ ਐਕਟ ਦੀ ਉਲੰਘਣਾ ਹੋ ਸਕਦੀ ਹੈ।
- ਨਾ ਤਾਂ ਮਾਈਕ੍ਰੋਚਿੱਪ ਅਤੇ ਨਾ ਹੀ ਕੋਈ ਹੋਰ ਸੈਮੀਕੰਡਕਟਰ ਨਿਰਮਾਤਾ ਇਸਦੇ ਕੋਡ ਦੀ ਸੁਰੱਖਿਆ ਦੀ ਗਰੰਟੀ ਦੇ ਸਕਦਾ ਹੈ। ਕੋਡ ਸੁਰੱਖਿਆ ਦਾ ਮਤਲਬ ਇਹ ਨਹੀਂ ਹੈ ਕਿ ਅਸੀਂ ਗਾਰੰਟੀ ਦੇ ਰਹੇ ਹਾਂ ਕਿ ਉਤਪਾਦ "ਅਟੁੱਟ" ਹੈ। ਕੋਡ ਸੁਰੱਖਿਆ ਲਗਾਤਾਰ ਵਿਕਸਿਤ ਹੋ ਰਹੀ ਹੈ। ਮਾਈਕ੍ਰੋਚਿੱਪ ਸਾਡੇ ਉਤਪਾਦਾਂ ਦੀਆਂ ਕੋਡ ਸੁਰੱਖਿਆ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ ਲਗਾਤਾਰ ਬਿਹਤਰ ਬਣਾਉਣ ਲਈ ਵਚਨਬੱਧ ਹੈ।
ਕਾਨੂੰਨੀ ਨੋਟਿਸ
ਇਹ ਪ੍ਰਕਾਸ਼ਨ ਅਤੇ ਇੱਥੇ ਦਿੱਤੀ ਜਾਣਕਾਰੀ ਨੂੰ ਸਿਰਫ਼ ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦਾਂ ਨਾਲ ਵਰਤਿਆ ਜਾ ਸਕਦਾ ਹੈ, ਜਿਸ ਵਿੱਚ ਤੁਹਾਡੀ ਐਪਲੀਕੇਸ਼ਨ ਦੇ ਨਾਲ ਮਾਈਕ੍ਰੋਚਿੱਪ ਉਤਪਾਦਾਂ ਨੂੰ ਡਿਜ਼ਾਈਨ ਕਰਨ, ਟੈਸਟ ਕਰਨ ਅਤੇ ਏਕੀਕ੍ਰਿਤ ਕਰਨ ਲਈ ਸ਼ਾਮਲ ਹੈ। ਕਿਸੇ ਹੋਰ ਤਰੀਕੇ ਨਾਲ ਇਸ ਜਾਣਕਾਰੀ ਦੀ ਵਰਤੋਂ ਇਹਨਾਂ ਨਿਯਮਾਂ ਦੀ ਉਲੰਘਣਾ ਕਰਦੀ ਹੈ। ਡਿਵਾਈਸ ਐਪਲੀਕੇਸ਼ਨਾਂ ਸੰਬੰਧੀ ਜਾਣਕਾਰੀ ਸਿਰਫ ਤੁਹਾਡੀ ਸਹੂਲਤ ਲਈ ਪ੍ਰਦਾਨ ਕੀਤੀ ਗਈ ਹੈ ਅਤੇ ਅੱਪਡੇਟ ਦੁਆਰਾ ਬਦਲੀ ਜਾ ਸਕਦੀ ਹੈ। ਇਹ ਯਕੀਨੀ ਬਣਾਉਣਾ ਤੁਹਾਡੀ ਜਿੰਮੇਵਾਰੀ ਹੈ ਕਿ ਤੁਹਾਡੀ ਅਰਜ਼ੀ ਤੁਹਾਡੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦੀ ਹੈ। ਵਾਧੂ ਸਹਾਇਤਾ ਲਈ ਆਪਣੇ ਸਥਾਨਕ ਮਾਈਕ੍ਰੋਚਿੱਪ ਵਿਕਰੀ ਦਫਤਰ ਨਾਲ ਸੰਪਰਕ ਕਰੋ ਜਾਂ, 'ਤੇ ਵਾਧੂ ਸਹਾਇਤਾ ਪ੍ਰਾਪਤ ਕਰੋ www.microchip.com/en-us/support/design-help/client-support-services.
ਇਹ ਜਾਣਕਾਰੀ ਮਾਈਕ੍ਰੋਚਿੱਪ ਦੁਆਰਾ "ਜਿਵੇਂ ਹੈ" ਦੁਆਰਾ ਪ੍ਰਦਾਨ ਕੀਤੀ ਜਾਂਦੀ ਹੈ। ਮਾਈਕ੍ਰੋਚਿਪ ਕਿਸੇ ਵੀ ਕਿਸਮ ਦੀ ਕੋਈ ਪ੍ਰਤੀਨਿਧਤਾ ਜਾਂ ਵਾਰੰਟੀ ਨਹੀਂ ਦਿੰਦਾ ਹੈ ਭਾਵੇਂ ਉਹ ਪ੍ਰਗਟਾਵੇ ਜਾਂ ਅਪ੍ਰਤੱਖ, ਲਿਖਤੀ ਜਾਂ ਜ਼ੁਬਾਨੀ, ਸੰਵਿਧਾਨਕ ਜਾਂ ਹੋਰ, ਜਾਣਕਾਰੀ ਨਾਲ ਸੰਬੰਧਿਤ, ਪਰ ਸੀਮਤ-ਸੀਮਿਤ ਨਾ ਹੋਣ ਸਮੇਤ, ਕਿਸੇ ਖਾਸ ਉਦੇਸ਼ ਲਈ ਸੰਪੰਨਤਾ, ਅਤੇ ਫਿਟਨੈਸ, ਜਾਂ ਇਸਦੀ ਸਥਿਤੀ, ਗੁਣਵੱਤਾ, ਜਾਂ ਪ੍ਰਦਰਸ਼ਨ ਨਾਲ ਸੰਬੰਧਿਤ ਵਾਰੰਟੀਆਂ। ਕਿਸੇ ਵੀ ਸਥਿਤੀ ਵਿੱਚ ਮਾਈਕ੍ਰੋਚਿਪ ਕਿਸੇ ਵੀ ਅਸਿੱਧੇ, ਵਿਸ਼ੇਸ਼, ਦੰਡਕਾਰੀ, ਇਤਫਾਕ, ਜਾਂ ਨਤੀਜੇ ਵਜੋਂ ਹੋਣ ਵਾਲੇ ਨੁਕਸਾਨ, ਨੁਕਸਾਨ, ਲਾਗਤ, ਜਾਂ ਕਿਸੇ ਵੀ ਕਿਸਮ ਦੇ ਖਰਚੇ ਲਈ ਜ਼ਿੰਮੇਵਾਰ ਨਹੀਂ ਹੋਵੇਗੀ, ਜੋ ਵੀ ਯੂਐਸਏਵਰਿੰਟਸ, ਆਈਵਰਾਂ ਨਾਲ ਸਬੰਧਤ ਹੈ। ਆਈਕ੍ਰੋਚਿਪ ਨੂੰ ਸੰਭਾਵਨਾ ਦੀ ਸਲਾਹ ਦਿੱਤੀ ਗਈ ਹੈ ਜਾਂ ਨੁਕਸਾਨਾਂ ਦੀ ਸੰਭਾਵਨਾ ਹੈ। ਕਨੂੰਨ ਦੁਆਰਾ ਮਨਜ਼ੂਰਸ਼ੁਦਾ ਪੂਰੀ ਹੱਦ ਤੱਕ, ਜਾਣਕਾਰੀ ਜਾਂ ਇਸਦੀ ਵਰਤੋਂ ਨਾਲ ਸਬੰਧਤ ਕਿਸੇ ਵੀ ਤਰੀਕੇ ਨਾਲ ਸਾਰੇ ਦਾਅਵਿਆਂ 'ਤੇ ਮਾਈਕ੍ਰੋਚਿਪ ਦੀ ਸਮੁੱਚੀ ਜ਼ਿੰਮੇਵਾਰੀ, ਫੀਸਾਂ ਦੀ ਰਕਮ ਤੋਂ ਵੱਧ ਨਹੀਂ ਹੋਵੇਗੀ, ਜੇਕਰ ਤੁਹਾਨੂੰ ਕਿਸੇ ਵੀ ਤਰ੍ਹਾਂ ਦੀ ਅਦਾਇਗੀ ਕੀਤੀ ਜਾਂਦੀ ਹੈ, ਜਾਣਕਾਰੀ।
ਲਾਈਫ ਸਪੋਰਟ ਅਤੇ/ਜਾਂ ਸੁਰੱਖਿਆ ਐਪਲੀਕੇਸ਼ਨਾਂ ਵਿੱਚ ਮਾਈਕ੍ਰੋਚਿੱਪ ਡਿਵਾਈਸਾਂ ਦੀ ਵਰਤੋਂ ਪੂਰੀ ਤਰ੍ਹਾਂ ਖਰੀਦਦਾਰ ਦੇ ਜੋਖਮ 'ਤੇ ਹੈ, ਅਤੇ ਖਰੀਦਦਾਰ ਅਜਿਹੀ ਵਰਤੋਂ ਦੇ ਨਤੀਜੇ ਵਜੋਂ ਹੋਣ ਵਾਲੇ ਕਿਸੇ ਵੀ ਅਤੇ ਸਾਰੇ ਨੁਕਸਾਨ, ਮੁਕੱਦਮੇ, ਮੁਕੱਦਮੇ, ਜਾਂ ਖਰਚਿਆਂ ਤੋਂ ਮਾਈਕ੍ਰੋਚਿੱਪ ਦਾ ਬਚਾਅ ਕਰਨ, ਮੁਆਵਜ਼ਾ ਦੇਣ ਅਤੇ ਨੁਕਸਾਨ ਰਹਿਤ ਰੱਖਣ ਲਈ ਸਹਿਮਤ ਹੁੰਦਾ ਹੈ। ਕਿਸੇ ਵੀ ਮਾਈਕ੍ਰੋਚਿੱਪ ਬੌਧਿਕ ਸੰਪੱਤੀ ਅਧਿਕਾਰਾਂ ਦੇ ਤਹਿਤ ਕੋਈ ਵੀ ਲਾਇਸੈਂਸ, ਅਸਪਸ਼ਟ ਜਾਂ ਹੋਰ ਤਰੀਕੇ ਨਾਲ ਨਹੀਂ ਦਿੱਤਾ ਜਾਂਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਕਿ ਹੋਰ ਨਾ ਦੱਸਿਆ ਗਿਆ ਹੋਵੇ।
ਟ੍ਰੇਡਮਾਰਕ
ਮਾਈਕ੍ਰੋਚਿੱਪ ਦਾ ਨਾਮ ਅਤੇ ਲੋਗੋ, ਮਾਈਕ੍ਰੋਚਿਪ ਲੋਗੋ, ਅਡਾਪਟੈਕ, ਏਵੀਆਰ, ਏਵੀਆਰ ਲੋਗੋ, ਏਵੀਆਰ ਫ੍ਰੀਕਸ, ਬੇਸਟਾਈਮ, ਬਿਟਕਲਾਉਡ, ਕ੍ਰਿਪਟੋਮੈਮੋਰੀ, ਕ੍ਰਿਪਟੋਆਰਐਫ, ਡੀਐਸਪੀਆਈਸੀ, ਫਲੈਕਸਪੀਡਬਲਯੂਆਰ, ਹੇਲਡੋ, ਆਈਗਲੂ, ਜੂਕੇਬਲੌਕਸ, ਕੀਲੋਕ, ਲਿੰਕਸ, ਮੈਕਲੈਕਸ, ਮੈਕਲੈਕਸ, ਮੇਕਲੇਕਸ MediaLB, megaAVR, Microsemi, Microsemi ਲੋਗੋ, MOST, MOST ਲੋਗੋ, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 ਲੋਗੋ, PolarFire, Prochip ਡਿਜ਼ਾਈਨਰ, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SYMFST, ਲੋਗੋ , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, ਅਤੇ XMEGA ਸੰਯੁਕਤ ਰਾਜ ਅਮਰੀਕਾ ਅਤੇ ਹੋਰ ਦੇਸ਼ਾਂ ਵਿੱਚ ਸ਼ਾਮਲ ਮਾਈਕ੍ਰੋਚਿੱਪ ਤਕਨਾਲੋਜੀ ਦੇ ਰਜਿਸਟਰਡ ਟ੍ਰੇਡਮਾਰਕ ਹਨ।
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus ਲੋਗੋ, ਕੁਆਇਟ-ਡਬਲਯੂਡਬਲਯੂ. TimeCesium, TimeHub, TimePictra, TimeProvider, ਅਤੇ ZL ਯੂ.ਐੱਸ.ਏ. ਵਿੱਚ ਸ਼ਾਮਲ ਮਾਈਕ੍ਰੋਚਿੱਪ ਤਕਨਾਲੋਜੀ ਦੇ ਰਜਿਸਟਰਡ ਟ੍ਰੇਡਮਾਰਕ ਹਨ।
ਅਡਜਸੈਂਟ ਕੀ ਸਪ੍ਰੈਸ਼ਨ, AKS, ਐਨਾਲਾਗ-ਫੌਰ-ਦਿ-ਡਿਜੀਟਲ ਏਜ, ਕੋਈ ਵੀ ਕੈਪੇਸੀਟਰ, ਐਨੀਇਨ, ਐਨੀਆਉਟ, ਆਗਮੈਂਟਡ ਸਵਿਚਿੰਗ, ਬਲੂਸਕਾਈ, ਬਾਡੀਕਾਮ, ਕਲੌਕਸਟੂਡੀਓ, ਕੋਡਗਾਰਡ, ਕ੍ਰਿਪਟੋ ਪ੍ਰਮਾਣੀਕਰਨ, ਕ੍ਰਿਪਟੋ ਆਟੋਮੋਟਿਵ, ਕ੍ਰਿਪਟੋ ਆਟੋਮੋਟਿਵ, ਸੀਡੀਸੀਡੀਪੀਆਈਐਮਪੈਨਟ, ਸੀਡੀਪੀਆਈਐਮਪੀਆਈਡੀਐਸਪੈਨਡ , ਡਾਇਨਾਮਿਕ ਔਸਤ ਮੇਲ ਖਾਂਦਾ ਹੈ , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, ਇਨ-ਸਰਕਟ ਸੀਰੀਅਲ ਪ੍ਰੋਗਰਾਮਿੰਗ, ICSP, INICnet, ਇੰਟੈਲੀਜੈਂਟ ਸਮਾਨਤਾ, IntelliMOS, ਇੰਟਰ-ਚਿੱਪ ਕਨੈਕਟੀਵਿਟੀ, JitterBlocker, Knob-Dmax-Dmax-Playin, Marcplayin ਅਧਿਕਤਮView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB ਪ੍ਰਮਾਣਿਤ ਲੋਗੋ, MPLIB, MPLINK, mSiC, ਮਲਟੀਟ੍ਰੈਕ, NetDetach, ਸਰਵਜਨਕ ਕੋਡ ਜਨਰੇਸ਼ਨ, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, PowerMOS 7, PowerSconili , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, ਸੀਰੀਅਲ ਕਵਾਡ I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, Ench PHY, Syrod , ਭਰੋਸੇਯੋਗ ਸਮਾਂ, TSHARC, ਟਿਊਰਿੰਗ, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan, WiperLock, XpressConnect, ਅਤੇ ZENA ਅਮਰੀਕਾ ਅਤੇ ਹੋਰ ਦੇਸ਼ਾਂ ਵਿੱਚ ਸ਼ਾਮਲ ਮਾਈਕ੍ਰੋਚਿੱਪ ਤਕਨਾਲੋਜੀ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ।
SQTP ਸੰਯੁਕਤ ਰਾਜ ਅਮਰੀਕਾ ਵਿੱਚ ਸ਼ਾਮਲ ਮਾਈਕ੍ਰੋਚਿੱਪ ਤਕਨਾਲੋਜੀ ਦਾ ਇੱਕ ਸੇਵਾ ਚਿੰਨ੍ਹ ਹੈ
Adaptec ਲੋਗੋ, ਫ੍ਰੀਕੁਐਂਸੀ ਆਨ ਡਿਮਾਂਡ, ਸਿਲੀਕਾਨ ਸਟੋਰੇਜ ਟੈਕਨਾਲੋਜੀ, ਅਤੇ ਸਿਮਕਾਮ ਦੂਜੇ ਦੇਸ਼ਾਂ ਵਿੱਚ ਮਾਈਕ੍ਰੋਚਿੱਪ ਟੈਕਨਾਲੋਜੀ ਇੰਕ. ਦੇ ਰਜਿਸਟਰਡ ਟ੍ਰੇਡਮਾਰਕ ਹਨ।
GestIC ਮਾਈਕ੍ਰੋਚਿਪ ਟੈਕਨਾਲੋਜੀ ਜਰਮਨੀ II GmbH & Co. KG, ਮਾਈਕ੍ਰੋਚਿੱਪ ਟੈਕਨਾਲੋਜੀ ਇੰਕ. ਦੀ ਸਹਾਇਕ ਕੰਪਨੀ, ਦੂਜੇ ਦੇਸ਼ਾਂ ਵਿੱਚ ਇੱਕ ਰਜਿਸਟਰਡ ਟ੍ਰੇਡਮਾਰਕ ਹੈ।
ਇੱਥੇ ਦੱਸੇ ਗਏ ਹੋਰ ਸਾਰੇ ਟ੍ਰੇਡਮਾਰਕ ਉਹਨਾਂ ਦੀਆਂ ਸਬੰਧਤ ਕੰਪਨੀਆਂ ਦੀ ਸੰਪਤੀ ਹਨ।
2024, ਮਾਈਕ੍ਰੋਚਿੱਪ ਟੈਕਨਾਲੋਜੀ ਇਨਕਾਰਪੋਰੇਟਿਡ ਅਤੇ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ। ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ।
ISBN: 978-1-6683-0183-8
ਗੁਣਵੱਤਾ ਪ੍ਰਬੰਧਨ ਸਿਸਟਮ
ਮਾਈਕ੍ਰੋਚਿਪ ਦੇ ਕੁਆਲਿਟੀ ਮੈਨੇਜਮੈਂਟ ਸਿਸਟਮ ਬਾਰੇ ਜਾਣਕਾਰੀ ਲਈ, ਕਿਰਪਾ ਕਰਕੇ ਇੱਥੇ ਜਾਓ www.microchip.com/quality.
ਵਿਸ਼ਵਵਿਆਪੀ ਵਿਕਰੀ ਅਤੇ ਸੇਵਾ
ਅਮਰੀਕਾ | ਏਸ਼ੀਆ/ਪੈਸਿਫਿਕ | ਏਸ਼ੀਆ/ਪੈਸਿਫਿਕ | ਯੂਰੋਪ |
ਕਾਰਪੋਰੇਟ ਦਫਤਰ 2355 ਵੈਸਟ ਚੈਂਡਲਰ ਬਲਵੀਡੀ. ਚੈਂਡਲਰ, AZ 85224-6199 ਟੈਲੀਫ਼ੋਨ: 480-792-7200 ਫੈਕਸ: 480-792-7277 ਤਕਨੀਕੀ ਸਮਰਥਨ: www.microchip.com/support Web ਪਤਾ: www.microchip.com ਅਟਲਾਂਟਾ ਡੁਲਥ, ਜੀ.ਏ ਟੈਲੀਫ਼ੋਨ: 678-957-9614 ਫੈਕਸ: 678-957-1455 ਆਸਟਿਨ, TX ਟੈਲੀਫ਼ੋਨ: 512-257-3370 ਬੋਸਟਨ ਵੈਸਟਬਰੋ, ਐਮ.ਏ ਟੈਲੀਫ਼ੋਨ: 774-760-0087 ਫੈਕਸ: 774-760-0088 ਸ਼ਿਕਾਗੋ ਇਟਾਸਕਾ, ਆਈ.ਐਲ ਟੈਲੀਫ਼ੋਨ: 630-285-0071 ਫੈਕਸ: 630-285-0075 ਡੱਲਾਸ ਐਡੀਸਨ, ਟੀ.ਐਕਸ ਟੈਲੀਫ਼ੋਨ: 972-818-7423 ਫੈਕਸ: 972-818-2924 ਡੀਟ੍ਰਾਯ੍ਟ ਨੋਵੀ, ਐਮ.ਆਈ ਟੈਲੀਫ਼ੋਨ: 248-848-4000 ਹਿਊਸਟਨ, TX ਟੈਲੀਫ਼ੋਨ: 281-894-5983 ਇੰਡੀਆਨਾਪੋਲਿਸ Noblesville, IN ਟੈਲੀਫ਼ੋਨ: 317-773-8323 ਫੈਕਸ: 317-773-5453 ਟੈਲੀਫ਼ੋਨ: 317-536-2380 ਲਾਸ ਐਨਗਲਜ਼ ਮਿਸ਼ਨ ਵੀਜੋ, CA ਟੈਲੀਫ਼ੋਨ: 949-462-9523 ਫੈਕਸ: 949-462-9608 ਟੈਲੀਫ਼ੋਨ: 951-273-7800 ਰਾਲੇਹ, ਐਨ.ਸੀ ਟੈਲੀਫ਼ੋਨ: 919-844-7510 ਨਿਊਯਾਰਕ, NY ਟੈਲੀਫ਼ੋਨ: 631-435-6000 ਸੈਨ ਜੋਸ, CA ਟੈਲੀਫ਼ੋਨ: 408-735-9110 ਟੈਲੀਫ਼ੋਨ: 408-436-4270 ਕੈਨੇਡਾ - ਟੋਰਾਂਟੋ ਟੈਲੀਫ਼ੋਨ: 905-695-1980 ਫੈਕਸ: 905-695-2078 |
ਆਸਟ੍ਰੇਲੀਆ - ਸਿਡਨੀ ਟੈਲੀਫ਼ੋਨ: 61-2-9868-6733 ਚੀਨ - ਬੀਜਿੰਗ ਟੈਲੀਫ਼ੋਨ: 86-10-8569-7000 ਚੀਨ - ਚੇਂਗਦੂ ਟੈਲੀਫ਼ੋਨ: 86-28-8665-5511 ਚੀਨ - ਚੋਂਗਕਿੰਗ ਟੈਲੀਫ਼ੋਨ: 86-23-8980-9588 ਚੀਨ - ਡੋਂਗਗੁਆਨ ਟੈਲੀਫ਼ੋਨ: 86-769-8702-9880 ਚੀਨ - ਗੁਆਂਗਜ਼ੂ ਟੈਲੀਫ਼ੋਨ: 86-20-8755-8029 ਚੀਨ - ਹਾਂਗਜ਼ੂ ਟੈਲੀਫ਼ੋਨ: 86-571-8792-8115 ਚੀਨ - ਹਾਂਗਕਾਂਗ SAR ਟੈਲੀਫ਼ੋਨ: 852-2943-5100 ਚੀਨ - ਨਾਨਜਿੰਗ ਟੈਲੀਫ਼ੋਨ: 86-25-8473-2460 ਚੀਨ - ਕਿੰਗਦਾਓ ਟੈਲੀਫ਼ੋਨ: 86-532-8502-7355 ਚੀਨ - ਸ਼ੰਘਾਈ ਟੈਲੀਫ਼ੋਨ: 86-21-3326-8000 ਚੀਨ - ਸ਼ੇਨਯਾਂਗ ਟੈਲੀਫ਼ੋਨ: 86-24-2334-2829 ਚੀਨ - ਸ਼ੇਨਜ਼ੇਨ ਟੈਲੀਫ਼ੋਨ: 86-755-8864-2200 ਚੀਨ - ਸੁਜ਼ੌ ਟੈਲੀਫ਼ੋਨ: 86-186-6233-1526 ਚੀਨ - ਵੁਹਾਨ ਟੈਲੀਫ਼ੋਨ: 86-27-5980-5300 ਚੀਨ - Xian ਟੈਲੀਫ਼ੋਨ: 86-29-8833-7252 ਚੀਨ - ਜ਼ਿਆਮੇਨ ਟੈਲੀਫ਼ੋਨ: 86-592-2388138 ਚੀਨ - ਜ਼ੁਹਾਈ ਟੈਲੀਫ਼ੋਨ: 86-756-3210040 |
ਭਾਰਤ - ਬੰਗਲੌਰ ਟੈਲੀਫ਼ੋਨ: 91-80-3090-4444 ਭਾਰਤ - ਨਵੀਂ ਦਿੱਲੀ ਟੈਲੀਫ਼ੋਨ: 91-11-4160-8631 ਭਾਰਤ - ਪੁਣੇ ਟੈਲੀਫ਼ੋਨ: 91-20-4121-0141 ਜਾਪਾਨ - ਓਸਾਕਾ ਟੈਲੀਫ਼ੋਨ: 81-6-6152-7160 ਜਪਾਨ - ਟੋਕੀਓ ਟੈਲੀਫ਼ੋਨ: 81-3-6880- 3770 ਕੋਰੀਆ - ਡੇਗੂ ਟੈਲੀਫ਼ੋਨ: 82-53-744-4301 ਕੋਰੀਆ - ਸਿਓਲ ਟੈਲੀਫ਼ੋਨ: 82-2-554-7200 ਮਲੇਸ਼ੀਆ - ਕੁਆਲਾਲੰਪੁਰ ਟੈਲੀਫ਼ੋਨ: 60-3-7651-7906 ਮਲੇਸ਼ੀਆ - ਪੇਨਾਂਗ ਟੈਲੀਫ਼ੋਨ: 60-4-227-8870 ਫਿਲੀਪੀਨਜ਼ - ਮਨੀਲਾ ਟੈਲੀਫ਼ੋਨ: 63-2-634-9065 ਸਿੰਗਾਪੁਰ ਟੈਲੀਫ਼ੋਨ: 65-6334-8870 ਤਾਈਵਾਨ - ਸਿਨ ਚੂ ਟੈਲੀਫ਼ੋਨ: 886-3-577-8366 ਤਾਈਵਾਨ - ਕਾਓਸਿੰਗ ਟੈਲੀਫ਼ੋਨ: 886-7-213-7830 ਤਾਈਵਾਨ - ਤਾਈਪੇ ਟੈਲੀਫ਼ੋਨ: 886-2-2508-8600 ਥਾਈਲੈਂਡ - ਬੈਂਕਾਕ ਟੈਲੀਫ਼ੋਨ: 66-2-694-1351 ਵੀਅਤਨਾਮ - ਹੋ ਚੀ ਮਿਨਹ ਟੈਲੀਫ਼ੋਨ: 84-28-5448-2100 |
ਆਸਟਰੀਆ - ਵੇਲਜ਼ ਟੈਲੀਫ਼ੋਨ: 43-7242-2244-39 ਫੈਕਸ: 43-7242-2244-393 ਡੈਨਮਾਰਕ - ਕੋਪਨਹੇਗਨ ਟੈਲੀਫ਼ੋਨ: 45-4485-5910 ਫੈਕਸ: 45-4485-2829 ਫਿਨਲੈਂਡ - ਐਸਪੂ ਟੈਲੀਫ਼ੋਨ: 358-9-4520-820 ਫਰਾਂਸ - ਪੈਰਿਸ Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 ਜਰਮਨੀ - ਗਰਚਿੰਗ ਟੈਲੀਫ਼ੋਨ: 49-8931-9700 ਜਰਮਨੀ - ਹਾਨ ਟੈਲੀਫ਼ੋਨ: 49-2129-3766400 ਜਰਮਨੀ - ਹੇਲਬਰੋਨ ਟੈਲੀਫ਼ੋਨ: 49-7131-72400 ਜਰਮਨੀ - ਕਾਰਲਸਰੂਹੇ ਟੈਲੀਫ਼ੋਨ: 49-721-625370 ਜਰਮਨੀ - ਮਿਊਨਿਖ Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 ਜਰਮਨੀ - ਰੋਜ਼ਨਹੇਮ ਟੈਲੀਫ਼ੋਨ: 49-8031-354-560 ਇਜ਼ਰਾਈਲ - ਹੋਡ ਹਾਸ਼ਰੋਨ ਟੈਲੀਫ਼ੋਨ: 972-9-775-5100 ਇਟਲੀ - ਮਿਲਾਨ ਟੈਲੀਫ਼ੋਨ: 39-0331-742611 ਫੈਕਸ: 39-0331-466781 ਇਟਲੀ - ਪਾਡੋਵਾ ਟੈਲੀਫ਼ੋਨ: 39-049-7625286 ਨੀਦਰਲੈਂਡਜ਼ - ਡ੍ਰੂਨੇਨ ਟੈਲੀਫ਼ੋਨ: 31-416-690399 ਫੈਕਸ: 31-416-690340 ਨਾਰਵੇ - ਟ੍ਰਾਂਡਹਾਈਮ ਟੈਲੀਫ਼ੋਨ: 47-72884388 ਪੋਲੈਂਡ - ਵਾਰਸਾ ਟੈਲੀਫ਼ੋਨ: 48-22-3325737 ਰੋਮਾਨੀਆ - ਬੁਕਾਰੈਸਟ Tel: 40-21-407-87-50 ਸਪੇਨ - ਮੈਡ੍ਰਿਡ Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 ਸਵੀਡਨ - ਗੋਟੇਨਬਰਗ Tel: 46-31-704-60-40 ਸਵੀਡਨ - ਸਟਾਕਹੋਮ ਟੈਲੀਫ਼ੋਨ: 46-8-5090-4654 ਯੂਕੇ - ਵੋਕਿੰਘਮ ਟੈਲੀਫ਼ੋਨ: 44-118-921-5800 ਫੈਕਸ: 44-118-921-5820 |
ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ
![]() |
ਮਾਈਕ੍ਰੋਚਿਪ DS00004807F ਪੋਲਰਫਾਇਰ ਫੈਮਿਲੀ FPGA ਕਸਟਮ ਫਲੋ [pdf] ਯੂਜ਼ਰ ਗਾਈਡ DS00004807F ਪੋਲਰਫਾਇਰ ਫੈਮਿਲੀ FPGA ਕਸਟਮ ਫਲੋ, DS00004807F, ਪੋਲਰਫਾਇਰ ਫੈਮਿਲੀ FPGA ਕਸਟਮ ਫਲੋ, ਫੈਮਿਲੀ FPGA ਕਸਟਮ ਫਲੋ, ਕਸਟਮ ਫਲੋ, ਫਲੋ |