مائڪروچپ - لوگو پولار فائر فيملي FPGA ڪسٽم فلو يوزر گائيڊ
لائبيرو ايس او سي v2024.2

تعارف (هڪ سوال پڇو)

ليبيرو سسٽم-آن-چپ (SoC) سافٽ ويئر هڪ مڪمل طور تي مربوط فيلڊ پروگراميبل گيٽ ايري (FPGA) ڊيزائن ماحول فراهم ڪري ٿو. جڏهن ته، ڪجهه استعمال ڪندڙ شايد ليبيرو SoC ماحول کان ٻاهر ٽئين پارٽي سنٿيسس ۽ سموليشن ٽولز استعمال ڪرڻ چاهيندا. ليبيرو هاڻي FPGA ڊيزائن ماحول ۾ ضم ٿي سگهي ٿو. پوري FPGA ڊيزائن وهڪري کي منظم ڪرڻ لاءِ ليبيرو SoC استعمال ڪرڻ جي سفارش ڪئي وئي آهي.
هي صارف گائيڊ پولار فائر ۽ پولار فائر ايس او سي فيملي ڊوائيسز لاءِ ڪسٽم فلو بيان ڪري ٿو، هڪ عمل جيڪو ليبرو کي وڏي FPGA ڊيزائن فلو جي حصي طور ضم ڪرڻ لاءِ آهي. سپورٽ ٿيل ڊيوائس فيمليز® هيٺ ڏنل جدول انهن ڊيوائس فيمليز کي لسٽ ڪري ٿو جيڪي ليبرو ايس او سي سپورٽ ڪن ٿا. جڏهن ته، هن گائيڊ ۾ ڪجهه معلومات صرف ڊوائيسز جي هڪ مخصوص فيملي تي لاڳو ٿي سگهي ٿي. هن صورت ۾، اهڙي معلومات واضح طور تي سڃاڻپ ڪئي وئي آهي.
ٽيبل 1. ليبيرو ايس او سي پاران سپورٽ ڪيل ڊوائيس فيمليز

ڊوائيس خانداني وصف
PolarFire® پولار فائر ايف پي جي ايز غير معمولي سيڪيورٽي ۽ اعتبار سان وچين رينج جي کثافت تي صنعت جي گھٽ ۾ گھٽ طاقت فراهم ڪن ٿا.
پولار فائر SoC پولار فائر ايس او سي پهريون ايس او سي ايف پي جي اي آهي جنهن ۾ هڪ ڊيٽرمنسٽڪ، ڪوهيئرنٽ RISC-V سي پي يو ڪلسٽر، ۽ هڪ ڊيٽرمنسٽڪ L2 ميموري سب سسٽم آهي جيڪو لينڪس® ۽ ريئل ٽائيم ايپليڪيشنن کي فعال ڪري ٿو.

مٿانview (هڪ سوال پڇو)

جڏهن ته Libero SoC SoC ۽ FPGA ڊيزائن کي ترقي ڪرڻ لاءِ مڪمل طور تي ضم ٿيل اينڊ-ٽو-اينڊ ڊيزائن ماحول فراهم ڪري ٿو، اهو Libero SoC ماحول کان ٻاهر ٽئين پارٽي جي اوزارن سان سنٿيسس ۽ سموليشن کي هلائڻ لاءِ لچڪ پڻ فراهم ڪري ٿو. بهرحال، ڪجهه ڊيزائن مرحلا Libero SoC ماحول اندر رهڻ گهرجن.
هيٺ ڏنل جدول FPGA ڊيزائن جي وهڪري ۾ اهم مرحلن جي فهرست ڏئي ٿو ۽ انهن مرحلن کي ظاهر ڪري ٿو جن لاءِ Libero SoC استعمال ڪيو وڃي.
ٽيبل 1-1. FPGA ڊيزائن فلو

ڊيزائن فلو قدم لائبرو استعمال ڪرڻ گهرجي وصف
ڊيزائن جي داخلا: HDL نه جيڪڏهن گهربل هجي ته Libero® SoC کان ٻاهر ٽئين پارٽي HDL ايڊيٽر/چيڪر ٽول استعمال ڪريو.
ڊيزائن جي داخلا: ترتيب ڏيندڙ ها IP ڪيٽلاگ ڪور ڪمپونينٽ جنريشن لاءِ پهريون لائبيرو پروجيڪٽ ٺاهيو.
خودڪار PDC/SDC پابندي پيدا ڪرڻ نه نڪتل پابندين کي سڀني HDL جي ضرورت آهي files ۽ هڪ derive_constraints يوٽيلٽي جڏهن Libero SoC کان ٻاهر ڪئي ويندي آهي، جيئن ضميمه C—Derive Constraints ۾ بيان ڪيو ويو آهي.
سمجهاڻي نه جيڪڏهن گهربل هجي ته، Libero SoC کان ٻاهر ٽئين پارٽي ٽول استعمال ڪريو. ٽارگيٽ ڊيوائس، ٽارگيٽ سموليٽر، ۽ بيڪ اينڊ لاڳو ڪرڻ لاءِ استعمال ٿيندڙ ٽارگيٽ لائبرو ورزن لاءِ اڳ ۾ مرتب ڪيل سموليشن لائبريرين جي ڊائون لوڊ جي ضرورت آهي.
سنٿاس نه جيڪڏهن گهربل هجي ته Libero SoC کان ٻاهر ٽئين پارٽي ٽول استعمال ڪريو.
ڊيزائن جي عملدرآمد: پابنديون منظم ڪريو، نيٽ لسٽ مرتب ڪريو، جڳهه ۽ رستو (ڏسو اوورview) ها پس منظر جي عمل درآمد لاءِ ٻيو لائبيرو منصوبو ٺاهيو.
وقت ۽ طاقت جي تصديق ها ٻئي ليبيرو پروجيڪٽ ۾ رهو.
ڊيزائن جي شروعاتي ڊيٽا ۽ ياداشتن کي ترتيب ڏيو ها ڊوائيس ۾ مختلف قسمن جي ياداشتن ۽ ڊيزائن جي شروعات کي منظم ڪرڻ لاءِ هن اوزار کي استعمال ڪريو. ٻئي منصوبي ۾ رهو.
پروگرامنگ File نسل ها ٻئي منصوبي ۾ رهو.

مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن اهم: توهان تي موجود اڳ-ڪمپائل ٿيل لائبريريون ڊائون لوڊ ڪرڻ گهرجن اڳ-ڪمپائل ٿيل سموليشن لائبريريون ٽئين پارٽي سميوليٽر استعمال ڪرڻ لاءِ صفحو.
هڪ خالص فيبرڪ FPGA فلو ۾، HDL يا اسڪيميٽڪ انٽري استعمال ڪندي پنهنجي ڊيزائن داخل ڪريو ۽ ان کي سڌو پاس ڪريو.
سنٿيسس ٽولز ڏانهن. وهڪري اڃا تائين سپورٽ ٿيل آهي. پولار فائر ۽ پولار فائر SoC FPGAs ۾ اهم آهن
ملڪيت وارا سخت IP بلاڪ جيڪي Libero SoC IP مان ڪنفيگريشن ڪور (SgCores) جي استعمال جي ضرورت رکن ٿا.
ڪيٽلاگ. ڪنهن به بلاڪ لاءِ خاص هينڊلنگ جي ضرورت آهي جنهن ۾ SoC ڪارڪردگي شامل آهي:

  • پولار فائر
    - پي ايف_يو پي آر او ايم
    - پي ايف_سسٽم_سروسز
    - پي ايف_سي سي سي
    - پي ايف سي ايل ڪي ڊي آءِ وي
    - پي ايف_ڪرپٽو
    - پي ايف_ڊي آر آءِ
    - پي ايف_انٽ_مانيٽر
    - پي ايف_اين جي ايم يو ايڪس
    - پي ايف_ او ايس سي
    - ريم (TPSRAM، DPSRAM، URAM)
    - پي ايف_ايس آر اي ايم_ايڇ بي ايل_ايڪسي
    - پي ايف_ايڪس سي وي آر_اي آر ايم
    - پي ايف_ايڪس سي وي آر_آر اي ايف_سي ايل ڪي
    - پي ايف_ٽي ايڪس_پي ايل ايل
    - پي ايف_پي سي آءِ اي
    - پي ايف_آءِ او
    - پي ايف_آءِ او ڊي_سي ڊي آر
    - پي ايف_آءِ او ڊي_سي ڊي آر_سي سي سي
    - پي ايف_آءِ او ڊي_جنرڪ_آر ايڪس
    - پي ايف_آءِ او ڊي_جنرڪ_ٽي ايڪس
    - پي ايف_آءِ او ڊي_جنرڪ_ٽي ايڪس_سي سي سي
    – پي ايف_آر جي ايم آءِ آءِ_ٽو_جي ايم آءِ آءِ
    - پي ايف_آءِ او ڊي_آڪٽل_ڊي ڊي آر
    - پي ايف_ڊي ڊي آر 3
    - پي ايف_ڊي ڊي آر 4
    - پي ايف_ ايل پي ڊي ڊي آر 3
    - پي ايف_ڪيو ڊي آر
    - پي ايف_ڪورس مارٽبرٽ
    - پي ايف_ٽيAMPER
    - PF_TVS، ۽ ائين ئي.

اڳئين فهرست ڏنل SgCores کان علاوه، Libero SoC Catalog ۾ PolarFire ۽ PolarFire SoC ڊوائيس خاندانن لاءِ ڪيترائي DirectCore نرم IP موجود آهن جيڪي FPGA فيبرڪ وسيلن کي استعمال ڪن ٿا.
ڊيزائن جي داخلا لاءِ، جيڪڏهن توهان اڳئين حصن مان ڪنهن هڪ کي استعمال ڪندا آهيو، ته توهان کي ڊيزائن جي داخلا (ڪمپوننٽ ڪنفيگريشن) جي حصي لاءِ Libero SoC استعمال ڪرڻ گهرجي، پر توهان Libero کان ٻاهر پنهنجي باقي ڊيزائن جي داخلا (HDL داخلا، وغيره) جاري رکي سگهو ٿا. Libero کان ٻاهر FPGA ڊيزائن جي وهڪري کي منظم ڪرڻ لاءِ، هن گائيڊ جي باقي حصي ۾ ڏنل قدمن تي عمل ڪريو.
1.1 جزوي زندگي جو چڪر (هڪ سوال پڇو)
هيٺيان قدم هڪ SoC جزو جي زندگي جي چڪر کي بيان ڪن ٿا ۽ ڊيٽا کي ڪيئن سنڀالجي ان بابت هدايتون مهيا ڪن ٿا.

  1. Libero SoC ۾ ان جي ڪنفيگيوٽر استعمال ڪندي ڪمپونينٽ تيار ڪريو. هي هيٺ ڏنل قسمن جو ڊيٽا پيدا ڪري ٿو:
    - ايڇ ڊي ايل files
    - ياداشت files
    - محرڪ ۽ تخليق files
    - جزو ايس ڊي سي file
  2. ايڇ ڊي ايل لاءِ files، انهن کي ٻاهرين ڊيزائن انٽري ٽول/پروسيس استعمال ڪندي باقي HDL ڊيزائن ۾ انسٽنٽيٽ ڪريو ۽ ضم ڪريو.
  3. ياداشت جي فراهمي files ۽ محرڪ fileتوهان جي سميوليشن ٽول ڏانهن.
  4. سپلائي جزو ايس ڊي سي file ڪنسٽرنٽ جنريشن لاءِ ڊيريو ڪنسٽرنٽ ٽول ڏانهن. وڌيڪ تفصيل لاءِ ضميمه سي—ڊيريو ڪنسٽرنٽ ڏسو.
  5. توهان کي هڪ ٻيو لائبيرو پروجيڪٽ ٺاهڻ گهرجي، جتي توهان پوسٽ-سنٿيسس نيٽ لسٽ ۽ توهان جي جزو ميٽا ڊيٽا کي درآمد ڪندا، اهڙي طرح توهان جي پيدا ڪيل ۽ توهان جي پروگرام جي وچ ۾ ڪنيڪشن مڪمل ڪندا.

1.2 لائبيرو ايس او سي پروجيڪٽ جي تخليق (هڪ سوال پڇو)
ڪجهه ڊيزائن مرحلا Libero SoC ماحول اندر هلائڻ گهرجن (ٽيبل 1-1). انهن قدمن کي هلائڻ لاءِ، توهان کي ٻه Libero SoC پروجيڪٽ ٺاهڻ گهرجن. پهريون منصوبو ڊيزائن جي جزو جي ترتيب ۽ پيداوار لاءِ استعمال ڪيو ويندو آهي، ۽ ٻيو منصوبو مٿين سطح جي ڊيزائن جي جسماني عمل درآمد لاءِ آهي.
1.3 ڪسٽم فلو (هڪ سوال پڇو)
هيٺ ڏنل شڪل ڏيکاري ٿي:

  • ليبيرو ايس او سي کي ليبيرو ايس او سي ماحول کان ٻاهر ٽئين پارٽي سنٿيسس ۽ سموليشن ٽولز سان وڏي ايف پي جي اي ڊيزائن فلو جي حصي طور ضم ڪري سگهجي ٿو.
  • وهڪري ۾ شامل مختلف مرحلا، ڊيزائن ٺاهڻ کان شروع ٿي ۽ سلائي ڪرڻ کان وٺي ڊوائيس جي پروگرامنگ تائين.
  • ڊيٽا جي مٽاسٽا (ان پٽ ۽ آئوٽ پُٽ) جيڪا هر ڊيزائن فلو مرحلي تي ٿيڻ گهرجي.

مائڪروچپ DS00004807F پولار فائر فيملي FPGA ڪسٽم فلو - ڪسٽم فلو اوورviewمائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن 1 ترڪيب:

  1. ايس اين وي ايم.سي ايف جي، يو پي آر او ايم.سي ايف جي
  2. *.ميم file سميوليشن لاءِ جنريشن: pa4rtupromgen.exe UPROM.cfg کي ان پٽ طور وٺي ٿو ۽ UPROM.mem ٺاهي ٿو.

ڪسٽم فلو ۾ هيٺيان قدم آهن:

  1. اجزاء جي ترتيب ۽ نسل:
    الف. پهريون لائبيرو پروجيڪٽ ٺاهيو (هڪ ريفرنس پروجيڪٽ جي طور تي ڪم ڪرڻ لاءِ).
    ب. ڪيٽلاگ مان ڪور چونڊيو. ڪور تي ڊبل ڪلڪ ڪريو ان کي ڪمپونينٽ جو نالو ڏيو ۽ ڪمپونينٽ کي ترتيب ڏيو.
    هي خودڪار طريقي سان جزو ڊيٽا برآمد ڪري ٿو ۽ files. هڪ ڪمپونينٽ مينيفيسٽ پڻ تيار ڪيو ويو آهي. تفصيل لاءِ ڪمپونينٽ مينيفيسٽ ڏسو. وڌيڪ تفصيل لاءِ، ڪمپونينٽ ڪنفيگريشن ڏسو.
  2. لائبيرو کان ٻاهر پنهنجو RTL ڊيزائن مڪمل ڪريو:
    الف. جزو HDL کي فوري طور تي لڳايو files.
    ب. ايڇ ڊي ايل جو هنڌ files ڪمپونينٽ مينيفيسٽس ۾ درج ٿيل آهي files.
  3. حصن لاءِ SDC رڪاوٽون پيدا ڪريو. وقت جي رڪاوٽ پيدا ڪرڻ لاءِ Derive Constraints يوٽيلٽي استعمال ڪريو. file(ايس ڊي سي) جي بنياد تي:
    الف. جزو HDL files
    ب. جزو ايس ڊي سي files
    ج. استعمال ڪندڙ HDL files
    وڌيڪ تفصيل لاءِ، ضميمو سي—ڊيريو پابنديون ڏسو.
  4. سنٿيسس ٽول/سيموليشن ٽول:
    الف. ايڇ ڊي ايل حاصل ڪريو files، محرڪ files، ۽ مخصوص جڳهن مان جزو ڊيٽا جيئن ته جزو مينيفيسٽ ۾ نوٽ ڪيو ويو آهي.
    ب. لائبيرو ايس او سي کان ٻاهر ٽئين پارٽي ٽولز سان ڊيزائن کي سنٿيسائيز ۽ نقل ڪريو.
  5. پنهنجو ٻيو (عمل درآمد) لائبيرو پروجيڪٽ ٺاهيو.
  6. ڊيزائن فلو ٽول چين مان سنٿيسس کي هٽايو (پروجيڪٽ > پروجيڪٽ سيٽنگون > ڊيزائن فلو > انيبل سنٿيسس چيڪ باڪس صاف ڪريو).
  7. ڊيزائن جو ذريعو درآمد ڪريو files (سنٿيسس ٽول مان پوسٽ-سنٿيسس *.vm نيٽ لسٽ):
    - پوسٽ سنٿيسس درآمد ڪريو *.vm نيٽ لسٽ (File> درآمد> سنٿيسائزڊ ويريلاگ نيٽ لسٽ (VM)).
    - جزو ميٽا ڊيٽا *.cfg fileuPROM ۽/يا sNVM لاءِ s.
  8. ڪو به Libero SoC بلاڪ جزو درآمد ڪريو fileايس. بلاڪ files *.cxz ۾ هجڻ گهرجي file فارميٽ.
    بلاڪ ٺاهڻ بابت وڌيڪ معلومات لاءِ، ڏسو پولار فائر بلاڪ فلو يوزر گائيڊ.
  9. ڊيزائن جون پابنديون درآمد ڪريو:
    - درآمد I/O پابندي files (ڪنسٽرنٽس مئنيجر > I/OAttributes > Import).
    - فلور پلاننگ درآمد ڪريو *.pdc files (رڪاوٽون مئنيجر > فلور پلانر > امپورٽ).
    - درآمد *.sdc وقت جي پابندي files (ڪنسٽرنٽس مئنيجر > ٽائمنگ > امپورٽ). SDC امپورٽ ڪريو file ڊيريو ڪنسٽرنٽ ٽول ذريعي پيدا ڪيو ويو.
    - درآمد *.ndc پابندي files (ڪنسٽرنٽس مئنيجر > نيٽ لسٽ خاصيتون > درآمد)، جيڪڏهن ڪو هجي.
  10. پابندي file ۽ اوزارن جي ايسوسيئيشن
    - ڪنسٽرنٽ مئنيجر ۾، *.pdc کي ڳنڍيو fileرکڻ ۽ رستو ڏيڻ لاءِ، *.sdc fileجڳھ ۽ رستي ۽ وقت جي تصديق لاءِ، ۽ *.ndc fileنيٽ لسٽ کي ڪمپائل ڪرڻ لاءِ.
  11. مڪمل ڊيزائن تي عملدرآمد
    - جڳھ ۽ رستو، وقت ۽ طاقت جي تصديق ڪريو، ڊيزائن جي شروعاتي ڊيٽا ۽ ياداشتن کي ترتيب ڏيو، ۽ پروگرامنگ file نسل.
  12. ڊيزائن جي تصديق ڪريو
    - Libero SoC ڊيزائن سوٽ سان مهيا ڪيل ڊيزائن ٽولز استعمال ڪندي FPGA تي ڊيزائن جي تصديق ڪريو ۽ ضرورت مطابق ڊيبگ ڪريو.

جزو جي ترتيب (هڪ سوال پڇو)

ڪسٽم فلو ۾ پهريون قدم هڪ لائبرو ريفرنس پروجيڪٽ (ٽيبل 1-1 ۾ پهريون لائبرو پروجيڪٽ پڻ سڏيو ويندو آهي) استعمال ڪندي پنهنجن حصن کي ترتيب ڏيڻ آهي. ايندڙ مرحلن ۾، توهان هن ريفرنس پروجيڪٽ مان ڊيٽا استعمال ڪندا آهيو.
جيڪڏهن توهان اڳ ۾ درج ڪيل ڪنهن به اجزاء کي استعمال ڪري رهيا آهيو، اوور جي تحتview توهان جي ڊيزائن ۾، هن حصي ۾ بيان ڪيل قدمن کي انجام ڏيو.
جيڪڏهن توهان مٿي ڏنل ڪنهن به جز کي استعمال نه ڪري رهيا آهيو، ته توهان پنهنجو RTL لائبرو کان ٻاهر لکي سگهو ٿا ۽ ان کي سڌو سنئون پنهنجي سنٿيسس ۽ سموليشن ٽولز ۾ درآمد ڪري سگهو ٿا. پوءِ توهان پوسٽ سنٿيسس سيڪشن ڏانهن اڳتي وڌي سگهو ٿا ۽ صرف پنهنجي پوسٽ سنٿيسس *.vm نيٽ لسٽ کي پنهنجي آخري لائبرو عملدرآمد منصوبي ۾ درآمد ڪري سگهو ٿا (ٽيبل 1-1 ۾ ٻيو لائبرو پروجيڪٽ پڻ سڏيو ويندو آهي).
2.1 لائبرو استعمال ڪندي جزو جي ترتيب (هڪ سوال پڇو)
پوئين فهرست مان استعمال ٿيندڙ اجزاء کي چونڊڻ کان پوءِ، هيٺيان قدم کڻو:

  1. هڪ نئون لائبيرو پروجيڪٽ ٺاهيو (ڪور ڪنفيگريشن ۽ جنريشن): اهو ڊوائيس ۽ فيملي چونڊيو جنهن کي توهان پنهنجي آخري ڊيزائن کي نشانو بڻايو ٿا.
  2. ڪسٽم فلو ۾ ذڪر ڪيل هڪ يا وڌيڪ ڪور استعمال ڪريو.
    الف. هڪ اسمارٽ ڊيزائن ٺاهيو ۽ گهربل ڪور کي ترتيب ڏيو ۽ ان کي اسمارٽ ڊيزائن ڪمپونينٽ ۾ انسٽال ڪريو.
    ب. سڀني پنن کي مٿين سطح تي وڌايو.
    ج. اسمارٽ ڊيزائن ٺاهيو.
    د. سيموليٽر کي سڏڻ لاءِ سيموليٽ ٽول (پري-سنٿيسس يا پوسٽ-سنٿيسس يا پوسٽ-لي آئوٽ آپشنز مان ڪو به) تي ڊبل ڪلڪ ڪريو. ان کي سڏڻ کان پوءِ توهان سيموليٽر مان ٻاهر نڪري سگهو ٿا. هي قدم سيموليٽر پيدا ڪري ٿو. fileتوهان جي منصوبي لاءِ ضروري آهي.

مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن 1 صلاح: توهان جيڪڏهن توهان لائبيرو کان ٻاهر پنهنجي ڊيزائن کي نقل ڪرڻ چاهيو ٿا ته توهان کي هي قدم ضرور کڻڻ گهرجي.
وڌيڪ معلومات لاءِ، ڏسو توهان جي ڊيزائن کي نقل ڪرڻ.
e. پنھنجو منصوبو محفوظ ڪريو - ھي توھان جو حوالو منصوبو آھي.
2.2 جزوي ظاهر (هڪ سوال پڇو)
جڏهن توهان پنهنجا جزا ٺاهيندا آهيو، ته هڪ سيٽ files هر جزو لاءِ تيار ڪيو ويندو آهي. ڪمپونينٽ مينيفيسٽ رپورٽ جي سيٽ جي تفصيل ڏئي ٿي fileهر ايندڙ مرحلي ۾ ٺاهيل ۽ استعمال ٿيل s (سنٿيسس، سموليشن، فرم ويئر جنريشن، ۽ انهي تي). هي رپورٽ توهان کي سڀني ٺاهيل جي جڳهن ڏئي ٿي fileڪسٽم فلو سان اڳتي وڌڻ لاءِ s جي ضرورت آهي. توهان رپورٽس ايريا ۾ ڪمپونينٽ مينيفيسٽ تائين رسائي حاصل ڪري سگهو ٿا: رپورٽس ٽيب کولڻ لاءِ ڊيزائن > رپورٽس تي ڪلڪ ڪريو. رپورٽس ٽيب ۾، توهان manifest.txt جو هڪ سيٽ ڏسندا. files (اوورview)، توهان جي ٺاهيل هر جزو لاءِ هڪ.
صلاح: ڪمپونينٽ مينيفيسٽ ڏسڻ لاءِ توهان کي ڪمپونينٽ يا ماڊيول کي '"روٽ" طور مقرر ڪرڻ گهرجي. file رپورٽون ٽيب ۾ مواد.
متبادل طور تي، توهان انفرادي مينيفيسٽ رپورٽ تائين رسائي حاصل ڪري سگهو ٿا fileهر بنيادي جزو لاءِ ٺاهيل يا اسمارٽ ڊيزائن جزو مان / جزو / ڪم / / / _manifest.txt يا / جزو / ڪم / / _manifest.txt. توهان مينيفيسٽ تائين پڻ رسائي ڪري سگهو ٿا file لائبيرو ۾ نئين ڪمپونينٽ ٽيب مان پيدا ٿيندڙ هر ڪمپونينٽ جو مواد، جتي file جڳهن جو ذڪر پروجيڪٽ ڊاريڪٽري جي حوالي سان ڪيو ويو آهي.مائڪروچپ DS00004807F پولار فائر فيملي FPGA ڪسٽم فلو - لائبرو رپورٽس ٽيبهيٺ ڏنل ڪمپونينٽ مينيفيسٽ رپورٽن تي ڌيان ڏيو:

  • جيڪڏهن توهان ڪور کي اسمارٽ ڊيزائن ۾ انسٽنٽ ڪيو آهي، ته پڙهو file _manifest.txt.
  • جيڪڏهن توهان ڪور لاءِ جزا ٺاهيا آهن، ته پڙهو _manifest.txt.

توهان کي پنهنجي ڊيزائن تي لاڳو ٿيندڙ سڀني ڪمپونينٽ مينيفيسٽ رپورٽن کي استعمال ڪرڻ گهرجي. مثال طورampها، جيڪڏهن توهان جي پروجيڪٽ ۾ هڪ SmartDesign آهي جنهن ۾ هڪ يا وڌيڪ بنيادي جزا شامل آهن ۽ توهان انهن سڀني کي پنهنجي آخري ڊيزائن ۾ استعمال ڪرڻ جو ارادو رکو ٿا، ته پوءِ توهان کي چونڊڻ گهرجي fileتوهان جي ڊيزائن جي وهڪري ۾ استعمال لاءِ انهن سڀني حصن جي ڪمپونينٽ مينيفيسٽ رپورٽن ۾ درج ٿيل آهن.
2.3 تشريحي منشور Fileايس (هڪ سوال پڇو)
جڏهن توهان هڪ ڪمپونينٽ مينيفيسٽ کوليو ٿا file، توهان کي رستا نظر اچن ٿا fileتوهان جي لائبيرو پروجيڪٽ ۾ s ۽ ڊزائن جي وهڪري ۾ ڪٿي انهن کي استعمال ڪرڻ جا اشارا. توهان شايد هيٺيان قسم ڏسي سگهو ٿا fileهڪ پڌرنامي ۾ file:

  • ايڇ ڊي ايل ذريعو fileسڀني سنٿيسس ۽ سموليشن ٽولز لاءِ
  • محرڪ fileسڀني سموليشن ٽولز لاءِ
  • پابندي files

هيٺ ڏنل پولار فائر ڪور ڪمپونينٽ جو ڪمپونينٽ مينيفيسٽ آهي.مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - ڪمپونينٽ مينيفيسٽهر قسم جو file توهان جي ڊيزائن جي وهڪري ۾ ضروري آهي. هيٺيان حصا انضمام جي وضاحت ڪن ٿا fileمينيفيسٽ کان توهان جي ڊيزائن جي وهڪري ۾.

پابندي جي پيداوار (هڪ سوال پڇو)

ترتيب ۽ پيداوار انجام ڏيڻ وقت، SDC/PDC/NDC رڪاوٽ لکڻ/جنريٽر ڪرڻ کي يقيني بڻايو. fileڊزائن لاءِ انهن کي سنٿيسس، جڳهه ۽ رستو، ۽ تصديق وقت جي اوزارن ڏانهن منتقل ڪرڻ لاءِ.
لائيبرو ماحول کان ٻاهر ڊيريو ڪنسٽرنٽ يوٽيلٽي استعمال ڪريو ته جيئن رڪاوٽون پيدا ڪري سگهجن بجاءِ انهن کي دستي طور تي لکڻ جي. لائيبرو ماحول کان ٻاهر ڊيريو ڪنسٽرنٽ يوٽيلٽي استعمال ڪرڻ لاءِ، توهان کي لازمي طور تي:

  • صارف HDL، جزو HDL، ۽ جزو SDC رڪاوٽ جي فراهمي files
  • مٿين سطح جي ماڊيول جي وضاحت ڪريو
  • اهو هنڌ بيان ڪريو جتي نڪتل رڪاوٽ پيدا ڪرڻي آهي. files

SDC جزو جون پابنديون هيٺ موجود آهن / جزو / ڪم / / / ڊاريڪٽري جزو جي ترتيب ۽ نسل کان پوءِ.
توهان جي ڊيزائن لاءِ رڪاوٽون ڪيئن پيدا ڪجي ان بابت وڌيڪ تفصيل لاءِ، ضميمو سي—ڊيريو رڪاوٽون ڏسو.

توهان جي ڊيزائن کي سنٿيسائيز ڪرڻ (هڪ سوال پڇو)

ڪسٽم فلو جي بنيادي خاصيتن مان هڪ اها آهي ته توهان کي ٽئين پارٽي جي سنٿيسس استعمال ڪرڻ جي اجازت ڏني وڃي ٿي.
لائيبرو کان ٻاهر اوزار. ڪسٽم فلو Synopsys SynplifyPro جي استعمال جي حمايت ڪري ٿو. توهان جي
منصوبي لاءِ، هيٺ ڏنل طريقو استعمال ڪريو:

  1. پنهنجي سنٿيسس ٽول ۾ هڪ نئون پروجيڪٽ ٺاهيو، ساڳئي ڊوائيس فيملي، ڊائي، ۽ پيڪيج کي نشانو بڻائي جيئن توهان جي ٺاهيل لائبيرو پروجيڪٽ.
    الف. پنهنجو RTL درآمد ڪريو fileجيئن توهان عام طور تي ڪندا آهيو.
    ب. سنٿيسس آئوٽ پُٽ کي Structural Verilog (.vm) تي سيٽ ڪريو.
    صلاح: ساختي پولار فائر ۾ ويريلاگ (.vm) واحد سپورٽ ٿيل سنٿيسس آئوٽ پُٽ فارميٽ آهي.
  2. درآمد ٿيل جزو HDL fileتوهان جي سنٿيسس پروجيڪٽ ۾ شامل ڪريو:
    الف. هر جزو جي ظاهري رپورٽ لاءِ: هر هڪ لاءِ file ايڇ ڊي ايل ذريعن جي تحت fileسڀني سنٿيسس ۽ سموليشن ٽولز لاءِ، درآمد ڪريو file توهان جي سنٿيسس پروجيڪٽ ۾.
  3. درآمد ڪريو file polarfire_syn_comps.v (جيڪڏهن Synopsys Synplify استعمال ڪري رهيا آهيو) کان
    توهان جي سنٿيسس پروجيڪٽ ۾ انسٽاليشن مقام>/ڊيٽا/aPA5M.
  4. اڳ ۾ ٺاهيل SDC درآمد ڪريو file حاصل ڪيل پابندي واري اوزار ذريعي (ضميمو ڏسو)
    الف - سamp(le SDC پابنديون) سنٿيسس ٽول ۾. هي پابندي file سنٿيسس ٽول کي گهٽ ڪوشش ۽ گهٽ ڊيزائن جي ورهاڱي سان وقت جي بندش حاصل ڪرڻ لاءِ محدود ڪري ٿو.

مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن اهم: 

  • جيڪڏهن توهان ساڳيو *.sdc استعمال ڪرڻ جو ارادو ڪيو ٿا file ڊيزائن جي عمل درآمد جي مرحلي دوران جڳهه ۽ رستي کي محدود ڪرڻ لاءِ، توهان کي هن *.sdc کي سنٿيسس پروجيڪٽ ۾ درآمد ڪرڻ گهرجي. اهو يقيني بڻائڻ لاءِ آهي ته سنٿيسس ٿيل نيٽ لسٽ ۾ ڪو به ڊيزائن آبجیکٹ نالو بي ميل نه هجي ۽ ڊيزائن جي عمل جي عمل درآمد جي مرحلي دوران جڳهه ۽ رستي جي پابنديون نه هجن. جيڪڏهن توهان هن *.sdc کي شامل نه ڪيو. file سنٿيسس جي مرحلي ۾، سنٿيسس مان پيدا ٿيل نيٽ لسٽ ڊزائن جي اعتراض جي نالي جي بي ترتيبي جي ڪري جڳهه ۽ رستي جي مرحلي ۾ ناڪام ٿي سگهي ٿي.
    الف. نيٽ لسٽ خاصيتون *.ndc، جيڪڏهن ڪو هجي، کي سنٿيسس ٽول ۾ درآمد ڪريو.
    ب. سنٿيسس هلايو.
  • توهان جي سنٿيسس ٽول آئوٽ پُٽ جي جڳهه تي *.vm نيٽ لسٽ آهي file سنٿيسس کان پوءِ پيدا ٿيل. ڊيزائن جي عمل کي جاري رکڻ لاءِ توهان کي نيٽ لسٽ کي لائبرو امپليمينٽيشن پروجيڪٽ ۾ درآمد ڪرڻ گهرجي.

توهان جي ڊيزائن جي نقل ڪرڻ (هڪ سوال پڇو)

لائبيرو کان ٻاهر پنهنجي ڊيزائن کي نقل ڪرڻ لاءِ (يعني، پنهنجي تخليقي ماحول ۽ سيموليٽر کي استعمال ڪندي)، هيٺيان قدم کڻو:

  1. ڊيزائن Files:
    الف. اڳ-سنٿيسس سموليشن:
    • پنهنجي آر ٽي ايل کي پنهنجي سميوليشن پروجيڪٽ ۾ درآمد ڪريو.
    • هر ڪمپونينٽ مينيفيسٽ رپورٽ لاءِ.
    - هر هڪ درآمد ڪريو file ايڇ ڊي ايل ذريعن جي تحت fileتوهان جي سموليشن پروجيڪٽ ۾ سڀني سنٿيسس ۽ سموليشن ٽولز لاءِ.
    • انهن کي مرتب ڪريو fileتوهان جي سيموليٽر جي هدايتن مطابق.
    ب. پوسٽ سنٿيسس سموليشن:
    • پنهنجي پوسٽ-سنٿيسس *.vm نيٽ لسٽ (جيڪا توهان جي ڊيزائن کي سنٿيسائيز ڪرڻ ۾ ٺاهي وئي آهي) کي پنهنجي سميوليشن پروجيڪٽ ۾ درآمد ڪريو ۽ ان کي مرتب ڪريو.
    ج. پوسٽ-لي آئوٽ سموليشن:
    • پهرين، پنهنجي ڊيزائن کي لاڳو ڪرڻ مڪمل ڪريو (ڏسو پنهنجي ڊيزائن کي لاڳو ڪرڻ). پڪ ڪريو ته توهان جو آخري ليبرو پروجيڪٽ پوسٽ لي آئوٽ حالت ۾ آهي.
    • Generate BackAnnotated تي ڊبل ڪلڪ ڪريو Files لائبرو ڊيزائن فلو ونڊو ۾. اهو ٻه پيدا ڪري ٿو files:
    /ڊزائنر/ / _ba.v/vhd /ڊزائنر/
    / _ba.sdf
    • انهن ٻنهي کي درآمد ڪريو fileتوهان جي سميوليشن ٽول ۾.
  2. محرڪ ۽ ترتيب files:
    الف. هر ڪمپونينٽ مينيفيسٽ رپورٽ لاءِ:
    • سڀ ڪاپي ڪريو fileمحرڪ جي تحت Fileتوهان جي سموليشن پروجيڪٽ جي روٽ ڊاريڪٽري ۾ سڀني سموليشن ٽولز سيڪشن لاءِ s.
    ب. پڪ ڪريو ته ڪو به Tcl fileاڳئين فهرستن ۾ (قدم 2.a ۾) s کي پهرين، سموليشن جي شروعات کان اڳ عمل ۾ آندو ويندو آهي.
    ج. UPROM.mem: جيڪڏهن توهان پنهنجي ڊيزائن ۾ UPROM ڪور استعمال ڪندا آهيو ته هڪ يا وڌيڪ ڊيٽا اسٽوريج ڪلائنٽس لاءِ "Use content for simulation" اختيار سان فعال ڪيو ويو آهي، جيڪي توهان simulate ڪرڻ چاهيو ٿا، ته توهان کي UPROM.mem پيدا ڪرڻ لاءِ ايگزيڪيوٽو pa4rtupromgen (ونڊوز تي pa4rtupromgen.exe) استعمال ڪرڻ گهرجي. file. pa4rtupromgen ايگزيڪيوٽوبل UPROM.cfg وٺندو آهي file Tcl اسڪرپٽ ذريعي ان پٽ جي طور تي file ۽ UPROM.mem کي آئوٽ پُٽ ڪري ٿو file سميوليشن لاءِ گهربل. هي UPROM.mem file سميوليشن هلائڻ کان اڳ سميوليشن فولڊر ۾ ڪاپي ڪرڻ گهرجي. هڪ سابقampهيٺ ڏنل مرحلن ۾ pa4rtupromgen جي ايگزيڪيوٽوبل استعمال کي ڏيکاريو ويو آهي. UPROM.cfg file ڊاريڪٽري ۾ موجود آهي / جزو / ڪم / / لائبيرو پروجيڪٽ ۾ جيڪو توهان UPROM جزو پيدا ڪرڻ لاءِ استعمال ڪيو هو.
    d. snvm.mem: جيڪڏهن توهان پنهنجي ڊيزائن ۾ سسٽم سروسز ڪور استعمال ڪندا آهيو ۽ ڪور ۾ sNVM ٽيب کي "Use content for simulation" آپشن سان ترتيب ڏنو آهي، هڪ يا وڌيڪ ڪلائنٽس لاءِ فعال ڪيو ويو آهي جيڪي توهان سميوليٽ ڪرڻ چاهيو ٿا، ته هڪ snvm.mem file خودڪار طريقي سان پيدا ٿئي ٿو
    ڊائريڪٽري / جزو / ڪم / / لائيبرو پروجيڪٽ ۾ جيڪو توهان سسٽم سروسز ڪمپونينٽ ٺاهڻ لاءِ استعمال ڪيو هو. هي snvm.mem file سموليشن هلائڻ کان اڳ سموليشن فولڊر ۾ ڪاپي ڪرڻ گهرجي.
  3. ڪم ڪندڙ فولڊر جي هيٺان هڪ ڪم ڪندڙ فولڊر ۽ سموليشن نالي هڪ ذيلي فولڊر ٺاهيو.
    pa4rtupromgen ايگزيڪيوٽوبل ڪم ڪندڙ فولڊر ۾ سموليشن سب فولڊر جي موجودگي جي توقع ڪري ٿو ۽ *.tcl اسڪرپٽ سموليشن سب فولڊر ۾ رکيل آهي.
  4. UPROM.cfg ڪاپي ڪريو file ڪم ڪندڙ فولڊر ۾ جزو جي پيداوار لاءِ ٺاهيل پهرين لائبيرو پروجيڪٽ مان.
  5. هيٺ ڏنل حڪمن کي *.tcl اسڪرپٽ ۾ پيسٽ ڪريو ۽ ان کي قدم 3 ۾ ٺهيل سموليشن فولڊر ۾ رکو.
    SampLE *.tcl پولار فائر ۽ پولار فائر سوڪ فيملي ڊوائيسز لاءِ URPOM.mem پيدا ڪرڻ لاءِ file
    UPROM.cfg کان
    سيٽ_ڊوائيس - فيم -مرڻ -پي ڪي جي
    سيٽ_ان پٽ_سي ايف جي - رستو
    سيٽ_سم_ميم - رستوFile/UPROM.mem>
    gen_sim -use_init غلط
    ڊائي ۽ پيڪيج لاءِ استعمال ڪرڻ لاءِ صحيح اندروني نالو لاءِ، *.prjx ڏسو file پهرين لائبيرو پروجيڪٽ جو (جزو پيدا ڪرڻ لاءِ استعمال ٿيل).
    دليل use_init کي غلط تي سيٽ ڪيو وڃي.
    آئوٽ پُٽ جو رستو بيان ڪرڻ لاءِ set_sim_mem ڪمانڊ استعمال ڪريو. file UPROM.mem يعني
    اسڪرپٽ جي عملدرآمد تي پيدا ٿيو file pa4rtupromgen ايگزيڪيوٽوبل سان.
  6. ڪمانڊ پرامپٽ يا سائگون ٽرمينل تي، قدم 3 ۾ ٺاهيل ورڪنگ ڊاريڪٽري ڏانهن وڃو.
    pa4rtupromgen ڪمانڊ کي –script آپشن سان عمل ڪريو ۽ ان کي پوئين مرحلي ۾ ٺاهيل *.tcl اسڪرپٽ پاس ڪريو.
    ونڊوز لاء
    /designer/bin/pa4rtupromgen.exe \
    - اسڪرپٽ./simulation/ .ٽي سي ايل
    لينڪس لاءِ:
    /بن/pa4rtupromgen
    - اسڪرپٽ./simulation/ .ٽي سي ايل
  7. pa4rtupromgen ايگزيڪيوٽوبل جي ڪامياب عملدرآمد کان پوءِ، چيڪ ڪريو ته UPROM.mem file *.tcl اسڪرپٽ ۾ set_sim_mem ڪمانڊ ۾ بيان ڪيل جڳهه تي پيدا ٿئي ٿو.
  8. sNVM کي نقل ڪرڻ لاءِ، snvm.mem ڪاپي ڪريو file توهان جي پهرين لائبيرو پروجيڪٽ (ڪمپوننٽ ڪنفيگريشن لاءِ استعمال ٿيل) مان توهان جي سموليشن پروجيڪٽ جي مٿين سطح جي سموليشن فولڊر ۾ سموليشن هلائڻ لاءِ (لائبيرو ايس او سي کان ٻاهر). UPROM مواد کي نقل ڪرڻ لاءِ، ٺاهيل UPROM.mem ڪاپي ڪريو. file سموليشن هلائڻ لاءِ پنهنجي سموليشن پروجيڪٽ جي مٿين سطح جي سموليشن فولڊر ۾ (لائبرو ايس او سي کان ٻاهر).

مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن اهم: ڏانهن SoC Components جي ڪارڪردگي کي نقل ڪريو، اڳ ۾ مرتب ڪيل PolarFire سموليشن لائبريريون ڊائون لوڊ ڪريو ۽ انهن کي پنهنجي سموليشن ماحول ۾ درآمد ڪريو جيئن هتي بيان ڪيو ويو آهي. وڌيڪ تفصيل لاءِ، ضميمه B ڏسو - نقل ماحول ۾ نقل لائبريريون درآمد ڪرڻ.

توهان جي ڊيزائن کي لاڳو ڪرڻ (هڪ سوال پڇو)

پنهنجي ماحول ۾ سنٿيسس ۽ پوسٽ سنٿيسس سموليشن مڪمل ڪرڻ کان پوءِ، توهان کي پنهنجي ڊيزائن کي جسماني طور تي لاڳو ڪرڻ، ٽائيمنگ هلائڻ ۽ پاور تجزيو ڪرڻ، ۽ پنهنجي پروگرامنگ پيدا ڪرڻ لاءِ ٻيهر لائبرو استعمال ڪرڻ گهرجي. file.

  1. ڊيزائن جي جسماني عملدرآمد ۽ ترتيب لاءِ هڪ نئون لائبيرو پروجيڪٽ ٺاهيو. پڪ ڪريو ته ساڳئي ڊوائيس کي نشانو بڻايو وڃي جيئن توهان ڪمپونينٽ ڪنفيگريشن ۾ ٺاهيل ريفرنس پروجيڪٽ ۾ آهي.
  2. پروجيڪٽ ٺهڻ کان پوءِ، ڊيزائن فلو ونڊو ۾ ٽول چين مان سنٿيسس کي هٽايو (پروجيڪٽ > پروجيڪٽ سيٽنگون > ڊيزائن فلو > ان چيڪ ڪريو انيبل سنٿيسس).
  3.  پنهنجو پوسٽ-سنٿيسس *.vm درآمد ڪريو file هن منصوبي ۾، (File > درآمد > سنٿيسائزڊ ويريلاگ نيٽ لسٽ (VM)).
    مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن 1 صلاح: اها صلاح ڏني وئي آهي ته توهان هن جو لنڪ ٺاهيو file، ته جيئن جيڪڏهن توهان پنهنجي ڊيزائن کي ٻيهر سنٿيسس ڪريو ٿا، ته لائبرو هميشه جديد پوسٽ سنٿيسس نيٽ لسٽ استعمال ڪندو آهي.
    الف. ڊيزائن هيئرارڪي ونڊو ۾، روٽ ماڊيول جو نالو نوٽ ڪريو.مائڪروچپ DS00004807F پولار فائر فيملي FPGA ڪسٽم فلو - ڊيزائن هيئرارڪي
  4. لائيبرو پراجيڪٽ ۾ رڪاوٽون درآمد ڪريو. *.pdc/*.sdc/*.ndc رڪاوٽون درآمد ڪرڻ لاءِ رڪاوٽ مئنيجر استعمال ڪريو.
    الف. درآمد I/O *.pdc پابندي files (ڪنسٽرنٽس مئنيجر > I/O خاصيتون > درآمد).
    ب. درآمد فرش منصوبابندي *.pdc پابندي files (رڪاوٽون مئنيجر > فلور پلانر > درآمد).
    ج. درآمد *.sdc وقت جي پابندي files (ڪنسٽرنٽس مئنيجر > ٽائمنگ > امپورٽ). جيڪڏهن توهان جي ڊيزائن ۾ اوور ۾ درج ٿيل ڪو به ڪور آهيview، SDC درآمد ڪرڻ کي يقيني بڻايو file ڊيريو ڪنسٽرنٽ ٽول ذريعي پيدا ڪيو ويو.
    د. درآمد *.ndc پابندي files (ڪنسٽرنٽس مئنيجر > نيٽ لسٽ خاصيتون > درآمد).
  5. لاڳاپيل پابنديون Fileاوزارن جي ڊيزائن ڪرڻ لاءِ.
    الف. ڪنسٽرنٽ مئنيجر کوليو (ڪنسٽرنٽ مينيج ڪريو > مئنيج ڪنسٽرنٽ کوليو) View).
    رڪاوٽ جي اڳيان جڳهه ۽ رستي ۽ وقت جي تصديق چيڪ باڪس کي چيڪ ڪريو. file پابندي قائم ڪرڻ file ۽ ٽول ايسوسيئيشن. *.pdc رڪاوٽ کي Place-and-Route ۽ *.sdc کي Place-and-Route ۽ Timing Verification ٻنهي سان ڳنڍيو. *.ndc کي ڳنڍيو file نيٽ لسٽ گڏ ڪرڻ لاءِ.
    مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن 1 صلاح: جيڪڏهن هن *.sdc رڪاوٽ سان جڳهه ۽ رستو ناڪام ٿين ٿا. file، پوءِ هي ساڳيو *.sdc درآمد ڪريو file سنٿيسس کي ٻيهر هلائڻ ۽ سنٿيسس کي ٻيهر هلائڻ لاءِ.
  6. لي آئوٽ قدم مڪمل ڪرڻ لاءِ نيٽ لسٽ ڪمپائل ڪريو ۽ پوءِ جاءِ ۽ روٽ تي ڪلڪ ڪريو.
  7. ڊيزائن انيشيئيلائيزيشن ڊيٽا ۽ ياداشتن کي ترتيب ڏيو ٽول توهان کي ڊيزائن بلاڪ کي شروع ڪرڻ جي اجازت ڏئي ٿو، جهڙوڪ LSRAM، µSRAM، XCVR (ٽرانسيور)، ۽ PCIe غير مستحڪم µPROM، sNVM، يا خارجي SPI فليش اسٽوريج ميموري ۾ ذخيرو ٿيل ڊيٽا استعمال ڪندي. ٽول ۾ ڊيزائن انيشيئيلائيزيشن تسلسل جي وضاحت، انيشيئيلائيزيشن ڪلائنٽس، يوزر ڊيٽا ڪلائنٽس جي وضاحت لاءِ هيٺيان ٽيب آهن.
    - ڊيزائن جي شروعات ٽيب
    - µPROM ٽيب
    - sNVM ٽيب
    - ايس پي آءِ فليش ٽيب
    - ڪپڙي جي ريمز ٽيب
    ڊيزائن جي شروعاتي ڊيٽا ۽ ياداشتن کي ترتيب ڏيڻ لاءِ ٽول ۾ ٽيب استعمال ڪريو.مائڪروچپ DS00004807F پولار فائر فيملي FPGA ڪسٽم فلو - ڊيٽا ۽ ياداشتونترتيب مڪمل ڪرڻ کان پوءِ، شروعاتي ڊيٽا کي پروگرام ڪرڻ لاءِ هيٺيان قدم کڻو:
    • شروعاتي ڪلائنٽ پيدا ڪريو
    • بٽ اسٽريم پيدا ڪريو يا برآمد ڪريو
    • ڊوائيس کي پروگرام ڪريو
    هن ٽول کي ڪيئن استعمال ڪجي بابت تفصيلي ڄاڻ لاءِ، ڏسو Libero SoC ڊيزائن فلو يوزر گائيڊ. ٽول ۾ مختلف ٽيب کي ترتيب ڏيڻ ۽ ميموري ڪنفيگريشن کي بيان ڪرڻ لاءِ استعمال ٿيندڙ Tcl ڪمانڊ بابت وڌيڪ معلومات لاءِ. files (*.cfg)، ڏسو ٽي سي ايل ڪمانڊ ريفرنس گائيڊ.
  8. پروگرامنگ ٺاهيو File هن پروجيڪٽ مان ۽ ان کي پنهنجي FPGA پروگرام ڪرڻ لاءِ استعمال ڪريو.

ضميمو الف - سampايس ڊي سي پابنديون (هڪ سوال پڇو

لائبيرو ايس او سي ڪجهه IP ڪورن لاءِ ايس ڊي سي ٽائيمنگ رڪاوٽون پيدا ڪري ٿو، جهڙوڪ سي سي سي، او ايس سي، ٽرانسيور وغيره. ايس ڊي سي رڪاوٽن کي ڊيزائن ٽولز ڏانهن منتقل ڪرڻ سان گهٽ ڪوشش ۽ گهٽ ڊيزائن جي ورهاڱي سان وقت جي بندش کي پورو ڪرڻ جو موقعو وڌي ٿو. مٿين سطح جي مثال مان مڪمل درجي بندي وارو رستو رڪاوٽن ۾ حوالو ڏنل سڀني ڊيزائن شين لاءِ ڏنو ويو آهي.
7.1 ايس ڊي سي وقت جون پابنديون (هڪ سوال پڇو)
لائبيرو آئي پي ڪور ريفرنس پروجيڪٽ ۾، هي اعليٰ سطحي ايس ڊي سي رڪاوٽ file ڪنسٽرنٽ مئنيجر (ڊيزائن فلو > اوپن مئنيج ڪنسٽرنٽ) تان دستياب آهي. View > وقت > حاصل ڪيل پابنديون).
مائڪروچپ DS00004807F پولر فائر فيملي FPGA ڪسٽم فلو - آئڪن اهم: ڏسو هي file جيڪڏهن توهان جي ڊيزائن ۾ CCC، OSC، ٽرانسيور، ۽ ٻيا جزا شامل آهن ته SDC رڪاوٽون مقرر ڪرڻ لاءِ. جيڪڏهن ضروري هجي ته، مڪمل درجي بندي واري رستي کي تبديل ڪريو، پنهنجي ڊيزائن جي درجي بندي سان ملائڻ لاءِ يا Derive_Constraints يوٽيلٽي ۽ ضميمه C ۾ قدم استعمال ڪريو—Derive Constraints جزو جي سطح SDC تي. file.
محفوظ ڪريو file ٻئي نالي سان ۽ SDC درآمد ڪريو file سنٿيسس ٽول، پليس اينڊ روٽ ٽول، ۽ ٽائيمنگ ويريفڪيشنز ڏانهن، بلڪل ڪنهن ٻئي SDC رڪاوٽ وانگر files.
7.1.1 نڪتل SDC File (هڪ سوال پڇو)
# هي file هيٺ ڏنل SDC ذريعن جي بنياد تي تيار ڪيو ويو هو files:
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
PF_CCC_C0/PF_CCC_C0_0/PF_CCC_C0_PF_CCC_C0_0_PF_CCC.sdc
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
CLK_DIV/CLK_DIV_0/CLK_DIV_CLK_DIV_0_PF_CLK_DIV.sdc
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
ٽرانسمٽ_پي ايل ايل/ٽرانسمٽ_پي ايل ايل_0/ٽرانسمٽ_پي ايل ايل_ٽرانسمٽ_پي ايل ايل_0_پي ايف_ٽي ايڪس_پي ايل ايل.ايس ڊي سي
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
ڊي ايم اي_انٽيئيٽر/ڊي ايم اي_انٽيئيٽر_0/ڊي ايم اي_انٽيئيٽر.ايس ڊي سي
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
FIC0_INITIATOR/FIC0_INITIATOR_0/FIC0_INITIATOR.sdc
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
ICICLE_MSS/ICICLE_MSS.sdc
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
PF_PCIE_C0/PF_PCIE_C0_0/PF_PCIE_C0_PF_PCIE_C0_0_PF_PCIE.sdc
# /ڊرائيو/آئسيڪل_ڪٽ_ريف_ڊيز/آئسيڪل-ڪٽ-ريفرنس-ڊيزائن-ماسٽر/ايم پي ايف ايس_آئسيڪل/ڪمپوننٽ/ڪم/
پي سي آءِ اي_اين آءِ ٽي آءِ ايٽر/پي سي آءِ اي_اين آءِ ٽي آءِ ايٽر_0/پي سي آءِ اي_اين آءِ ٽي آءِ ايٽر.ايس ڊي سي
# /drive/aPA5M/cores/constraints/osc_rc160mhz.sdc
# *** هن ۾ ڪا به ترميم file جيڪڏهن نڪتل رڪاوٽون ٻيهر هلايون ويون ته گم ٿي وينديون. ***
create_clock -name {CLOCKS_AND_RESETS_inst_0/OSCILLATOR_160MHz_inst_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK} -مدت 6.25
[ پن حاصل ڪريو { ڪلاڪ ۽ ري سيٽس_انسٽ_0/OSCILLATOR_160MHz_انسٽ_0/OSCILLATOR_160MHz_0/
I_OSC_160/CLK } ] ڪلاڪ ٺاهيو - نالو {REF_CLK_PAD_P} - عرصو 10 [ پورٽ حاصل ڪريو {REF_CLK_PAD_P } ] ڪلاڪ ٺاهيو - نالو {CLOCKS_AND_RESETS_inst_0/TRANSMIT_PLL_0/TRANSMIT_PLL_0/txpll_isnt_0/
DIV_CLK} - عرصو 8
[ حاصل ڪريو_پِن { ڪلاڪ_ ۽_ ري سيٽس_انسٽ_0 / ٽرانسمٽ_ پي ايل ايل_0 / ٽرانسمٽ_ پي ايل ايل_0 / ٽي ايڪس پي ايل_ آئ ايس اين ٽي_0 / ڊي آءِ وي سي ايل ڪي } ] ٺاهيل_ ٺاهيل_ گھڙي - نالو { ڪلاڪ_ ۽_ ري سيٽس_انسٽ_0 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 /
ٻاهر0} -25 سان ضرب ڪريو -32 سان ورهايو - ذريعو
[ حاصل ڪريو_پِن { ڪلاڪ_۽_ريسيٽس_انسٽ_0/سي سي سي_فيڪ_اڪس_سي ايل ڪي/پي ايف_سي سي سي_سي0_0/پل_انسٽ_0/ريف_سي ايل ڪي_0 } ] -فيز 0
[ پن حاصل ڪريو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_0 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 / او يو ٽي } ] ٺاهيل_ ٺاهيل_ ڪلاڪ - نالو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_0 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 /
ٻاهر1} -25 سان ضرب ڪريو -32 سان ورهايو - ذريعو
[ حاصل ڪريو_پِن { ڪلاڪ_۽_ريسيٽس_انسٽ_0/سي سي سي_فيڪ_اڪس_سي ايل ڪي/پي ايف_سي سي سي_سي0_0/پل_انسٽ_0/ريف_سي ايل ڪي_0 } ] -فيز 0
[ پن حاصل ڪريو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_0 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 / او يو ٽي } ] ٺاهيل_ ٺاهيل_ ڪلاڪ - نالو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_1 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 /
ٻاهر2} -25 سان ضرب ڪريو -32 سان ورهايو - ذريعو
[ حاصل ڪريو_پِن { ڪلاڪ_۽_ريسيٽس_انسٽ_0/سي سي سي_فيڪ_اڪس_سي ايل ڪي/پي ايف_سي سي سي_سي0_0/پل_انسٽ_0/ريف_سي ايل ڪي_0 } ] -فيز 0
[ پن حاصل ڪريو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_0 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 / او يو ٽي } ] ٺاهيل_ ٺاهيل_ ڪلاڪ - نالو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_2 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 /
ٻاهر3} -25 سان ضرب ڪريو -64 سان ورهايو - ذريعو
[ حاصل ڪريو_پِن { ڪلاڪ_۽_ريسيٽس_انسٽ_0/سي سي سي_فيڪ_اڪس_سي ايل ڪي/پي ايف_سي سي سي_سي0_0/پل_انسٽ_0/ريف_سي ايل ڪي_0 } ] -فيز 0
[ حاصل ڪريو_پِن { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_0 / سي سي سي_ ايف آءِ سي_ ايڪس سي ايل ڪي / پي ايف_ سي سي سي_ سي0_0 / پي ايل ايل انسٽ_0 / اوٽ 3 } ] ٺاهيل_ ٺاهيل_ ڪلاڪ - نالو { ڪلاڪ_ ۽_ ري سيٽس_ انسٽ_0 / سي ايل ڪي 160 ايم ايڇ_ کان سي ايل ڪي 80 ايم ايڇ / سي ايل ڪي ڊي ڊي 0 / آئي سي ڊي /
Y_DIV} -2 ذريعي ورهايو - ذريعو
[ حاصل ڪريو_پِن { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/A } ] [ حاصل ڪريو_پِن { CLOCKS_AND_RESETS_inst_0/CLK_160MHz_to_CLK_80MHz/CLK_DIV_0/I_CD/Y_DIV } ] غلط رستو سيٽ ڪريو - ذريعي [ حاصل ڪريو_ نيٽ { DMA_INITIATOR_inst_0/ARESETN* } ] غلط رستو سيٽ ڪريو - کان [ حاصل ڪريو_ سيلز { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/rdGrayCounter*/cntGray* } ] -to [ get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
rdPtr_s1* } ] غلط_پاٿ سيٽ ڪريو - [ سيلز حاصل ڪريو { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/
genblk1*/wrGrayCounter*/cntGray* } ] -to [get_cells { DMA_INITIATOR_inst_0/*/SlvConvertor_loop[*].slvcnv/slvCDC/genblk1*/
wrPtr_s1* } ] غلط رستو سيٽ ڪريو - ذريعي [ نيٽ حاصل ڪريو { FIC0_INITIATOR_inst_0/ARESETN* } ] غلط رستو سيٽ ڪريو - ڏانهن [ پن حاصل ڪريو { PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[0] PCIE/PF_PCIE_C0_0/
PCIE_1/INTERRUPT[1] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[2] PCIE/PF_PCIE_C0_0/PCIE_1/
INTERRUPT[3] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[4] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[5] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[6] PCIE/PF_PCIE_C0_0/PCIE_1/INTERRUPT[7] PCIE/PF_PCIE_C0_0/
PCIE_1/WAKEREQ PCIE/PF_PCIE_C0_0/PCIE_1/MPERST_N } ] غلط رستو سيٽ ڪريو - [ حاصل ڪريو_پِن { PCIE/PF_PCIE_C0_0/PCIE_1/TL_CLK } ] غلط رستو سيٽ ڪريو - ذريعي [ حاصل ڪريو_نيٽ { PCIE_INITIATOR_inst_0/ARESETN* } ] ضميمو ب—سموليشن ماحول ۾ سموليشن لائبريريون درآمد ڪرڻ (هڪ سوال پڇو)
لائبيرو ايس او سي سان آر ٽي ايل سموليشن لاءِ ڊفالٽ سموليٽر ماڊل سم ايم اي پرو آهي.
ڊفالٽ سيموليٽر لاءِ اڳ ۾ مرتب ڪيل لائبريريون ڊاريڪٽري تي لائبرو انسٽاليشن سان موجود آهن. /Designer/lib/modelsimpro/precompiled/vlog for® سپورٽ ٿيل خاندانن لاءِ. Libero SoC ماڊل سم، ڪوسٽاسم، وي سي ايس، ايڪسيليم جي ٻين ٽئين پارٽي سموليٽر ايڊيشن کي پڻ سپورٽ ڪري ٿو.
، ايڪٽو HDL، ۽ رويرا پرو. لاڳاپيل اڳ-ڪمپائل ٿيل لائبريريون ڊائون لوڊ ڪريو تان Libero SoC v12.0 ۽ بعد ۾ سموليٽر ۽ ان جي ورزن جي بنياد تي.
لائبيرو ماحول سان ملندڙ جلندڙ، run.do file لائبيرو کان ٻاهر سموليشن هلائڻ لاءِ ٺاهيو وڃي.
هڪ سادو run.do ٺاهيو file جنهن ۾ ڪمپليشن نتيجن، لائبريري ميپنگ، ڪمپليشن، ۽ سموليشن لاءِ لائبريري قائم ڪرڻ جا حڪم آهن. بنيادي run.do ٺاهڻ لاءِ قدمن تي عمل ڪريو. file.

  1. vlib ڪمانڊ vlib presynth استعمال ڪندي ڪمپليشن نتيجن کي ذخيرو ڪرڻ لاءِ هڪ منطقي لائبريري ٺاهيو.
  2. vmap ڪمانڊ vmap استعمال ڪندي منطقي لائبريري جو نالو اڳ ۾ مرتب ڪيل لائبريري ڊاريڪٽري سان نقشو ڪريو. .
  3. ذريعو مرتب ڪريو files—ڊيزائن کي مرتب ڪرڻ لاءِ ٻولي جي مخصوص ڪمپائلر ڪمانڊ استعمال ڪريو fileڪم ڪندڙ ڊاريڪٽري ۾.
    - .v/.sv لاءِ ويلاگ
    - .vhd لاءِ vcom
  4. ڪنهن به اعليٰ سطحي ماڊيول جو نالو بيان ڪندي vsim ڪمانڊ استعمال ڪندي سموليشن لاءِ ڊيزائن لوڊ ڪريو.
  5. رن ڪمانڊ استعمال ڪندي ڊيزائن کي نقل ڪريو.
    ڊيزائن لوڊ ڪرڻ کان پوءِ، سموليشن جو وقت صفر تي مقرر ڪيو ويندو آهي، ۽ توهان سموليشن شروع ڪرڻ لاءِ رن ڪمانڊ داخل ڪري سگهو ٿا.
    سيموليٽر ٽرانسڪرپٽ ونڊو ۾، run.do کي عمل ڪريو. file جيئن رن.ڊو سموليشن هلائي. ايسample run.do file هن ريت.

خاموشي سان ACTELLIBNAME PolarFire سيٽ ڪريو خاموشي سان PROJECT_DIR “W:/Test/basic_test” سيٽ ڪريو جيڪڏهن
{[file موجود آهي presynth/_info]} { گونج "معلومات: سموليشن لائبريري presynth موجود آهي" } ٻيو
{ file حذف ڪريو -فورس پريسنٿ ولب پريسنٿ } وي ميپ پريسنٿ پريسنٿ وي ميپ پولر فائر
"ايڪس:/لائبرو/ڊزائنر/لائب/ماڊلسمپرو/پري ڪمپائلڊ/ويلاگ/پولارفائر" ويلاگ -ايس وي -ورڪ پريسنٿ
"${PROJECT_DIR}/hdl/top.v" ويلاگ "+incdir+${PROJECT_DIR}/stimulus" -sv -ڪم پريسنٿ "$
{PROJECT_DIR}/stimulus/tb.v” بمقابلہ -L پولر فائر -L پريسينٿ -t 1ps پريسينٿ.tb لهر شامل ڪريو /tb/*
1000ns لاگ /tb/* ايگزٽ هلايو

ضميمه ج - ڊيريو پابنديون (هڪ سوال پڇو)

هي ضميمو ڊيريو ڪنسٽرينٽس ٽي سي ايل ڪمانڊز کي بيان ڪري ٿو.
9.1 پابنديون حاصل ڪريو Tcl ڪمانڊ (هڪ سوال پڇو)
derive_constraints يوٽيلٽي توهان کي RTL يا Libero SoC ڊيزائن ماحول کان ٻاهر ڪنفيگريٽر کان پابنديون حاصل ڪرڻ ۾ مدد ڪري ٿي. توهان جي ڊيزائن لاءِ پابنديون پيدا ڪرڻ لاءِ، توهان کي يوزر HDL، ڪمپونينٽ HDL، ۽ ڪمپونينٽ رڪاوٽن جي ضرورت آهي. fileايس ڊي سي جزو جون پابنديون files هيٺ موجود آهن / جزو / ڪم / / / ڊاريڪٽري جزو جي ترتيب ۽ نسل کان پوءِ.
هر جزو جي پابندي file set_component tcl ڪمانڊ (جزو جو نالو بيان ڪري ٿو) ۽ ترتيب کان پوءِ پيدا ٿيندڙ پابندين جي فهرست تي مشتمل آهي. پابنديون ترتيب جي بنياد تي پيدا ڪيون وينديون آهن ۽ هر جزو لاءِ مخصوص آهن.
Example 9-1. جزو جي پابندي File PF_CCC ڪور لاءِ
هتي هڪ سابق آهيampجزو جي پابندي جو لي file PF_CCC ڪور لاءِ:
سيٽ_ڪمپوننٽ PF_CCC_C0_PF_CCC_C0_0_PF_CCC
# مائڪروچپ ڪارپوريشن.
# تاريخ: 2021-آڪٽوبر-26 04:36:00
# PLL #0 لاءِ بنيادي ڪلاڪ
گھڙي_ٺاهڻ - پيريڊ 10 [ حاصل ڪريو_پِن { pll_inst_0/REF_CLK_0 } ] ٺاھيو_جنريٽ ٿيل_گھڙي - ورهائي_1 -ذريعو [ حاصل ڪريو_پِن { pll_inst_0/
REF_CLK_0 } ] -phase 0 [ get_pins { pll_inst_0/OUT0 } ] هتي، create_clock ۽ create_generated_clock ترتيب وار ريفرنس ۽ آئوٽ پُٽ ڪلاڪ رڪاوٽون آهن، جيڪي ترتيب جي بنياد تي پيدا ڪيون ويون آهن.
9.1.1 derive_constraints يوٽيلٽي سان ڪم ڪرڻ (هڪ سوال پڇو)
رڪاوٽون ڊيزائن مان گذريون ۽ اڳ ۾ مهيا ڪيل جزو SDC جي بنياد تي جزو جي هر مثال لاءِ نئين رڪاوٽون مختص ڪريو. files. CCC ريفرنس گھڙين لاءِ، اهو ريفرنس گھڙي جي ماخذ کي ڳولڻ لاءِ ڊيزائن ذريعي واپس تبليغ ڪندو آهي. جيڪڏهن ذريعو هڪ I/O آهي، ته ريفرنس گھڙي جي پابندي I/O تي مقرر ڪئي ويندي. جيڪڏهن اهو هڪ CCC آئوٽ پُٽ يا ٻيو ڪلاڪ ذريعو آهي (مثال طورampلي، ٽرانسيور، آسيليٽر)، اهو ٻئي جزو مان ڪلاڪ استعمال ڪندو آهي ۽ جيڪڏهن وقفا نه ملندا آهن ته هڪ ڊيڄاريندڙ رپورٽ ڪندو آهي. ڊيريو رڪاوٽون ڪجهه ميڪروز لاءِ به رڪاوٽون مختص ڪنديون جهڙوڪ آن-چپ آسيليٽر جيڪڏهن توهان وٽ اهي توهان جي RTL ۾ آهن.
derive_constraints يوٽيلٽي کي عمل ۾ آڻڻ لاءِ، توهان کي هڪ .tcl فراهم ڪرڻ گهرجي file هيٺ ڏنل معلومات سان ڏنل حڪم واري دليل کي ترتيب ۾.

  1. سيڪشن set_device ۾ معلومات استعمال ڪندي ڊوائيس جي معلومات بيان ڪريو.
  2. RTL ڏانهن رستو بيان ڪريو files سيڪشن read_verilog يا read_vhdl ۾ معلومات استعمال ڪندي.
  3. سيڪشن set_top_level ۾ معلومات استعمال ڪندي مٿين سطح جو ماڊيول سيٽ ڪريو.
  4. جزو SDC جو رستو بيان ڪريو files سيڪشن read_sdc يا read_ndc ۾ معلومات استعمال ڪندي.
  5. عمل ڪريو fileسيڪشن derive_constraints ۾ معلومات استعمال ڪندي.
  6.  SDC مان نڪتل رڪاوٽن جو رستو بيان ڪريو file سيڪشن write_sdc يا write_pdc يا write_ndc ۾ معلومات استعمال ڪندي.

Example 9-2. derive.tcl جو عمل ۽ مواد File
هيٺ ڏنل هڪ اڳوڻي آهيampderive_constraints يوٽيلٽي کي عمل ۾ آڻڻ لاءِ ڪمانڊ لائن دليل.
$ /bin{64}/derive_constraints derive.tcl
derive.tcl جو مواد file:
# ڊوائيس جي معلومات
سيٽ_ڊيوائس - فيملي پولار فائر - ڊائي MPF100T - اسپيڊ -1
# آر ٽي ايل files
پڙهڻ_ورليلاگ - موڊ سسٽم_ورليلاگ پروجيڪٽ/ڪمپوننٽ/ڪم/txpll0/
ٽيڪسپل0_ٽيڪسپل0_0_پي ايف_ٽي ايڪس_پي ايل ايل.وي
پڙهڻ_ورليلاگ - موڊ سسٽم_ورليلاگ {پروجيڪٽ/ڪمپوننٽ/ڪم/txpll0/txpll0.v}
پڙهڻ_ورليلاگ -موڊ سسٽم_ورليلاگ {پروجيڪٽ/ڪمپوننٽ/ڪم/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.v}
پڙهڻ_ورليلاگ - موڊ سسٽم_ورليلاگ {پروجيڪٽ/ڪمپوننٽ/ڪم/xcvr0/xcvr0.v}
پڙهڻ_vhdl - موڊ vhdl_2008 {پروجيڪٽ/hdl/xcvr1.vhd}
#ڪمپوننٽ ايس ڊي سي files
مٿي_سطح_سيٽ ڪريو {xcvr1}
read_sdc - جزو {پروجيڪٽ/جزو/ڪم/txpll0/txpll0_0/
ٽي ايڪس پي ايل 0_ ٽي ايڪس پي ايل 0_ پي ايف ٽي ايڪس پي ايل ايل. ايس ڊي سي}
read_sdc - جزو {پروجيڪٽ/جزو/ڪم/xcvr0/I_XCVR/
xcvr0_I_XCVR_PF_XCVR.sdc}
#derive_constraint ڪمانڊ استعمال ڪريو
حاصل ڪريو_پابنديون
#SDC/PDC/NDC نتيجو files
ايس ڊي سي لکو {پروجيڪٽ/ڪنسٽرنٽ/xcvr1_derived_constraints.sdc}
پي ڊي سي لکو {پروجيڪٽ/ڪنسٽرنٽ/ايف پي/xcvr1_derived_ڪنسٽرنٽ.pdc}
9.1.2 سيٽ_ڊوائيس (هڪ سوال پڇو)
وصف
خاندان جو نالو، مرڻ جو نالو، ۽ رفتار جو درجو بيان ڪريو.
سيٽ_ڊوائس - فيملي -مرڻ - رفتار
دليل

پيرا ميٽر قسم وصف
- خاندان تار خانداني نالو بيان ڪريو. ممڪن قدر PolarFire®، PolarFire SoC آهن.
-مرڻ تار مرڻ جو نالو بيان ڪريو.
- رفتار تار ڊوائيس جي رفتار جي گريڊ کي بيان ڪريو. ممڪن قدر STD يا -1 آهن.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 گهربل پيرا ميٽر - ڊائي غائب آهي ڊائي آپشن لازمي آهي ۽ ان کي بيان ڪيو وڃي.
اي آر آر 0005 اڻڄاتل ڏينهن 'MPF30' -die آپشن جي ويليو صحيح نه آهي. آپشن جي وضاحت ۾ ويليو جي ممڪن فهرست ڏسو.
اي آر آر 0023 پيرا ميٽر—ڊائي ۾ قدر غائب آهي ڊائي آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.
اي آر آر 0023 گهربل پيرا ميٽر - خاندان غائب آهي خانداني آپشن لازمي آهي ۽ ان کي بيان ڪيو وڃي.
اي آر آر 0004 اڻڄاتل خاندان 'پولار فائر®' فيملي آپشن صحيح نه آهي. آپشن جي وضاحت ۾ قدرن جي ممڪن فهرست ڏسو.
………… جاري رهيو
غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 پيرا ميٽر - خاندان ۾ قدر غائب آهي خانداني آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.
اي آر آر 0023 گهربل پيرا ميٽر - رفتار غائب آهي رفتار جو آپشن لازمي آهي ۽ ان کي بيان ڪيو وڃي.
اي آر آر 0007 اڻڄاتل رفتار ' ' رفتار جو آپشن صحيح نه آهي. آپشن جي وضاحت ۾ قدرن جي ممڪن فهرست ڏسو.
اي آر آر 0023 پيرا ميٽر—رفتار ۾ قدر غائب آهي رفتار جو آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.

Example
سيٽ_ڊيوائس - فيملي {پولر فائر} - ڊائي {MPF300T_ES} - اسپيڊ -1
سيٽ_ڊيوائس - فيملي اسمارٽ فيوزن 2 - ڊائي M2S090T - اسپيڊ -1
9.1.3 ريڊ_وريلاگ (هڪ سوال پڇو)
وصف
هڪ ويريلاگ پڙهو file تصديق استعمال ڪندي.
پڙهڻ_ورلوگ [-لب ] [-موڊ ]fileنالو >
دليل

پيرا ميٽر قسم وصف
-لب تار لائبريري بيان ڪريو جنهن ۾ لائبريري ۾ شامل ڪرڻ لاءِ ماڊل شامل آهن.
-موڊ تار ويريلاگ معيار بيان ڪريو. ممڪن قدر آهن verilog_95، verilog_2k، system_verilog_2005، system_verilog_2009، system_verilog، verilog_ams، verilog_psl، system_verilog_mfcu. قدر ڪيس بي حس آهن. ڊفالٽ ويريلاگ_2k آهي.
fileنالو تار ويريلوگ file نالو.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 پيرا ميٽر—lib ۾ قدر غائب آهي lib آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.
اي آر آر 0023 پيرا ميٽر—موڊ ۾ قدر غائب آهي موڊ آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.
اي آر آر 0015 اڻڄاتل موڊ ' ' مخصوص ڪيل ويريلاگ موڊ نامعلوم آهي. ممڪن ويريلاگ موڊ ان—موڊ آپشن جي وضاحت جي فهرست ڏسو.
اي آر آر 0023 گهربل پيرا ميٽر file نالو غائب آهي. ڪوبه ويريلاگ ناهي file رستو مهيا ڪيو ويو آهي.
اي آر آر 0016 تصديق ڪندڙ جي تجزيي جي ڪري ناڪام ٿيو. verilog ۾ نحو جي غلطي file. Verific جو parser غلطي پيغام جي مٿان ڪنسول ۾ ڏسي سگھجي ٿو.
اي آر آر 0012 set_device نه سڏيو ويندو آهي ڊوائيس جي معلومات بيان نه ڪئي وئي آهي. ڊوائيس کي بيان ڪرڻ لاءِ set_device ڪمانڊ استعمال ڪريو.

Example
پڙهڻ_ورليگ -موڊ سسٽم_ورليگ {ڪمپوننٽ/ڪم/مٿي/top.v}
پڙهڻ_ورلوگ -موڊ سسٽم_ورلوگ_ايم ايف سي يو ڊيزائن.وي
9.1.4 پڙهو_وي ايڇ ڊي ايل (هڪ سوال پڇو)
وصف
VHDL شامل ڪريو file VHDL جي فهرست ۾ files.
پڙهو_وي ايڇ ڊي ايل [-لب ] [-موڊ ]fileنالو >
دليل

پيرا ميٽر قسم وصف
-لب لائبريري بيان ڪريو جنهن ۾ مواد شامل ڪيو وڃي.
-موڊ VHDL معيار بيان ڪري ٿو. ڊفالٽ VHDL_93 آهي. ممڪن قدر vhdl_93، vhdl_87، vhdl_2k، vhdl_2008، vhdl_psl آهن. قدر ڪيس بي حس آهن.
fileنالو وي ايڇ ڊي ايل file نالو.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 پيرا ميٽر—lib ۾ قدر غائب آهي lib آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.
اي آر آر 0023 پيرا ميٽر—موڊ ۾ قدر غائب آهي موڊ آپشن بغير ڪنهن قدر جي بيان ڪيو ويو آهي.
اي آر آر 0018 اڻڄاتل موڊ ' ' مخصوص VHDL موڊ نامعلوم آهي. ممڪن VHDL موڊ ان—موڊ آپشن جي وضاحت جي فهرست ڏسو.
اي آر آر 0023 گهربل پيرا ميٽر file نالو غائب آهي. ڪوبه وي ايڇ ڊي ايل ناهي file رستو مهيا ڪيو ويو آهي.
اي آر آر 0019 invalid_path.v رجسٽر ڪرڻ جي قابل نه آهي. file مخصوص VHDL file موجود ناهي يا ان کي پڙهڻ جي اجازت ناهي.
اي آر آر 0012 set_device نه سڏيو ويندو آهي ڊوائيس جي معلومات بيان نه ڪئي وئي آهي. ڊوائيس کي بيان ڪرڻ لاءِ set_device ڪمانڊ استعمال ڪريو.

Example
پڙهڻ_vhdl - موڊ vhdl_2008 osc2dfn.vhd
پڙهو_وي ايڇ ڊي ايل {ايڇ ڊي ايل/ٽاپ.وي ايڇ ڊي}
9.1.5 سيٽ_مٿي_ليول (هڪ سوال پڇو)
وصف
RTL ۾ مٿين سطح جي ماڊيول جو نالو بيان ڪريو.
سيٽ_مٿي_سطح [-لب ]
دليل

پيرا ميٽر قسم وصف
-لب تار مٿين سطح جي ماڊيول يا اداري جي ڳولا لاءِ لائبريري (اختياري).
نالو تار مٿين سطح جي ماڊيول يا اداري جو نالو.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 گهربل پيرا ميٽر مٿين سطح غائب آهي. مٿين سطح جو آپشن لازمي آهي ۽ ان کي بيان ڪيو وڃي.
اي آر آر 0023 پيرا ميٽر—lib ۾ قدر غائب آهي lib آپشن بغير قدرن جي بيان ڪيو ويو آهي.
اي آر آر 0014 مٿين سطح ڳولڻ ۾ ناڪام لائبريري ۾ ڏنل لائبريري ۾ مخصوص مٿين سطح جو ماڊيول بيان ٿيل ناهي. هن غلطي کي درست ڪرڻ لاءِ، مٿين ماڊيول يا لائبريري جو نالو درست ڪرڻ گهرجي.
اي آر آر 0017 تفصيل ناڪام ٿيو آر ٽي ايل جي تفصيل جي عمل ۾ غلطي. غلطي جو پيغام ڪنسول مان ڏسي سگهجي ٿو.

Example
سيٽ_مٿي_سطح {مٿي}
سيٽ_ٽاپ_ليول -ليب ايڇ ڊي ايل ٽاپ
9.1.6 read_sdc (هڪ سوال پڇو)
وصف
ايس ڊي سي پڙهو file جزو ڊيٽابيس ۾.
پڙهو_ايس ڊي سي - جزوfileنالو >
دليل

پيرا ميٽر قسم وصف
- جزو هي read_sdc ڪمانڊ لاءِ هڪ لازمي جھنڊو آهي جڏهن اسان رڪاوٽون حاصل ڪندا آهيون.
fileنالو تار ايس ڊي سي ڏانهن رستو file.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 گهربل پيرا ميٽر file نالو غائب آهي. لازمي آپشن file نالو بيان نه ڪيو ويو آهي.
اي آر آر 0000 ايس ڊي سي file <file_path> پڙهڻ لائق نه آهي. مخصوص ايس ڊي سي file پڙهڻ جي اجازت نه آهي.
اي آر آر 0001 کولڻ جي قابل نه آهيfile_ رستو > file. ايس ڊي سي file موجود ناهي. رستو درست ڪيو وڃي.
اي آر آر 0008 ۾ set_component ڪمانڊ غائب آهي.file_ رستو > file SDC جو مخصوص جزو file جزو بيان نٿو ڪري.
غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0009 <List of errors from sdc file> ايس ڊي سي file غلط ايس ڊي سي ڪمانڊ شامل آهن. مثال طورampلي،

جڏهن set_multicycle_path رڪاوٽ ۾ ڪا غلطي هجي: read_sdc ڪمانڊ تي عمل ڪرڻ دوران غلطي: infile_ رستو > file: ڪمانڊ سيٽ_ملٽيڪل_پاٿ ۾ غلطي: نامعلوم پيرا ميٽر [get_cells {reg_a}].

Example
read_sdc -component {./component/work/ccc0/ccc0_0/ccc0_ccc0_0_PF_CCC.sdc}
9.1.7 read_ndc (هڪ سوال پڇو)
وصف
اين ڊي سي پڙهو file جزو ڊيٽابيس ۾.
پڙهڻ_اين ڊي سي - جزوfileنالو >
دليل

پيرا ميٽر قسم وصف
- جزو هي read_ndc ڪمانڊ لاءِ هڪ لازمي جھنڊو آهي جڏهن اسان رڪاوٽون حاصل ڪندا آهيون.
fileنالو تار اين ڊي سي ڏانهن رستو file.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0001 کولڻ جي قابل نه آهيfile_ رستو > file اين ڊي سي file موجود ناهي. رستو درست ڪيو وڃي.
اي آر آر 0023 گهربل پيرا ميٽر—AtclParamO_ غائب آهي. لازمي آپشن fileنالو بيان نه ڪيو ويو آهي.
اي آر آر 0023 گهربل پيرا ميٽر - جزو غائب آهي. جزو جو آپشن لازمي آهي ۽ ان کي بيان ڪيو وڃي.
اي آر آر 0000 اين ڊي سي file 'file'_path>' پڙهڻ لائق نه آهي. مخصوص اين ڊي سي file پڙهڻ جي اجازت نه آهي.

Example
پڙهو_اين ڊي سي - جزو {جزو/ڪم/ccc1/ccc1_0/ccc_comp.ndc}
9.1.8 derive_constraints (هڪ سوال پڇو)
وصف
جزو SDC کي ترتيب ڏيو fileڊيزائن-سطح ڊيٽابيس ۾.
حاصل ڪريو_پابنديون
دليل

واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0013 مٿئين سطح جي وضاحت نه ڪئي وئي آهي. ان جو مطلب آهي ته مٿين سطح جي ماڊيول يا اداري جي وضاحت نه ڪئي وئي آهي. هن ڪال کي درست ڪرڻ لاءِ، جاري ڪريو
derive_constraints ڪمانڊ کان اڳ set_top_level ڪمانڊ.

Example
حاصل ڪريو_پابنديون
9.1.9 write_sdc (سوال پڇو)
وصف
هڪ پابندي لکي ٿو file SDC فارميٽ ۾.
لکو_ايس ڊي سيfileنالو >
دليل

پيرا ميٽر قسم وصف
<fileنالو > تار ايس ڊي سي ڏانهن رستو file پيدا ڪيو ويندو. هي هڪ لازمي آپشن آهي. جيڪڏهن file موجود آهي، اهو اوور رائٽ ڪيو ويندو.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0003 کولڻ جي قابل نه آهيfile رستو> file. File رستو صحيح نه آهي. چيڪ ڪريو ته ڇا والدين ڊائريڪٽريون موجود آهن.
اي آر آر 0002 ايس ڊي سي file 'file path>' لکڻ جي قابل نه آهي. مخصوص ايس ڊي سي file لکڻ جي اجازت نه آهي.
اي آر آر 0023 گهربل پيرا ميٽر file نالو غائب آهي. ايس ڊي سي file رستو هڪ لازمي آپشن آهي ۽ ان کي بيان ڪيو وڃي.

Example
ايس ڊي سي لکو "نڪتل ٿيل. ايس ڊي سي"
9.1.10 write_pdc (سوال پڇو)
وصف
جسماني پابنديون لکندو آهي (صرف ڊيريو پابنديون).
پي ڊي سي لکوfileنالو >
دليل

پيرا ميٽر قسم وصف
<fileنالو > تار پي ڊي سي ڏانهن رستو file پيدا ڪيو ويندو. هي هڪ لازمي آپشن آهي. جيڪڏهن file جيڪڏهن رستو موجود آهي، ته ان کي اوور رائٽ ڪيو ويندو.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جا پيغام وصف
اي آر آر 0003 کولڻ جي قابل نه آهيfile رستو> file جي file رستو صحيح نه آهي. چيڪ ڪريو ته ڇا والدين ڊائريڪٽريون موجود آهن.
اي آر آر 0002 پي ڊي سي file 'file path>' لکڻ جي قابل نه آهي. مخصوص پي ڊي سي file لکڻ جي اجازت نه آهي.
اي آر آر 0023 گهربل پيرا ميٽر file نالو غائب آهي. پي ڊي سي file رستو هڪ لازمي آپشن آهي ۽ ان کي بيان ڪيو وڃي.

Example
پي ڊي سي_لکيو "نڪتل ٿيل.پي ڊي سي"
9.1.11 write_ndc (هڪ سوال پڇو)
وصف
اين ڊي سي پابندين کي هڪ ۾ لکي ٿو file.
لکو_اين ڊي سيfileنالو >
دليل

پيرا ميٽر قسم وصف
fileنالو تار اين ڊي سي ڏانهن رستو file پيدا ڪيو ويندو. هي هڪ لازمي آپشن آهي. جيڪڏهن file موجود آهي، اهو اوور رائٽ ڪيو ويندو.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جا پيغام وصف
اي آر آر 0003 کولڻ جي قابل نه آهيfile_ رستو > file. File رستو صحيح نه آهي. والدين ڊائريڪٽريون موجود نه آهن.
اي آر آر 0002 اين ڊي سي file 'file'_path>' لکڻ جي قابل نه آهي. مخصوص اين ڊي سي file لکڻ جي اجازت نه آهي.
اي آر آر 0023 گهربل پيرا ميٽر _AtclParamO_ غائب آهي. اين ڊي سي file رستو هڪ لازمي آپشن آهي ۽ ان کي بيان ڪيو وڃي.

Example
write_ndc “derived.ndc”
9.1.12 شامل ڪريو_شامل ڪريو_پاٿ (سوال پڇو)
وصف
ڳولا لاءِ رستو بيان ڪري ٿو جنهن ۾ شامل آهي fileجڏهن RTL پڙهجي ٿو files.
رستو شامل ڪريو
دليل

پيرا ميٽر قسم وصف
ڊاريڪٽري تار ڳولا لاءِ رستو بيان ڪري ٿو جنهن ۾ شامل آهي fileجڏهن RTL پڙهجي ٿو files. هي آپشن لازمي آهي.
واپسي جو قسم وصف
0 حڪم ڪامياب ٿيو.
واپسي جو قسم وصف
1 حڪم ناڪام ٿيو. هڪ غلطي آهي. توهان ڪنسول ۾ غلطي پيغام ڏسي سگهو ٿا.

غلطين جي فهرست

غلطي ڪوڊ غلطي جو پيغام وصف
اي آر آر 0023 گهربل پيرا ميٽر ۾ رستو شامل ناهي. ڊاريڪٽري آپشن لازمي آهي ۽ مهيا ڪيو وڃي.

نوٽ: جيڪڏهن ڊاريڪٽري جو رستو صحيح نه آهي، پوءِ add_include_path بغير ڪنهن غلطي جي پاس ڪيو ويندو.
جڏهن ته، read_verilog/read_vhd ڪمانڊ Verific جي parser جي ڪري ناڪام ٿيندا.
Example
شامل ڪريو_شامل_رستو جزو/ڪم/COREABC0/COREABC0_0/rtl/vlog/ڪور

نظرثاني جي تاريخ (هڪ سوال پڇو)

نظرثاني جي تاريخ بيان ڪري ٿي تبديلين کي جيڪي دستاويز ۾ لاڳو ڪيا ويا. تبديلين کي نظر ثاني سان درج ڪيو ويو آهي، سڀ کان وڌيڪ موجوده اشاعت سان شروع ڪندي.

نظرثاني تاريخ وصف
F 08/2024 هن ترميم ۾ هيٺيون تبديليون ڪيون ويون آهن:
• اپڊيٽ ٿيل سيڪشن ضميمه ب—سيموليشن لائبريرين کيسيموليشن ماحول ۾ درآمد ڪرڻ.
E 08/2024 هن ترميم ۾ هيٺيون تبديليون ڪيون ويون آهن:
• اپڊيٽ ٿيل سيڪشن مٿانview.
• اپڊيٽ ٿيل سيڪشن ڊيريوڊ ايس ڊي سي File.
• اپڊيٽ ٿيل سيڪشن ضميمه ب—سيموليشن لائبريرين کيسيموليشن ماحول ۾ درآمد ڪرڻ.
D 02/2024 هي دستاويز v2024.1 کان تبديلين کان سواءِ Libero 2023.2 SoC ڊيزائن سوٽ سان جاري ڪيو ويو آهي.
اپڊيٽ ٿيل سيڪشن derive_constraints يوٽيلٽي سان ڪم ڪرڻ
C 08/2023 هي دستاويز v2023.2 کان تبديلين کان سواءِ Libero 2023.1 SoC ڊيزائن سوٽ سان جاري ڪيو ويو آهي.
B 04/2023 هي دستاويز v2023.1 کان تبديلين کان سواءِ Libero 2022.3 SoC ڊيزائن سوٽ سان جاري ڪيو ويو آهي.
A 12/2022 شروعاتي نظرثاني.

مائڪروچپ FPGA سپورٽ
Microchip FPGA پراڊڪٽس گروپ پنهنجي پروڊڪٽس کي مختلف سپورٽ خدمتن سان گڏ ڪري ٿو، بشمول ڪسٽمر سروس، ڪسٽمر ٽيڪنيڪل سپورٽ سينٽر، هڪ webسائيٽ، ۽ سڄي دنيا ۾ سيلز آفيسون.
گراهڪن کي صلاح ڏني وئي آهي ته گهمڻ لاءِ مائڪروچپ آن لائن وسيلن کي مدد سان رابطو ڪرڻ کان پهريان ڇاڪاڻ ته اهو تمام گهڻو امڪان آهي ته انهن جا سوال اڳ ۾ ئي جواب ڏنا ويا آهن.
ذريعي ٽيڪنيڪل سپورٽ سينٽر سان رابطو ڪريو webسائيٽ تي www.microchip.com/support. FPGA ڊيوائس پارٽ نمبر جو ذڪر ڪريو، مناسب ڪيس ڪيٽيگري چونڊيو، ۽ اپلوڊ ڊيزائن fileهڪ ٽيڪنيڪل سپورٽ ڪيس ٺاهڻ دوران.
غير ٽيڪنيڪل پراڊڪٽ سپورٽ لاءِ ڪسٽمر سروس سان رابطو ڪريو، جيئن پراڊڪٽ جي قيمت، پراڊڪٽ اپ گريڊ، تازه ڪاري معلومات، آرڊر جي حالت، ۽ اختيار ڏيڻ.

  • اتر آمريڪا کان، ڪال ڪريو 800.262.1060
  • باقي دنيا مان، ڪال ڪريو 650.318.4460
  • فيڪس، دنيا ۾ ڪٿي به، 650.318.8044

مائڪروچپ ڄاڻ
مائيڪروچپ Webسائيٽ
مائڪروچپ اسان جي ذريعي آن لائن مدد فراهم ڪري ٿي webسائيٽ تي www.microchip.com/. هي webسائيٽ ٺاهڻ لاء استعمال ڪيو ويندو آهي files ۽ معلومات آساني سان گراهڪن لاء دستياب آهي. موجود مواد مان ڪجھ شامل آھن:

  • پراڊڪٽ سپورٽ - ڊيٽا شيٽ ۽ خطا، ايپليڪيشن نوٽس ۽ ايسampلي پروگرام، ڊيزائن جا وسيلا، صارف جي رهنمائي ۽ هارڊويئر سپورٽ دستاويز، جديد سافٽ ويئر رليز ۽ آرڪائيو ٿيل سافٽ ويئر
  • جنرل ٽيڪنيڪل سپورٽ - اڪثر پڇيا ويندڙ سوال (FAQs)، ٽيڪنيڪل سپورٽ جون درخواستون، آن لائين بحث مباحثا گروپ، مائڪروچپ ڊيزائن پارٽنر پروگرام ميمبر لسٽنگ
  • مائڪروچپ جو ڪاروبار - پراڊڪٽ سليڪٽر ۽ آرڊرنگ گائيڊز، تازو مائڪروچپ پريس رليز، سيمينارن ۽ واقعن جي لسٽنگ، مائڪروچپ سيلز آفيسن جي لسٽنگ، ڊسٽريبيوٽر ۽ فيڪٽري جا نمائندا

پيداوار جي تبديلي جي اطلاع جي خدمت
مائڪروچپ جي پراڊڪٽ تبديلي جي نوٽيفڪيشن سروس مدد ڪري ٿي صارفين کي موجوده مائڪروچپ پروڊڪٽس تي. رڪنن کي اي ميل نوٽيفڪيشن ملندو جڏهن به تبديليون، تازه ڪاريون، ترميمون يا غلطيون هونديون جيڪي مخصوص پراڊڪٽ فيملي سان لاڳاپيل هونديون يا دلچسپيءَ جي ڊولپمينٽ اوزار. رجسٽر ڪرڻ لاءِ، وڃو www.microchip.com/pcn ۽ رجسٽريشن جي هدايتن تي عمل ڪريو.

ڪسٽمر سپورٽ
مائڪروچپ پروڊڪٽس جا استعمال ڪندڙ ڪيترن ئي چينلن ذريعي مدد حاصل ڪري سگھن ٿا:

  • تقسيم ڪندڙ يا نمائندو
  • مقامي سيلز آفيس
  • ايمبيڊڊ حل انجنيئر (ESE)
  • ٽيڪنيڪل سپورٽ

گراهڪن کي مدد لاءِ انهن جي ورهائيندڙ، نمائندي يا ESE سان رابطو ڪرڻ گهرجي. مقامي سيلز آفيسون پڻ موجود آهن گراهڪن جي مدد لاءِ. سيلز آفيسن ۽ هنڌن جي هڪ لسٽ هن دستاويز ۾ شامل آهي. ٽيڪنيڪل سپورٽ جي ذريعي دستياب آهي webسائيٽ تي: www.microchip.com/support
مائڪروچپ ڊوائيسز ڪوڊ تحفظ جي خصوصيت
مائڪروچپ پروڊڪٽس تي ڪوڊ تحفظ جي خصوصيت جا هيٺيان تفصيل نوٽ ڪريو:

  • مائڪروچپ پروڊڪٽس انهن جي خاص مائڪروچپ ڊيٽا شيٽ ۾ موجود وضاحتن کي پورا ڪن ٿيون.
  • مائڪروچپ يقين رکي ٿو ته ان جي پروڊڪٽس جو خاندان محفوظ آهي جڏهن استعمال ٿيل انداز ۾، آپريٽنگ وضاحتن جي اندر، ۽ عام حالتن ۾.
  • مائڪروچپ قدر ۽ جارحتي طور تي ان جي دانشورانه ملڪيت جي حقن جي حفاظت ڪري ٿو. Microchip پراڊڪٽ جي ڪوڊ تحفظ جي خصوصيتن جي ڀڃڪڙي ڪرڻ جي ڪوشش سختي سان منع ٿيل آهي ۽ ڊجيٽل ملينيم ڪاپي رائيٽ ايڪٽ جي ڀڃڪڙي ٿي سگهي ٿي.
  • نه ئي Microchip ۽ نه ئي ڪو ٻيو سيمي ڪنڊڪٽر ٺاهيندڙ ان جي ڪوڊ جي حفاظت جي ضمانت ڏئي سگهي ٿو. ڪوڊ تحفظ جو مطلب اهو ناهي ته اسان ضمانت ڪري رهيا آهيون پراڊڪٽ ”ناقابل برداشت“ آهي. ڪوڊ تحفظ مسلسل ترقي ڪري رهيو آهي. Microchip مسلسل اسان جي پروڊڪٽس جي ڪوڊ تحفظ خاصيتن کي بهتر ڪرڻ لاء پرعزم آهي.

قانوني نوٽيس
هي پبليڪيشن ۽ هتي ڏنل معلومات صرف مائڪروچپ پراڊڪٽس سان استعمال ٿي سگهي ٿي، جنهن ۾ توهان جي ايپليڪيشن سان مائڪروچپ پروڊڪٽس کي ڊزائين ڪرڻ، ٽيسٽ ڪرڻ ۽ ضم ڪرڻ شامل آهي. ڪنهن ٻئي طريقي سان هن معلومات جو استعمال انهن شرطن جي ڀڃڪڙي آهي. ڊوائيس ايپليڪيشنن جي حوالي سان معلومات صرف توهان جي سهولت لاء مهيا ڪئي وئي آهي ۽ ٿي سگهي ٿي تازه ڪاري جي ذريعي. اهو توهان جي ذميواري آهي انهي کي يقيني بڻائڻ ته توهان جي درخواست توهان جي وضاحتن سان ملن ٿا. اضافي مدد لاءِ پنهنجي مقامي مائڪروچپ سيلز آفيس سان رابطو ڪريو يا، تي اضافي مدد حاصل ڪريو www.microchip.com/en-us/support/design-help/client-support-services.
هي معلومات مائڪروچپ پاران مهيا ڪيل آهي "جيئن آهي". مائڪروچپ ڪنهن به قسم جي نمائندگي يا وارنٽي نٿو ڏئي ته ظاهري هجي يا نقلي هجي، لکيل هجي يا زباني، قانوني يا ٻي صورت ۾، معلومات سان لاڳاپيل هجي پر غير محدود، غير محدود، غير محدود قابليت، ۽ خاص مقصد لاءِ مناسب، يا وارنٽي ان جي حالت، معيار، يا ڪارڪردگي سان لاڳاپيل. ڪنهن به صورت ۾ مائڪروچپ ڪنهن به اڻ سڌي، خاص، سزا واري، حادثاتي، يا نتيجي ۾ ٿيندڙ نقصان، نقصان، قيمت، يا ڪنهن به قسم جي خرچ لاءِ ذميوار نه هوندي، جيڪو به هر طرح سان لاڳاپيل آهي، يو ايس جي لاءِ. آئيڪروچپ جي صلاح ڏني وئي آهي امڪان يا نقصان ممڪن آهي. قانون طرفان اجازت ڏنل مڪمل حد تائين، معلومات يا ان جي استعمال سان لاڳاپيل سڀني دعوائن تي مائڪروچپ جي مڪمل ذميواري ڪنهن به طريقي سان فيس جي رقم کان وڌيڪ نه هوندي، جيڪڏهن توهان کي ڪنهن به قسم جي رقم سان، ڄاڻ.
لائف سپورٽ ۽/يا حفاظتي ايپليڪيشنن ۾ مائڪروچپ ڊوائيسز جو استعمال مڪمل طور تي خريد ڪندڙ جي خطري تي آهي، ۽ خريد ڪندڙ اهڙي استعمال جي نتيجي ۾ ٿيندڙ ڪنهن به ۽ سڀني نقصانن، الزامن، سوٽن، يا خرچن کان مائڪروچپ جو دفاع ڪرڻ، معاوضو ڏيڻ ۽ بي ضرر رکڻ تي متفق آهي. ڪو به لائسنس، ضمني طور تي يا ٻي صورت ۾، ڪنهن به مائڪروچپ دانشورانه ملڪيت جي حقن جي تحت منتقل نه ڪيو ويندو آهي جيستائين ٻي صورت ۾ بيان نه ڪيو وڃي.
ٽريڊ مارڪ
مائڪروچپ جو نالو ۽ لوگو، مائڪروچپ لوگو، Adaptec، AVR، AVR لوگو، AVR Freaks، BesTime، BitCloud، CryptoMemory، CryptoRF، dsPIC، flexPWR، HELDO، IGLOO، JukeBlox، KeeLoq، Linkus MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST لوگو, MPLAB, OptoLyzer, PIC, picoPower, PICSTART, PIC32 logo, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST, SymFST, Logo , SyncServer, Tachyon, TimeSource, tinyAVR, UNI/O, Vectron, ۽ XMEGA آمريڪا ۽ ٻين ملڪن ۾ شامل ڪيل Microchip ٽيڪنالاجي جا رجسٽرڊ ٽريڊ مارڪ آھن.
AgileSwitch, ClockWorks, The Embedded Control Solutions Company, EtherSynch, Flashtec, Hyper Speed ​​Control, HyperLight Load, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC پلس لوگو, Quietc-World, Smart-World TimeCesium, TimeHub, TimePictra, TimeProvider, and ZL آهن رجسٽرڊ ٽريڊ مارڪ مائڪروچپ ٽيڪنالاجي جا شامل آهن آمريڪا ۾
ڀرپاسي ڪي دٻائڻ، AKS، اينالاگ-جي-ڊجيٽل عمر، ڪو به ڪيپيسيٽر، AnyIn، AnyOut، Augmented Switching، BlueSky، BodyCom، Clockstudio، CodeGuard، CryptoAuthentication، CryptoAutomotive، CryptoAuthentication، CryptoAutomotive، CryptoAutomotive، Crypto CDPIDMs، Crypto PIDS متحرڪ اوسط ملاپ , DAM, ECAN, Espresso T1S, EtherGREEN, EyeOpen, GridTime, IdealBridge, IGaT, In-Circuit Serial Programming, ICSP, INICnet, Intelligent Paralleling, IntelliMOS, Inter-chip Connectivity, JitterBlocker, Knob-D, Max-play-Cnob-Play وڌ ۾ وڌView, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB تصديق ٿيل لوگو, MPLIB, MPLINK, mSiC, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, Power MOS IV, Power MOS, PISTili, PowerMOS 7 , QMatrix, REAL ICE, Ripple Blocker, RTAX, RTG4, SAM-ICE, Serial Quad I/O, simpleMAP, SimpliPHY, SmartBuffer, SmartHLS, SMART-IS, storClad, SQI, SuperSwitcher, SuperSwitcher II, Switchtec, EnPHY, Sy. , قابل اعتماد وقت, TSHARC, Turing, USBCheck, VariSense, VectorBlox, VeriPHY, ViewSpan، WiperLock، XpressConnect، ۽ ZENA آمريڪا ۽ ٻين ملڪن ۾ شامل ڪيل مائڪروچپ ٽيڪنالاجي جا ٽريڊ مارڪ آهن.
SQTP آمريڪا ۾ شامل ڪيل مائڪروچپ ٽيڪنالاجي جو هڪ خدمت نشان آهي
Adaptec لوگو، فريڪوئنسي آن ڊيمانڊ، سلڪون اسٽوريج ٽيڪنالاجي، ۽ Symmcom ٻين ملڪن ۾ Microchip Technology Inc. جا رجسٽرڊ ٽريڊ مارڪ آهن.
GestIC Microchip Technology Germany II GmbH & Co. KG جو هڪ رجسٽرڊ ٽريڊ مارڪ آهي، جيڪو Microchip Technology Inc. جي ماتحت آهي، ٻين ملڪن ۾.
هتي ذڪر ڪيل ٻيا سڀئي ٽريڊ مارڪ انهن جي لاڳاپيل ڪمپنين جي ملڪيت آهن.
2024، مائڪروچپ ٽيڪنالاجي انڪارپوريٽيڊ ۽ ان جون ماتحت ڪمپنيون. سڀ حق محفوظ آهن.
ISBN: 978-1-6683-0183-8
معيار جي انتظام جو نظام
Microchip جي معيار مينيجمينٽ سسٽم بابت معلومات لاء، مهرباني ڪري دورو ڪريو www.microchip.com/quality.
عالمي وڪرو ۽ خدمت

آمريڪا  ايشيا / پئسفڪ  ايشيا / پئسفڪ  يورپ
ڪارپوريٽ آفيس
2355 ويسٽ چانڊلر بل وي ڊي.
چانڊلر، AZ 85224-6199
ٽيليفون: 480-792-7200
فيڪس: 480-792-7277
ٽيڪنيڪل سپورٽ: www.microchip.com/support
Web ائڊريس: www.microchip.com
ائٽلانتا
دولٿ، GA
ٽيليفون: 678-957-9614
فيڪس: 678-957-1455
آسٽن، TX
ٽيليفون: 512-257-3370
بوسٽن
ويسٽبورو، ايم اي
ٽيليفون: 774-760-0087
فيڪس: 774-760-0088
شڪاگو
Itasca، IL
ٽيليفون: 630-285-0071
فيڪس: 630-285-0075
ڊالس
ايسنسن، TX
ٽيليفون: 972-818-7423
فيڪس: 972-818-2924
ڊيٽرائيٽ
نووي، ايم
ٽيليفون: 248-848-4000
هوسٽن، TX
ٽيليفون: 281-894-5983
انڊينپوليس
Noblesville, IN
ٽيليفون: 317-773-8323
فيڪس: 317-773-5453
ٽيليفون: 317-536-2380
لاس اينجلس
مشن ويجو، CA
ٽيليفون: 949-462-9523
فيڪس: 949-462-9608
ٽيليفون: 951-273-7800
ريلي، اين سي
ٽيليفون: 919-844-7510
نيو يارڪ، NY
ٽيليفون: 631-435-6000
سان جوس، CA
ٽيليفون: 408-735-9110
ٽيليفون: 408-436-4270
ڪئناڊا - ٽورنٽو
ٽيليفون: 905-695-1980
فيڪس: 905-695-2078
آسٽريليا - سڊني
ٽيليفون: 61-2-9868-6733
چين - بيجنگ
ٽيليفون: 86-10-8569-7000
چين - چينگدو
ٽيليفون: 86-28-8665-5511
چين - چونگنگ
ٽيليفون: 86-23-8980-9588
چين - ڊونگ گوان
ٽيليفون: 86-769-8702-9880
چين - گوانگزو
ٽيليفون: 86-20-8755-8029
چين - هانگزو
ٽيليفون: 86-571-8792-8115
چين - هانگ ڪانگ SAR
ٽيليفون: 852-2943-5100
چين - نانجنگ
ٽيليفون: 86-25-8473-2460
چين - Qingdao
ٽيليفون: 86-532-8502-7355
چين - شنگھائي
ٽيليفون: 86-21-3326-8000
چين - شين يانگ
ٽيليفون: 86-24-2334-2829
چين - شينزين
ٽيليفون: 86-755-8864-2200
چين - سوزو
ٽيليفون: 86-186-6233-1526
چين - ووهان
ٽيليفون: 86-27-5980-5300
چين - Xian
ٽيليفون: 86-29-8833-7252
چين - Xiamen
ٽيليفون: 86-592-2388138
چين - Zhuhai
ٽيليفون: 86-756-3210040
انڊيا - بنگلور
ٽيليفون: 91-80-3090-4444
انڊيا - نئين دهلي
ٽيليفون: 91-11-4160-8631
انڊيا - پون
ٽيليفون: 91-20-4121-0141
جاپان - اوساڪا
ٽيليفون: 81-6-6152-7160
جاپان - ٽوڪيو
ٽيليفون: 81-3-6880-3770
ڪوريا - ڊيگو
ٽيليفون: 82-53-744-4301
ڪوريا - سيول
ٽيليفون: 82-2-554-7200
ملائيشيا - ڪوالالمپور
ٽيليفون: 60-3-7651-7906
ملائيشيا - پينانگ
ٽيليفون: 60-4-227-8870
فلپائن - منيلا
ٽيليفون: 63-2-634-9065
سينگاپور
ٽيليفون: 65-6334-8870
تائيوان - Hsin Chu
ٽيليفون: 886-3-577-8366
تائيوان - Kaohsiung
ٽيليفون: 886-7-213-7830
تائيوان - تائيپي
ٽيليفون: 886-2-2508-8600
ٿائيلينڊ - بئنڪاڪ
ٽيليفون: 66-2-694-1351
ويتنام - هو چي من
ٽيليفون: 84-28-5448-2100
آسٽريا - ويلز
ٽيليفون: 43-7242-2244-39
فيڪس: 43-7242-2244-393
ڊنمارڪ - ڪوپن هيگن
ٽيليفون: 45-4485-5910
فيڪس: 45-4485-2829
فنلينڊ - ايسپو
ٽيليفون: 358-9-4520-820
فرانس - پئرس
Tel: 33-1-69-53-63-20
Fax: 33-1-69-30-90-79
جرمني - گرچنگ
ٽيليفون: 49-8931-9700
جرمني - هان
ٽيليفون: 49-2129-3766400
جرمني - هيلبرون
ٽيليفون: 49-7131-72400
جرمني - ڪارلسرو
ٽيليفون: 49-721-625370
جرمني - ميونخ
Tel: 49-89-627-144-0
Fax: 49-89-627-144-44
جرمني - Rosenheim
ٽيليفون: 49-8031-354-560
اسرائيل - هود هاشارون
ٽيليفون: 972-9-775-5100
اٽلي - ملان
ٽيليفون: 39-0331-742611
فيڪس: 39-0331-466781
اٽلي - Padova
ٽيليفون: 39-049-7625286
هالينڊ - Drunen
ٽيليفون: 31-416-690399
فيڪس: 31-416-690340
ناروي - Trondheim
ٽيليفون: 47-72884388
پولينڊ - وارسا
ٽيليفون: 48-22-3325737
رومانيا - بخارسٽ
Tel: 40-21-407-87-50
اسپين - ميڊريز
Tel: 34-91-708-08-90
Fax: 34-91-708-08-91
سويڊن - گوٿنبرگ
Tel: 46-31-704-60-40
سويڊن - اسٽاڪهوم
ٽيليفون: 46-8-5090-4654
UK - Wokingham
ٽيليفون: 44-118-921-5800
فيڪس: 44-118-921-5820

مائڪروچپ - لوگو

دستاويز / وسيلا

مائڪروچپ DS00004807F پولار فائر فيملي FPGA ڪسٽم فلو [pdf] استعمال ڪندڙ ھدايت
DS00004807F پولار فائر فيملي FPGA ڪسٽم فلو، DS00004807F، پولار فائر فيملي FPGA ڪسٽم فلو، فيملي FPGA ڪسٽم فلو، ڪسٽم فلو، فلو

حوالو

تبصرو ڇڏي ڏيو

توهان جو اي ميل پتو شايع نه ڪيو ويندو. گهربل فيلڊ نشان لڳل آهن *