ఇంటెల్ లోగోFPGA IP
డిజైన్ ఎక్స్ample యూజర్ గైడ్
F-టైల్ 25G ఈథర్నెట్ ఇంటెల్®
Intel® Quartus® కోసం నవీకరించబడింది
ప్రైమ్ డిజైన్ సూట్: 22.3
IP వెర్షన్: 1.0.0

త్వరిత ప్రారంభ గైడ్

Intel Agilex™ పరికరాల కోసం F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP మాజీ డిజైన్‌ను రూపొందించే సామర్థ్యాన్ని అందిస్తుందిampఎంచుకున్న కాన్ఫిగరేషన్ల కోసం les.
మూర్తి 1. డిజైన్ Example వినియోగం

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 1

డైరెక్టరీ నిర్మాణం

మూర్తి 2. 25G ఈథర్నెట్ ఇంటెల్ FPGA IP డిజైన్ Example డైరెక్టరీ నిర్మాణం

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 2

  • అనుకరణ files (అనుకరణ కోసం మాత్రమే టెస్ట్ బెంచ్) లో ఉన్నాయిample_dir>/ఉదాample_testbench.
  • సంకలనం-మాత్రమే డిజైన్ మాజీample లో ఉందిample_dir>/ compilation_test_design.
  • హార్డ్‌వేర్ కాన్ఫిగరేషన్ మరియు పరీక్ష files (డిజైన్ ఉదాample ఇన్ హార్డ్‌వేర్) లో ఉన్నాయిample_dir>/hardware_test_design.

పట్టిక 1. డైరెక్టరీ మరియు File వివరణలు

File పేర్లు వివరణ
eth_ex_25g.qpf ఇంటెల్ క్వార్టస్ ® ప్రైమ్ ప్రాజెక్ట్ file.
eth_ex_25g.qsf ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ సెట్టింగ్‌లు file.
eth_ex_25g.sdc సారాంశ రూపకల్పన పరిమితులు file. మీరు దీన్ని కాపీ చేసి సవరించవచ్చు file మీ స్వంత 25GbE ఇంటెల్ FPGA IP కోర్ డిజైన్ కోసం.
eth_ex_25g.v అగ్ర-స్థాయి వెరిలాగ్ HDL డిజైన్ మాజీample file. సింగిల్-ఛానల్ డిజైన్ వెరిలాగ్‌ని ఉపయోగిస్తుంది file.
సాధారణ/ హార్డ్‌వేర్ డిజైన్ మాజీample మద్దతు files.
hwtest/main.tcl ప్రధాన file సిస్టమ్ కన్సోల్‌ని యాక్సెస్ చేయడం కోసం.

డిజైన్ ఎక్స్‌ని రూపొందిస్తోందిample

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 3

చిత్రం 4. Example డిజైన్ ట్యాబ్‌లో F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP పారామీటర్ ఎడిటర్

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 4

హార్డ్‌వేర్ డిజైన్‌ను రూపొందించడానికి ఈ దశలను అనుసరించండిample మరియు టెస్ట్బెంచ్:

  1. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్‌లో, క్లిక్ చేయండి File ➤ కొత్త క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌ని సృష్టించడానికి కొత్త ప్రాజెక్ట్ విజార్డ్, లేదా File ➤ ఇప్పటికే ఉన్న క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌ను తెరవడానికి ప్రాజెక్ట్‌ను తెరవండి. పరికరాన్ని పేర్కొనమని విజర్డ్ మిమ్మల్ని అడుగుతుంది.
  2. IP కేటలాగ్‌లో, Agilex కోసం 25G ఈథర్నెట్ ఇంటెల్ FPGA IPని గుర్తించి, ఎంచుకోండి. కొత్త IP వేరియేషన్ విండో కనిపిస్తుంది.
  3. మీ IP వైవిధ్యం కోసం ఉన్నత-స్థాయి పేరును పేర్కొనండి మరియు సరే క్లిక్ చేయండి. పరామితి ఎడిటర్ ఉన్నత-స్థాయి .ipని జోడిస్తుంది file స్వయంచాలకంగా ప్రస్తుత ప్రాజెక్ట్‌కి. మీరు .ipని మాన్యువల్‌గా జోడించమని ప్రాంప్ట్ చేయబడితే file ప్రాజెక్ట్‌కి, ప్రాజెక్ట్ ➤ జోడించు/తీసివేయి క్లిక్ చేయండి Fileజోడించడానికి ప్రాజెక్ట్‌లో లు file.
  4. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో, మీరు తప్పనిసరిగా డివైస్ ఫీల్డ్‌లో నిర్దిష్ట ఇంటెల్ అజిలెక్స్ పరికరాన్ని ఎంచుకోవాలి లేదా ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ ప్రతిపాదించిన డిఫాల్ట్ పరికరాన్ని ఉంచాలి.
    గమనిక: హార్డ్‌వేర్ డిజైన్ మాజీample టార్గెట్ బోర్డ్‌లోని పరికరంతో ఎంపికను ఓవర్‌రైట్ చేస్తుంది. మీరు మాజీ డిజైన్ మెను నుండి టార్గెట్ బోర్డ్‌ను పేర్కొనండిampEx లో le ఎంపికలుample డిజైన్ ట్యాబ్.
  5. సరే క్లిక్ చేయండి. పారామీటర్ ఎడిటర్ కనిపిస్తుంది.
  6. IP ట్యాబ్‌లో, మీ IP కోర్ వైవిధ్యం కోసం పారామితులను పేర్కొనండి.
  7. మాజీలోample డిజైన్ ట్యాబ్, Exampలే డిజైన్ Files, టెస్ట్‌బెంచ్‌ను రూపొందించడానికి అనుకరణ ఎంపికను ఎంచుకోండి మరియు హార్డ్‌వేర్ డిజైన్‌ను రూపొందించడానికి సింథసిస్ ఎంపికను ఎంచుకోండి.ample. వెరిలోగ్ HDL మాత్రమే fileలు ఉత్పత్తి చేయబడతాయి.
    గమనిక: ఫంక్షనల్ VHDL IP కోర్ అందుబాటులో లేదు. మీ IP కోర్ డిజైన్ కోసం వెరిలాగ్ HDLని మాత్రమే పేర్కొనండిample.
  8. టార్గెట్ డెవలప్‌మెంట్ కిట్ కోసం, ఎజిలెక్స్ I-సిరీస్ ట్రాన్స్‌సీవర్-SoC దేవ్ కిట్‌ని ఎంచుకోండి
  9. జెనరేట్ ఎక్స్‌ని క్లిక్ చేయండిample డిజైన్ బటన్. సెలెక్ట్ ఎక్స్ample డిజైన్ డైరెక్టరీ విండో కనిపిస్తుంది.
  10. మీరు డిజైన్‌ను సవరించాలనుకుంటే మాజీample డైరెక్టరీ మార్గం లేదా డిఫాల్ట్‌ల నుండి పేరు ప్రదర్శించబడుతుంది (alt_e25_f_0_example_design), కొత్త మార్గాన్ని బ్రౌజ్ చేయండి మరియు కొత్త డిజైన్‌ను టైప్ చేయండి example డైరెక్టరీ పేరు (ample_dir>).
  11. సరే క్లిక్ చేయండి.

1.2.1. డిజైన్ Example పారామితులు
పట్టిక 2. Ex లో పారామితులుample డిజైన్ ట్యాబ్

పరామితి వివరణ
Exampలే డిజైన్ అందుబాటులో ఉదాampIP పారామీటర్ సెట్టింగ్‌ల కోసం le డిజైన్‌లు. ఒకే ఒక్క ఛానెల్ మాజీampఈ IP కోసం le డిజైన్‌కు మద్దతు ఉంది.
Exampలే డిజైన్ Files ది fileవివిధ అభివృద్ధి దశ కోసం రూపొందించడానికి s.
• అనుకరణ-అవసరమైన వాటిని ఉత్పత్తి చేస్తుంది fileమాజీని అనుకరించడం కోసం sample డిజైన్.
• సంశ్లేషణ-సంశ్లేషణను ఉత్పత్తి చేస్తుంది fileలు. వీటిని ఉపయోగించండి fileహార్డ్‌వేర్ పరీక్ష కోసం ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో డిజైన్‌ను కంపైల్ చేయడానికి మరియు స్టాటిక్ టైమింగ్ అనాలిసిస్ చేయడానికి.
సృష్టించు File ఫార్మాట్ RTL యొక్క ఆకృతి files అనుకరణ-వెరిలాగ్.
బోర్డుని ఎంచుకోండి డిజైన్ అమలు కోసం మద్దతు ఉన్న హార్డ్‌వేర్. మీరు Intel FPGA డెవలప్‌మెంట్ బోర్డ్‌ను ఎంచుకున్నప్పుడు, AGIB027R31B1E2VRO పరికరాన్ని డిజైన్ కోసం టార్గెట్ పరికరంగా ఉపయోగించండిampలే తరం.
అజిలెక్స్ I-సిరీస్ ట్రాన్స్‌సీవర్-SoC దేవ్ కిట్: ఈ ఎంపిక మిమ్మల్ని డిజైన్ ఎక్స్‌ప్రెస్ చేయడానికి అనుమతిస్తుందిampఎంచుకున్న Intel FPGA IP డెవలప్‌మెంట్ కిట్‌లో le. ఈ ఐచ్చికము AGIB027R31B1E2VRO యొక్క లక్ష్య పరికరాన్ని స్వయంచాలకంగా ఎంచుకుంటుంది. మీ బోర్డు పునర్విమర్శకు వేరే పరికర గ్రేడ్ ఉంటే, మీరు లక్ష్య పరికరాన్ని మార్చవచ్చు.
ఏదీ కాదు: ఈ ఎంపిక డిజైన్ మాజీ కోసం హార్డ్‌వేర్ అంశాలను మినహాయిస్తుందిample.

1.3 టైల్ ఉత్పత్తి చేస్తోంది Files

సపోర్ట్-లాజిక్ జనరేషన్ అనేది టైల్-సంబంధితాన్ని రూపొందించడానికి ఉపయోగించే ప్రీ-సింథసిస్ దశ fileఅనుకరణ మరియు హార్డ్‌వేర్ రూపకల్పనకు s అవసరం. టైల్ ఉత్పత్తి అందరికీ అవసరం
F-టైల్ ఆధారిత డిజైన్ అనుకరణలు. మీరు అనుకరణకు ముందు ఈ దశను పూర్తి చేయాలి.

  1. కమాండ్ ప్రాంప్ట్ వద్ద, మీ మాజీలోని compilation_test_design ఫోల్డర్‌కు నావిగేట్ చేయండిample డిజైన్: cd /compilation_test_design.
  2. కింది ఆదేశాన్ని అమలు చేయండి: quartus_tlg alt_eth_25g

1.4 F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP డిజైన్‌ను అనుకరించడం 
Example టెస్ట్బెంచ్
మీరు కమాండ్ ప్రాంప్ట్ నుండి అనుకరణ స్క్రిప్ట్‌ను అమలు చేయడం ద్వారా డిజైన్‌ను కంపైల్ చేయవచ్చు మరియు అనుకరించవచ్చు.

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 5

  1. కమాండ్ ప్రాంప్ట్ వద్ద, టెస్ట్‌బెంచ్ సిమ్యులేటింగ్ వర్కింగ్ డైరెక్టరీని మార్చండి: cdample_dir>/ex_25g/sim.
  2. IP సెటప్ అనుకరణను అమలు చేయండి:ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf

టేబుల్ 3. టెస్ట్‌బెంచ్‌ను అనుకరించే దశలు

సిమ్యులేటర్ సూచనలు
VCS* కమాండ్ లైన్‌లో, sh run_vcs.sh అని టైప్ చేయండి
క్వెస్టాసిమ్* కమాండ్ లైన్‌లో, vsim -do run_vsim.do -log అని టైప్ చేయండిfile vsim.log
మీరు QuestaSim GUIని తీసుకురాకుండా అనుకరించాలనుకుంటే, vsim -c -do run_vsim.do -log అని టైప్ చేయండిfile vsim.log
కాడెన్స్ -Xcelium* కమాండ్ లైన్‌లో, sh run_xcelium.sh అని టైప్ చేయండి

విజయవంతమైన అనుకరణ క్రింది సందేశంతో ముగుస్తుంది:
అనుకరణ ఆమోదించబడింది. లేదా టెస్ట్‌బెంచ్ పూర్తయింది.
విజయవంతంగా పూర్తయిన తర్వాత, మీరు ఫలితాలను విశ్లేషించవచ్చు.
1.5 డిజైన్ ఎక్స్‌ని కంపైల్ చేయడం మరియు కాన్ఫిగర్ చేయడంampహార్డ్‌వేర్‌లో లే
25G ఈథర్నెట్ ఇంటెల్ FPGA IP కోర్ పారామీటర్ ఎడిటర్ మిమ్మల్ని కంపైల్ చేయడానికి మరియు డిజైన్‌ను కాన్ఫిగర్ చేయడానికి అనుమతిస్తుందిampలక్ష్య అభివృద్ధి కిట్‌లో le.

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 6

డిజైన్‌ను కంపైల్ చేయడానికి మరియు కాన్ఫిగర్ చేయడానికి మాజీampహార్డ్‌వేర్‌లో, ఈ దశలను అనుసరించండి:

  1. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌ను ప్రారంభించండి మరియు డిజైన్‌ను కంపైల్ చేయడానికి ప్రాసెసింగ్ ➤ స్టార్ట్ కంపైలేషన్‌ని ఎంచుకోండి.
  2. మీరు SRAM వస్తువును రూపొందించిన తర్వాత file .sof, హార్డ్‌వేర్ డిజైన్ మాజీని ప్రోగ్రామ్ చేయడానికి ఈ దశలను అనుసరించండిampIntel Agilex పరికరంలో le:
    a. టూల్స్ మెనులో, ప్రోగ్రామర్ క్లిక్ చేయండి.
    బి. ప్రోగ్రామర్‌లో, హార్డ్‌వేర్ సెటప్ క్లిక్ చేయండి.
    సి. ప్రోగ్రామింగ్ పరికరాన్ని ఎంచుకోండి.
    డి. మీ ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సెషన్‌కు ఇంటెల్ అజిలెక్స్ బోర్డ్‌ను ఎంచుకుని, జోడించండి.
    ఇ. మోడ్ J కి సెట్ చేయబడిందని నిర్ధారించుకోండిTAG.
    f. Intel Agilex పరికరాన్ని ఎంచుకుని, పరికరాన్ని జోడించు క్లిక్ చేయండి. ప్రోగ్రామర్ ప్రదర్శిస్తుంది
    మీ బోర్డ్‌లోని పరికరాల మధ్య కనెక్షన్‌ల బ్లాక్ రేఖాచిత్రం.
    g. మీ .sof ఉన్న వరుసలో, .sof కోసం బాక్స్‌ను చెక్ చేయండి.
    h. ప్రోగ్రామ్/కాన్ఫిగర్ కాలమ్‌లోని పెట్టెను ఎంచుకోండి.
    i. ప్రారంభం క్లిక్ చేయండి.

1.6 F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP హార్డ్‌వేర్ డిజైన్ ఎక్స్‌ని పరీక్షిస్తోందిample
మీరు F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP కోర్ డిజైన్‌ను కంపైల్ చేసిన తర్వాతample మరియు దానిని మీ Intel Agilex పరికరంలో కాన్ఫిగర్ చేయండి, మీరు IP కోర్ని ప్రోగ్రామ్ చేయడానికి సిస్టమ్ కన్సోల్‌ని ఉపయోగించవచ్చు.
సిస్టమ్ కన్సోల్‌ని ఆన్ చేసి, హార్డ్‌వేర్ డిజైన్‌ని పరీక్షించడానికి మాజీample, ఈ దశలను అనుసరించండి:

  1. ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో, టూల్స్ ➤ సిస్టమ్‌ని ఎంచుకోండి
    డీబగ్గింగ్ సాధనాలు ➤ సిస్టమ్ కన్సోల్‌ను ప్రారంభించేందుకు సిస్టమ్ కన్సోల్.
  2. Tcl కన్సోల్ పేన్‌లో, డైరెక్టరీని / hardware_test_design/hwtestకి మార్చడానికి cd hwtest అని టైప్ చేయండి.
  3. J కి కనెక్షన్‌ని తెరవడానికి source main.tcl అని టైప్ చేయండిTAG మాస్టర్.

డిజైన్ ఎక్స్ యొక్క హార్డ్‌వేర్ టెస్టింగ్ విభాగంలో పరీక్ష విధానాన్ని అనుసరించండిample మరియు సిస్టమ్ కన్సోల్‌లో పరీక్ష ఫలితాలను గమనించండి.

F-టైల్ 25G ఈథర్నెట్ డిజైన్ Exampఇంటెల్ అజిలెక్స్ పరికరాల కోసం le

F-టైల్ 25G ఈథర్నెట్ డిజైన్ మాజీample 25G ఈథర్నెట్ ఇంటెల్ FPGA IP కోర్ ఉపయోగించి Intel Agilex పరికరాల కోసం ఈథర్నెట్ పరిష్కారాన్ని ప్రదర్శిస్తుంది.
డిజైన్‌ను రూపొందించండి exampమాజీ నుండి leample 25G ఈథర్నెట్ ఇంటెల్ FPGA IP పారామీటర్ ఎడిటర్ యొక్క డిజైన్ ట్యాబ్. మీరు డిజైన్‌తో లేదా లేకుండా రూపొందించడానికి కూడా ఎంచుకోవచ్చు
రీడ్-సోలమన్ ఫార్వర్డ్ ఎర్రర్ కరెక్షన్ (RS-FEC) ఫీచర్.
2.1 ఫీచర్లు

  • 25Gలో పనిచేసే సింగిల్ ఈథర్నెట్ ఛానెల్‌కు మద్దతు ఇస్తుంది.
  • డిజైన్ exampRS-FEC ఫీచర్‌తో le.
  • టెస్ట్‌బెంచ్ మరియు సిమ్యులేషన్ స్క్రిప్ట్‌ను అందిస్తుంది.
  • IP కాన్ఫిగరేషన్ ఆధారంగా F-టైల్ రిఫరెన్స్ మరియు సిస్టమ్ PLL క్లాక్స్ Intel FPGA IPని తక్షణం చేస్తుంది.

2.2 హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్ అవసరాలు
డిజైన్ మాజీని పరీక్షించడానికి ఇంటెల్ క్రింది హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్‌ను ఉపయోగిస్తుందిampLinux సిస్టమ్‌లో le:

  • ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్.
  • సిమెన్స్* EDA QuestaSim, Synopsys* VCS, మరియు Cadence Xcelium సిమ్యులేటర్.
  • హార్డ్‌వేర్ పరీక్ష కోసం Intel Agilex I-series Transceiver-SoC డెవలప్‌మెంట్ కిట్ (AGIB027R31B1E2VRO).

2.3. ఫంక్షనల్ వివరణ
F-టైల్ 25G ఈథర్నెట్ డిజైన్ మాజీample MAC+PCS+PMA కోర్ వేరియంట్‌ని కలిగి ఉంటుంది. కింది బ్లాక్ రేఖాచిత్రాలు F-టైల్ 25G ఈథర్‌నెట్ డిజైన్ ఎక్స్‌లో MAC+PCS+PMA కోర్ వేరియంట్ యొక్క డిజైన్ భాగాలు మరియు టాప్-లెవల్ సిగ్నల్‌లను చూపుతాయిample.
మూర్తి 5. బ్లాక్ రేఖాచిత్రం-F-టైల్ 25G ఈథర్నెట్ డిజైన్ Example (MAC+PCS+PMA కోర్ వేరియంట్)

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 7

2.3.1 డిజైన్ భాగాలు
పట్టిక 4. డిజైన్ భాగాలు

భాగం వివరణ
F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP కింది కాన్ఫిగరేషన్‌తో MAC, PCS మరియు ట్రాన్స్‌సీవర్ PHYని కలిగి ఉంటుంది:
కోర్ వేరియంట్: MAC+PCS+PMA
ప్రవాహ నియంత్రణను ప్రారంభించండి: ఐచ్ఛికం
లింక్ తప్పు ఉత్పత్తిని ప్రారంభించండి: ఐచ్ఛికం
పీఠిక పాస్‌త్రూని ప్రారంభించండి: ఐచ్ఛికం
గణాంకాల సేకరణను ప్రారంభించండి: ఐచ్ఛికం
MAC గణాంకాల కౌంటర్‌లను ప్రారంభించండి: ఐచ్ఛికం
సూచన గడియారం ఫ్రీక్వెన్సీ: 156.25
డిజైన్ కోసం మాజీampRS-FEC ఫీచర్‌తో, కింది అదనపు పరామితి కాన్ఫిగర్ చేయబడింది:
RS-FECని ప్రారంభించండి: ఐచ్ఛికం
F-టైల్ రిఫరెన్స్ మరియు సిస్టమ్ PLL క్లాక్స్ ఇంటెల్ FPGA IP F-టైల్ రిఫరెన్స్ మరియు సిస్టమ్ PLL క్లాక్స్ ఇంటెల్ FPGA IP పారామీటర్ ఎడిటర్ సెట్టింగ్‌లు F-tile 25G ఈథర్నెట్ ఇంటెల్ FPGA IP అవసరాలకు అనుగుణంగా ఉంటాయి. మీరు డిజైన్‌ను రూపొందించినట్లయితే exampలే ఉపయోగించి Ex. రూపొందించండిampలే డిజైన్ IP పరామితి ఎడిటర్‌లోని బటన్, IP స్వయంచాలకంగా తక్షణం అవుతుంది. మీరు మీ స్వంత డిజైన్‌ను సృష్టిస్తే, మాజీampఅలాగే, మీరు ఈ IPని మాన్యువల్‌గా ఇన్‌స్టాంటియేట్ చేయాలి మరియు అన్ని I/O పోర్ట్‌లను కనెక్ట్ చేయాలి.
ఈ IP గురించి సమాచారం కోసం, చూడండి F-టైల్ ఆర్కిటెక్చర్ మరియు PMA మరియు FEC డైరెక్ట్ PHY IP యూజర్ గైడ్.
క్లయింట్ లాజిక్ వీటిని కలిగి ఉంటుంది:
• ట్రాఫిక్ జనరేటర్, ఇది ట్రాన్స్‌మిషన్ కోసం 25G ఈథర్నెట్ ఇంటెల్ FPGA IP కోర్‌కు బరస్ట్ ప్యాకెట్‌లను ఉత్పత్తి చేస్తుంది.
• ట్రాఫిక్ మానిటర్, ఇది 25G ఈథర్నెట్ ఇంటెల్ FPGA IP కోర్ నుండి వచ్చే బరస్ట్ ప్యాకెట్‌లను పర్యవేక్షిస్తుంది.
మూలం మరియు ప్రోబ్ మీరు డీబగ్గింగ్ కోసం ఉపయోగించే సిస్టమ్ రీసెట్ ఇన్‌పుట్ సిగ్నల్‌తో సహా సోర్స్ మరియు ప్రోబ్ సిగ్నల్‌లు.

సంబంధిత సమాచారం
F-టైల్ ఆర్కిటెక్చర్ మరియు PMA మరియు FEC డైరెక్ట్ PHY IP యూజర్ గైడ్

అనుకరణ

టెస్ట్‌బెంచ్ IP కోర్ ద్వారా ట్రాఫిక్‌ను పంపుతుంది, ట్రాన్స్‌మిట్ వైపు వ్యాయామం చేస్తుంది మరియు IP కోర్ వైపు అందుకుంటుంది.
2.4.1 పరీక్షా బల్ల
మూర్తి 6. F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP డిజైన్ ఎక్స్ యొక్క బ్లాక్ రేఖాచిత్రంample సిమ్యులేషన్ టెస్ట్బెంచ్

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 8

టేబుల్ 5. టెస్ట్బెంచ్ భాగాలు

భాగం వివరణ
పరీక్షలో ఉన్న పరికరం (DUT) 25G ఈథర్నెట్ ఇంటెల్ FPGA IP కోర్.
ఈథర్నెట్ ప్యాకెట్ జనరేటర్ మరియు ప్యాకెట్ మానిటర్ • ప్యాకెట్ జనరేటర్ ఫ్రేమ్‌లను ఉత్పత్తి చేస్తుంది మరియు DUTకి ప్రసారం చేస్తుంది.
• ప్యాకెట్ మానిటర్ TX మరియు RX డేటాపాత్‌లను పర్యవేక్షిస్తుంది మరియు సిమ్యులేటర్ కన్సోల్‌లో ఫ్రేమ్‌లను ప్రదర్శిస్తుంది.
F-టైల్ రిఫరెన్స్ మరియు సిస్టమ్ PLL క్లాక్స్ ఇంటెల్ FPGA IP ట్రాన్స్‌సీవర్ మరియు సిస్టమ్ PLL రిఫరెన్స్ గడియారాలను ఉత్పత్తి చేస్తుంది.

2.4.2 అనుకరణ డిజైన్ Example భాగాలు
టేబుల్ 6. F-టైల్ 25G ఈథర్నెట్ డిజైన్ ఎక్స్ample టెస్ట్బెంచ్ File వివరణలు

File పేరు వివరణ
టెస్ట్బెంచ్ మరియు అనుకరణ Files
ప్రాథమిక_avl_tb_top.v అత్యున్నత స్థాయి టెస్ట్‌బెంచ్ file. టెస్ట్‌బెంచ్ DUTని ప్రారంభిస్తుంది, డిజైన్ భాగాలు మరియు క్లయింట్ లాజిక్‌పై Avalon® మెమరీ-మ్యాప్ చేసిన కాన్ఫిగరేషన్‌ను నిర్వహిస్తుంది మరియు 25G ఈథర్‌నెట్ Intel FPGA IP నుండి ప్యాకెట్‌ను పంపుతుంది మరియు అందుకుంటుంది.
టెస్ట్‌బెంచ్ స్క్రిప్ట్‌లు
కొనసాగింది…
File పేరు వివరణ
run_vsim.do టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి మోడల్‌సిమ్ స్క్రిప్ట్.
run_vcs.sh టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి Synopsys VCS స్క్రిప్ట్.
run_xcelium.sh టెస్ట్‌బెంచ్‌ను అమలు చేయడానికి Cadence Xcelium స్క్రిప్ట్.

2.4.3 పరీక్ష కేసు
అనుకరణ పరీక్ష కేసు క్రింది చర్యలను చేస్తుంది:

  1. F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP మరియు F-టైల్ రిఫరెన్స్ మరియు సిస్టమ్ PLL క్లాక్స్ Intel FPGA IPని తక్షణమే చేస్తుంది.
  2. RX గడియారం మరియు PHY స్థితి సిగ్నల్ స్థిరపడటానికి వేచి ఉంది.
  3. PHY స్థితిని ముద్రిస్తుంది.
  4. 10 చెల్లుబాటు అయ్యే డేటాను పంపుతుంది మరియు అందుకుంటుంది.
  5. ఫలితాలను విశ్లేషిస్తుంది. విజయవంతమైన టెస్ట్‌బెంచ్ “టెస్ట్‌బెంచ్ పూర్తయింది” అని ప్రదర్శిస్తుంది.

కింది ఎస్ample అవుట్‌పుట్ విజయవంతమైన అనుకరణ పరీక్ష పరుగును వివరిస్తుంది:

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 9

సంకలనం

డిజైన్ ఎక్స్‌ని కంపైల్ చేయడం మరియు కాన్ఫిగర్ చేయడంలో విధానాన్ని అనుసరించండిampడిజైన్‌ను కంపైల్ చేయడానికి మరియు కాన్ఫిగర్ చేయడానికి హార్డ్‌వేర్‌లో leampఎంచుకున్న హార్డ్‌వేర్‌లో le.
మీరు కంపైలేషన్-ఓన్లీ డిజైన్ ఎక్స్‌ని ఉపయోగించి వనరుల వినియోగాన్ని మరియు Fmaxని అంచనా వేయవచ్చుample. మీరు స్టార్ట్ కంపైలేషన్ ఆదేశాన్ని ఉపయోగించి మీ డిజైన్‌ను కంపైల్ చేయవచ్చు
ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో ప్రాసెసింగ్ మెను. విజయవంతమైన సంకలనం సంకలన నివేదిక సారాంశాన్ని రూపొందిస్తుంది.
మరింత సమాచారం కోసం, ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్‌లోని డిజైన్ కంపైలేషన్‌ని చూడండి.
సంబంధిత సమాచారం

  • డిజైన్ ఎక్స్‌ని కంపైల్ చేయడం మరియు కాన్ఫిగర్ చేయడంamp7వ పేజీలో హార్డ్‌వేర్‌లో లే
  • ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్‌లో డిజైన్ కంపైలేషన్

2.6 హార్డ్‌వేర్ టెస్టింగ్
హార్డ్‌వేర్ డిజైన్‌లో మాజీampఅలాగే, మీరు అంతర్గత సీరియల్ లూప్‌బ్యాక్ మోడ్‌లో IP కోర్‌ను ప్రోగ్రామ్ చేయవచ్చు మరియు రిసీవ్ సైడ్ ద్వారా తిరిగి లూప్ చేసే ట్రాన్స్‌మిట్ వైపు ట్రాఫిక్‌ను రూపొందించవచ్చు.
డిజైన్ మాజీని పరీక్షించడానికి అందించిన సంబంధిత సమాచార లింక్‌లోని విధానాన్ని అనుసరించండిampఎంచుకున్న హార్డ్‌వేర్‌లో le.
సంబంధిత సమాచారం
F-టైల్ 25G ఈథర్నెట్ ఇంటెల్ FPGA IP హార్డ్‌వేర్ డిజైన్ ఎక్స్‌ని పరీక్షిస్తోందిampపేజీ 8 లో le
2.6.1. పరీక్షా విధానం
డిజైన్ మాజీని పరీక్షించడానికి ఈ దశలను అనుసరించండిampహార్డ్‌వేర్‌లో:

  1. మీరు ఈ డిజైన్ కోసం హార్డ్‌వేర్ పరీక్షను అమలు చేయడానికి ముందుample, మీరు సిస్టమ్‌ను రీసెట్ చేయాలి:
    a. డిఫాల్ట్ సోర్స్ మరియు ప్రోబ్ GUI కోసం టూల్స్ ➤ ఇన్-సిస్టమ్ సోర్సెస్ & ప్రోబ్స్ ఎడిటర్ టూల్ క్లిక్ చేయండి.
    బి. రీసెట్‌లను వర్తింపజేయడానికి సిస్టమ్ రీసెట్ సిగ్నల్‌ను (మూలం[3:0]) 7 నుండి 8కి టోగుల్ చేయండి మరియు సిస్టమ్ రీసెట్ స్థితి నుండి సిస్టమ్‌ను విడుదల చేయడానికి సిస్టమ్ రీసెట్ సిగ్నల్‌ను 7కి తిరిగి ఇవ్వండి.
    సి. ప్రోబ్ సిగ్నల్‌లను పర్యవేక్షించండి మరియు స్థితి చెల్లుబాటు అయ్యేలా చూసుకోండి.
  2. సిస్టమ్ కన్సోల్‌లో, hwtest ఫోల్డర్‌కి నావిగేట్ చేయండి మరియు Jని ఎంచుకోవడానికి source main.tcl అనే ఆదేశాన్ని అమలు చేయండిTAG మాస్టర్. డిఫాల్ట్‌గా, మొదటి JTAG J పై మాస్టర్TAG గొలుసు ఎంపిక చేయబడింది. J ని ఎంచుకోవడానికిTAG Intel Agilex పరికరాల కోసం మాస్టర్, ఈ ఆదేశాన్ని అమలు చేయండి: set_jtag <number of appropriate JTAG మాస్టర్>. ఉదాample: set_jtag 1.
  3. సీరియల్ లూప్‌బ్యాక్ పరీక్షను ప్రారంభించడానికి సిస్టమ్ కన్సోల్‌లో కింది ఆదేశాలను అమలు చేయండి:

టేబుల్ 7. కమాండ్ పారామితులు

పరామితి వివరణ Example వినియోగం
chkphy_status క్లాక్ ఫ్రీక్వెన్సీలు మరియు PHY లాక్ స్థితిని ప్రదర్శిస్తుంది. % chkphy_status 0 # లింక్ 0 స్థితిని తనిఖీ చేయండి
chkmac_stats MAC గణాంకాల కౌంటర్లలో విలువలను ప్రదర్శిస్తుంది. % chkmac_stats 0 # లింక్ 0 యొక్క mac గణాంకాల కౌంటర్‌ని తనిఖీ చేస్తుంది
క్లియర్_అన్ని_గణాంకాలు IP కోర్ స్టాటిస్టిక్స్ కౌంటర్‌లను క్లియర్ చేస్తుంది. % clear_all_stats 0 # లింక్ 0 యొక్క గణాంకాల కౌంటర్‌ను క్లియర్ చేస్తుంది
ప్రారంభం_జన్ ప్యాకెట్ జనరేటర్‌ను ప్రారంభిస్తుంది. % start_gen 0 # లింక్ 0లో ప్యాకెట్ ఉత్పత్తిని ప్రారంభించండి
స్టాప్_జెన్ ప్యాకెట్ జనరేటర్‌ను ఆపివేస్తుంది. % stop_gen 0 # లింక్ 0లో ప్యాకెట్ ఉత్పత్తిని ఆపండి
లూప్_ఆన్ అంతర్గత సీరియల్ లూప్‌బ్యాక్‌ను ఆన్ చేస్తుంది. % loop_on 0 # లింక్ 0లో అంతర్గత లూప్‌బ్యాక్‌ని ఆన్ చేయండి
లూప్_ఆఫ్ అంతర్గత సీరియల్ లూప్‌బ్యాక్‌ను ఆఫ్ చేస్తుంది. % loop_off 0 # లింక్ 0లో అంతర్గత లూప్‌బ్యాక్‌ను ఆఫ్ చేయండి
reg_read వద్ద IP కోర్ రిజిస్టర్ విలువను అందిస్తుంది . % reg_read 0x402 # లింక్ 402 యొక్క చిరునామా 0 వద్ద IP CSR రిజిస్టర్‌ని చదవండి
reg_write వ్రాస్తాడు చిరునామా వద్ద IP కోర్ రిజిస్టర్‌కు . % reg_write 0x401 0x1 # లింక్ 0 యొక్క చిరునామా 1 వద్ద IP CSR స్క్రాచ్ రిజిస్టర్‌కి 401x0 వ్రాయండి

a. loop_on అని టైప్ చేయండి అంతర్గత సీరియల్ లూప్‌బ్యాక్ మోడ్‌ను ఆన్ చేయడానికి.
బి. chkphy_status టైప్ చేయండి PHY స్థితిని తనిఖీ చేయడానికి. TXCLK, RXCLK మరియు RX స్థితి స్థిరమైన లింక్ కోసం దిగువ చూపిన అదే విలువలను కలిగి ఉండాలి:

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 10

సి. clear_all_stats టైప్ చేయండి TX మరియు RX గణాంకాల రిజిస్టర్‌లను క్లియర్ చేయడానికి.
డి. start_gen అని టైప్ చేయండి ప్యాకెట్ ఉత్పత్తిని ప్రారంభించడానికి.
ఇ. stop_gen అని టైప్ చేయండి ప్యాకెట్ ఉత్పత్తిని ఆపడానికి.
f. chkmac_statsని టైప్ చేయండి TX మరియు RX గణాంకాల కౌంటర్లను చదవడానికి. అని నిర్ధారించుకోండి:
i. ప్రసారం చేయబడిన ప్యాకెట్ ఫ్రేమ్‌లు అందుకున్న ప్యాకెట్ ఫ్రేమ్‌లకు సరిపోతాయి.
ii. ఎర్రర్ ఫ్రేమ్‌లు ఏవీ స్వీకరించబడలేదు.
g. లూప్_ఆఫ్ అని టైప్ చేయండి అంతర్గత సీరియల్ లూప్‌బ్యాక్‌ను ఆఫ్ చేయడానికి.
చిత్రం 7. Sample టెస్ట్ అవుట్‌పుట్-TX మరియు RX స్టాటిస్టిక్స్ కౌంటర్లు

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 11 intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Exampలే - 12

F-టైల్ 25G ఈథర్నెట్ FPGA IP డిజైన్ కోసం డాక్యుమెంట్ పునర్విమర్శ చరిత్ర Example యూజర్ గైడ్

డాక్యుమెంట్ వెర్షన్ ఇంటెల్ క్వార్టస్ ప్రైమ్ వెర్షన్ IP వెర్షన్ మార్పులు
2022.10.14 22.3 1.0.0 ప్రారంభ విడుదల.

ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్‌మార్క్‌లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్‌లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.
ISO
9001:2015
నమోదైంది

ఇంటెల్ లోగోintel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Example - చిహ్నం1 ఆన్లైన్ వెర్షన్
intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Example - చిహ్నం అభిప్రాయాన్ని పంపండి
ID: 750200
వెర్షన్: 2022.10.14

పత్రాలు / వనరులు

intel F-Tile 25G ఈథర్నెట్ FPGA IP డిజైన్ Example [pdf] యూజర్ గైడ్
F-టైల్ 25G ఈథర్నెట్ FPGA IP డిజైన్ Example, F-టైల్ 25G, F-టైల్ 25G ఈథర్నెట్ FPGA, FPGA IP డిజైన్ Example, IP డిజైన్ Exampలే, 750200

సూచనలు

వ్యాఖ్యానించండి

మీ ఇమెయిల్ చిరునామా ప్రచురించబడదు. అవసరమైన ఫీల్డ్‌లు గుర్తించబడ్డాయి *