এফপিজিএ আইপি
ডিজাইন প্রাক্তনampব্যবহারকারীর নির্দেশিকা
F-Tile 25G ইথারনেট Intel®
Intel® Quartus® এর জন্য আপডেট করা হয়েছে
প্রাইম ডিজাইন স্যুট: 22.3
আইপি সংস্করণ: 1.0.0
দ্রুত শুরু নির্দেশিকা
Intel Agilex™ ডিভাইসগুলির জন্য F-টাইল 25G ইথারনেট ইন্টেল FPGA IP ডিজাইন তৈরি করার ক্ষমতা প্রদান করেampনির্বাচিত কনফিগারেশনের জন্য লেস।
চিত্র 1. ডিজাইন এক্সample ব্যবহার
ডিরেক্টরি কাঠামো
চিত্র 2. 25G ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইন এক্সample ডিরেক্টরি কাঠামো
- সিমুলেশন files (শুধুমাত্র সিমুলেশনের জন্য টেস্টবেঞ্চ) অবস্থিতample_dir>/প্রাক্তনample_testbench.
- শুধুমাত্র সংকলন নকশা প্রাক্তনample অবস্থিতample_dir>/ কম্পাইলেশন_টেস্ট_ডিজাইন।
- হার্ডওয়্যার কনফিগারেশন এবং পরীক্ষা files (নকশা প্রাক্তনampহার্ডওয়্যারে লে) অবস্থিতample_dir>/হার্ডওয়্যার_টেস্ট_ডিজাইন।
সারণি 1. ডিরেক্টরি এবং File বর্ণনা
File নাম | বর্ণনা |
eth_ex_25g.qpf | ইন্টেল কোয়ার্টাস® প্রাইম প্রকল্প file. |
eth_ex_25g.qsf | ইন্টেল কোয়ার্টাস প্রাইম প্রকল্প সেটিংস file. |
eth_ex_25g.sdc | Synopsys ডিজাইন সীমাবদ্ধতা file. আপনি এটি অনুলিপি এবং পরিবর্তন করতে পারেন file আপনার নিজের 25GbE Intel FPGA IP কোর ডিজাইনের জন্য। |
eth_ex_25g.v | শীর্ষ-স্তরের ভেরিলগ এইচডিএল ডিজাইন প্রাক্তনample file. একক-চ্যানেল ডিজাইন ভেরিলগ ব্যবহার করে file. |
সাধারণ/ | হার্ডওয়্যার ডিজাইন প্রাক্তনample সমর্থন files. |
hwtest/main.tcl | প্রধান file সিস্টেম কনসোল অ্যাক্সেস করার জন্য। |
ডিজাইন তৈরি করা হচ্ছে প্রাক্তনample
চিত্র 4। Exampএফ-টাইল 25জি ইথারনেট ইন্টেল এফপিজিএ আইপি প্যারামিটার এডিটরে লে ডিজাইন ট্যাব
প্রাক্তন হার্ডওয়্যার ডিজাইন তৈরি করতে এই পদক্ষেপগুলি অনুসরণ করুনampলে এবং টেস্টবেঞ্চ:
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণে, ক্লিক করুন File ➤ নতুন প্রোজেক্ট উইজার্ড একটি নতুন কোয়ার্টাস প্রাইম প্রজেক্ট তৈরি করতে, বা File ➤ একটি বিদ্যমান কোয়ার্টাস প্রাইম প্রকল্প খুলতে প্রকল্প খুলুন। উইজার্ড আপনাকে একটি ডিভাইস নির্দিষ্ট করতে অনুরোধ করে।
- IP ক্যাটালগে, Agilex-এর জন্য 25G ইথারনেট ইন্টেল FPGA IP সনাক্ত করুন এবং নির্বাচন করুন। নতুন আইপি ভেরিয়েশন উইন্ডো প্রদর্শিত হবে।
- আপনার আইপি বৈচিত্রের জন্য একটি শীর্ষ-স্তরের নাম উল্লেখ করুন এবং ঠিক আছে ক্লিক করুন। পরামিতি সম্পাদক শীর্ষ-স্তরের .ip যোগ করে file স্বয়ংক্রিয়ভাবে বর্তমান প্রকল্পে। যদি আপনাকে ম্যানুয়ালি .ip যোগ করতে বলা হয় file প্রজেক্টে, Project ➤ Add/Remove এ ক্লিক করুন Fileপ্রকল্প যোগ করার জন্য s file.
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারে, আপনাকে অবশ্যই ডিভাইস ক্ষেত্রে একটি নির্দিষ্ট ইন্টেল অ্যাজিলেক্স ডিভাইস নির্বাচন করতে হবে, বা ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যার প্রস্তাবিত ডিফল্ট ডিভাইসটি রাখতে হবে।
দ্রষ্টব্য: হার্ডওয়্যার ডিজাইন প্রাক্তনample লক্ষ্য বোর্ডে ডিভাইসের সাথে নির্বাচনটি ওভাররাইট করে। আপনি ডিজাইন প্রাক্তন মেনু থেকে লক্ষ্য বোর্ড নির্দিষ্ট করুনampপ্রাক্তন মধ্যে le বিকল্পampলে ডিজাইন ট্যাব। - ওকে ক্লিক করুন। পরামিতি সম্পাদক উপস্থিত হয়।
- আইপি ট্যাবে, আপনার আইপি কোর বৈচিত্রের জন্য পরামিতিগুলি নির্দিষ্ট করুন৷
- প্রাক্তন উপরampলে ডিজাইন ট্যাব, প্রাক্তনের জন্যampলে ডিজাইন Files, টেস্টবেঞ্চ তৈরি করতে সিমুলেশন বিকল্পটি নির্বাচন করুন এবং হার্ডওয়্যার ডিজাইন তৈরি করতে সংশ্লেষণ বিকল্পটি নির্বাচন করুনampলে শুধুমাত্র ভেরিলগ এইচডিএল files উৎপন্ন হয়।
দ্রষ্টব্য: একটি কার্যকরী VHDL আইপি কোর উপলব্ধ নয়। আপনার আইপি কোর ডিজাইন প্রাক্তনের জন্য শুধুমাত্র ভেরিলগ এইচডিএল নির্দিষ্ট করুনampলে - টার্গেট ডেভেলপমেন্ট কিটের জন্য, এজিলেক্স আই-সিরিজ ট্রান্সসিভার-এসওসি দেব কিট নির্বাচন করুন
- Generate Ex-এ ক্লিক করুনampলে ডিজাইন বোতাম। প্রাক্তন নির্বাচন করুনampলে ডিজাইন ডিরেক্টরি উইন্ডো প্রদর্শিত হবে।
- আপনি নকশা পরিবর্তন করতে চান তাহলে প্রাক্তনampডিফল্ট থেকে le ডিরেক্টরি পাথ বা নাম প্রদর্শিত হয় (alt_e25_f_0_example_design), নতুন পাথ ব্রাউজ করুন এবং নতুন ডিজাইন এক্স টাইপ করুনample ডিরেক্টরির নাম (ample_dir>)।
- ওকে ক্লিক করুন।
1.2.1. ডিজাইন এক্সample পরামিতি
টেবিল 2। প্রাক্তন মধ্যে পরামিতিampলে ডিজাইন ট্যাব
প্যারামিটার | বর্ণনা |
Exampলে ডিজাইন | উপলব্ধ প্রাক্তনampআইপি প্যারামিটার সেটিংসের জন্য লে ডিজাইন। শুধুমাত্র একক চ্যানেল প্রাক্তনampএই আইপির জন্য লে ডিজাইন সমর্থিত। |
Exampলে ডিজাইন Files | দ fileবিভিন্ন উন্নয়ন পর্বের জন্য তৈরি করা। • সিমুলেশন - প্রয়োজনীয় তৈরি করে files প্রাক্তন অনুকরণের জন্যampলে ডিজাইন। • সংশ্লেষণ — সংশ্লেষণ তৈরি করে files এগুলো ব্যবহার করো fileহার্ডওয়্যার পরীক্ষার জন্য ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারে ডিজাইন কম্পাইল করা এবং স্ট্যাটিক টাইমিং বিশ্লেষণ করা। |
তৈরি করুন File বিন্যাস | RTL এর বিন্যাস fileসিমুলেশনের জন্য - ভেরিলগ। |
বোর্ড নির্বাচন করুন | নকশা বাস্তবায়নের জন্য সমর্থিত হার্ডওয়্যার। আপনি যখন একটি Intel FPGA ডেভেলপমেন্ট বোর্ড নির্বাচন করেন, তখন ডিজাইনের জন্য টার্গেট ডিভাইস হিসেবে AGIB027R31B1E2VRO ডিভাইস ব্যবহার করুনampলে প্রজন্ম। এজিলেক্স আই-সিরিজ ট্রান্সসিভার-এসওসি ডেভ কিট: এই বিকল্পটি আপনাকে ডিজাইন পরীক্ষা করার অনুমতি দেয়ampনির্বাচিত ইন্টেল এফপিজিএ আইপি ডেভেলপমেন্ট কিটে। এই বিকল্পটি স্বয়ংক্রিয়ভাবে AGIB027R31B1E2VRO-এর টার্গেট ডিভাইস নির্বাচন করে। যদি আপনার বোর্ড রিভিশনে একটি ভিন্ন ডিভাইস গ্রেড থাকে, আপনি লক্ষ্য ডিভাইস পরিবর্তন করতে পারেন। কোনোটিই নয়: এই বিকল্পটি ডিজাইন প্রাক্তনের জন্য হার্ডওয়্যার দিকগুলি বাদ দেয়ampলে |
1.3। টাইল তৈরি করা হচ্ছে Files
সাপোর্ট-লজিক জেনারেশন হল একটি প্রাক-সংশ্লেষণ ধাপ যা টাইল-সম্পর্কিত তৈরি করতে ব্যবহৃত হয় fileসিমুলেশন এবং হার্ডওয়্যার ডিজাইনের জন্য প্রয়োজনীয়। টালি প্রজন্মের জন্য প্রয়োজন
F-টাইল ভিত্তিক ডিজাইন সিমুলেশন। সিমুলেশনের আগে আপনাকে অবশ্যই এই ধাপটি সম্পূর্ণ করতে হবে।
- কমান্ড প্রম্পটে, আপনার প্রাক্তনের compilation_test_design ফোল্ডারে নেভিগেট করুনampলে ডিজাইন: সিডি /সংকলন_পরীক্ষা_ডিজাইন।
- নিম্নলিখিত কমান্ডটি চালান: quartus_tlg alt_eth_25g
1.4। এফ-টাইল 25জি ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইনের অনুকরণ
Exampলে টেস্টবেঞ্চ
আপনি কমান্ড প্রম্পট থেকে একটি সিমুলেশন স্ক্রিপ্ট চালানোর মাধ্যমে নকশা কম্পাইল এবং অনুকরণ করতে পারেন।
- কমান্ড প্রম্পটে, টেস্টবেঞ্চ সিমুলেটিং ওয়ার্কিং ডিরেক্টরি পরিবর্তন করুন: cdample_dir>/ex_25g/sim।
- আইপি সেটআপ সিমুলেশন চালান: ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf
সারণি 3. টেস্টবেঞ্চ অনুকরণ করার পদক্ষেপ
সিমুলেটর | নির্দেশনা |
ভিসিএস* | কমান্ড লাইনে, sh run_vcs.sh টাইপ করুন |
QuestaSim* | কমান্ড লাইনে, vsim -do run_vsim.do -log টাইপ করুনfile vsim.log আপনি QuestaSim GUI না এনে অনুকরণ করতে পছন্দ করলে, vsim -c -do run_vsim.do -log টাইপ করুনfile vsim.log |
ক্যাডেন্স-এক্সেলিয়াম* | কমান্ড লাইনে, sh run_xcelium.sh টাইপ করুন |
একটি সফল সিমুলেশন নিম্নলিখিত বার্তা দিয়ে শেষ হয়:
সিমুলেশন পাস। অথবা টেস্টবেঞ্চ সম্পূর্ণ।
সফল সমাপ্তির পরে, আপনি ফলাফল বিশ্লেষণ করতে পারেন।
1.5। ডিজাইন কম্পাইল এবং কনফিগার করা Exampহার্ডওয়্যারে লে
25G ইথারনেট ইন্টেল এফপিজিএ আইপি কোর প্যারামিটার এডিটর আপনাকে ডিজাইন কম্পাইল এবং কনফিগার করতে দেয়ampএকটি লক্ষ্য উন্নয়ন কিট উপর.
একটি ডিজাইন কম্পাইল এবং কনফিগার করতে প্রাক্তনampহার্ডওয়্যারে, এই পদক্ষেপগুলি অনুসরণ করুন:
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারটি চালু করুন এবং নকশাটি কম্পাইল করতে প্রক্রিয়াকরণ ➤ সংকলন শুরু করুন নির্বাচন করুন।
- আপনি একটি SRAM অবজেক্ট তৈরি করার পরে file .sof, হার্ডওয়্যার ডিজাইন প্রাক্তন প্রোগ্রাম করতে এই পদক্ষেপগুলি অনুসরণ করুনampLe Intel Agilex ডিভাইসে:
ক টুলস মেনুতে, প্রোগ্রামার ক্লিক করুন।
খ. প্রোগ্রামারে, হার্ডওয়্যার সেটআপে ক্লিক করুন।
গ. একটি প্রোগ্রামিং ডিভাইস নির্বাচন করুন।
d আপনার ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সেশনে Intel Agilex বোর্ড নির্বাচন করুন এবং যোগ করুন।
e নিশ্চিত করুন যে মোড জে সেট করা আছেTAG.
চ Intel Agilex ডিভাইসটি নির্বাচন করুন এবং ডিভাইস যোগ করুন ক্লিক করুন। প্রোগ্রামার প্রদর্শন করে
আপনার বোর্ডে থাকা ডিভাইসগুলির মধ্যে সংযোগগুলির একটি ব্লক ডায়াগ্রাম।
g আপনার .sof-এর সাথে সারিতে, .sof-এর জন্য বক্সটি চেক করুন৷
জ. প্রোগ্রাম/কনফিগার কলামে বাক্সটি চেক করুন।
i স্টার্ট ক্লিক করুন।
1.6। এফ-টাইল 25জি ইথারনেট ইন্টেল এফপিজিএ আইপি হার্ডওয়্যার ডিজাইন পরীক্ষা করা হচ্ছেample
আপনি কম্পাইল করার পরে F-টাইল 25G ইথারনেট ইন্টেল FPGA IP কোর ডিজাইন প্রাক্তনample এবং আপনার Intel Agilex ডিভাইসে কনফিগার করুন, আপনি IP কোর প্রোগ্রাম করতে সিস্টেম কনসোল ব্যবহার করতে পারেন।
সিস্টেম কনসোল চালু করতে এবং হার্ডওয়্যার ডিজাইন পরীক্ষা করতে এক্সampলে, এই পদক্ষেপগুলি অনুসরণ করুন:
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারে, টুল ➤ সিস্টেম নির্বাচন করুন
ডিবাগিং টুল ➤ সিস্টেম কনসোল সিস্টেম কনসোল চালু করতে। - Tcl কনসোল প্যানে, / hardware_test_design/hwtest এ ডিরেক্টরি পরিবর্তন করতে cd hwtest টাইপ করুন।
- J-এর সাথে সংযোগ খুলতে source main.tcl টাইপ করুনTAG মাস্টার
ডিজাইন এক্সের হার্ডওয়্যার টেস্টিং বিভাগে পরীক্ষা পদ্ধতি অনুসরণ করুনample এবং সিস্টেম কনসোলে পরীক্ষার ফলাফল পর্যবেক্ষণ করুন।
এফ-টাইল 25G ইথারনেট ডিজাইন এক্সampইন্টেল Agilex ডিভাইসের জন্য le
F-টাইল 25G ইথারনেট ডিজাইন প্রাক্তনample 25G ইথারনেট Intel FPGA IP কোর ব্যবহার করে Intel Agilex ডিভাইসের জন্য একটি ইথারনেট সমাধান প্রদর্শন করে।
ডিজাইন প্রাক্তন উৎপন্নampপ্রাক্তন থেকে leamp25G ইথারনেট ইন্টেল এফপিজিএ আইপি প্যারামিটার সম্পাদকের লে ডিজাইন ট্যাব। আপনি বাছাই করে ডিজাইন তৈরি করতেও পারেন
রিড-সলোমন ফরোয়ার্ড এরর কারেকশন (RS-FEC) বৈশিষ্ট্য।
2.1. বৈশিষ্ট্য
- 25G এ অপারেটিং একক ইথারনেট চ্যানেল সমর্থন করে।
- ডিজাইন প্রাক্তন তৈরি করেampRS-FEC বৈশিষ্ট্য সহ le.
- টেস্টবেঞ্চ এবং সিমুলেশন স্ক্রিপ্ট প্রদান করে।
- আইপি কনফিগারেশনের উপর ভিত্তি করে এফ-টাইল রেফারেন্স এবং সিস্টেম পিএলএল ঘড়ি ইন্টেল এফপিজিএ আইপিকে ইনস্ট্যান্টিয়েট করে।
2.2। হার্ডওয়্যার এবং সফ্টওয়্যার প্রয়োজনীয়তা
ইন্টেল ডিজাইন এক্স পরীক্ষা করার জন্য নিম্নলিখিত হার্ডওয়্যার এবং সফ্টওয়্যার ব্যবহার করেampএকটি লিনাক্স সিস্টেমে:
- ইন্টেল কোয়ার্টাস প্রাইম প্রো এডিশন সফটওয়্যার।
- সিমেন্স* EDA QuestaSim, Synopsys* VCS, এবং Cadence Xcelium simulator.
- হার্ডওয়্যার পরীক্ষার জন্য ইন্টেল এজিলেক্স আই-সিরিজ ট্রান্সসিভার-এসওসি ডেভেলপমেন্ট কিট (AGIB027R31B1E2VRO)।
2.3. কার্যকরী বর্ণনা
F-টাইল 25G ইথারনেট ডিজাইন প্রাক্তনample MAC+PCS+PMA কোর ভেরিয়েন্ট নিয়ে গঠিত। নিম্নলিখিত ব্লক ডায়াগ্রামগুলি এফ-টাইল 25G ইথারনেট ডিজাইনে MAC+PCS+PMA কোর ভেরিয়েন্টের ডিজাইনের উপাদান এবং শীর্ষ-স্তরের সংকেতগুলি দেখায়ampলে
চিত্র 5. ব্লক ডায়াগ্রাম—এফ-টাইল 25G ইথারনেট ডিজাইন এক্সample (MAC+PCS+PMA কোর ভেরিয়েন্ট)
2.3.1। নকশা উপাদান
টেবিল 4। নকশা উপাদান
কম্পোনেন্ট | বর্ণনা |
F-টাইল 25G ইথারনেট ইন্টেল FPGA আইপি | নিম্নলিখিত কনফিগারেশন সহ MAC, PCS এবং ট্রান্সসিভার PHY নিয়ে গঠিত: • মূল বৈকল্পিক: MAC+PCS+PMA • প্রবাহ নিয়ন্ত্রণ সক্ষম করুন: ঐচ্ছিক • লিঙ্ক ফল্ট জেনারেশন সক্ষম করুন: ঐচ্ছিক • প্রস্তাবনা পাসথ্রু সক্ষম করুন: ঐচ্ছিক • পরিসংখ্যান সংগ্রহ সক্ষম করুন: ঐচ্ছিক • MAC পরিসংখ্যান কাউন্টার সক্রিয় করুন: ঐচ্ছিক • রেফারেন্স ঘড়ি ফ্রিকোয়েন্সি: 156.25 নকশা জন্য প্রাক্তনampRS-FEC বৈশিষ্ট্য সহ, নিম্নলিখিত অতিরিক্ত পরামিতি কনফিগার করা হয়েছে: • RS-FEC সক্ষম করুন৷: ঐচ্ছিক |
এফ-টাইল রেফারেন্স এবং সিস্টেম পিএলএল ঘড়ি ইন্টেল এফপিজিএ আইপি | এফ-টাইল রেফারেন্স এবং সিস্টেম পিএলএল ঘড়ি ইন্টেল এফপিজিএ আইপি প্যারামিটার এডিটর সেটিংস এফ-টাইল 25জি ইথারনেট ইন্টেল এফপিজিএ আইপির প্রয়োজনীয়তার সাথে সারিবদ্ধ করে। আপনি যদি ডিজাইন জেনারেট করেন প্রাক্তনample ব্যবহার করে প্রাক্তন তৈরি করুনampলে ডিজাইন আইপি প্যারামিটার এডিটরে বোতাম, আইপি স্বয়ংক্রিয়ভাবে তাৎক্ষণিক হয়ে যায়। আপনি যদি আপনার নিজের ডিজাইন তৈরি করেন প্রাক্তনampতাই, আপনাকে অবশ্যই এই আইপিটি ম্যানুয়ালি ইনস্ট্যান্টিয়েট করতে হবে এবং সমস্ত I/O পোর্ট সংযোগ করতে হবে। এই আইপি সম্পর্কে তথ্যের জন্য, পড়ুন F-টাইল আর্কিটেকচার এবং PMA এবং FEC ডাইরেক্ট PHY IP ব্যবহারকারী গাইড. |
ক্লায়েন্ট যুক্তি | এর মধ্যে রয়েছে: • ট্রাফিক জেনারেটর, যা ট্রান্সমিশনের জন্য 25G ইথারনেট ইন্টেল FPGA আইপি কোরে বার্স্ট প্যাকেট তৈরি করে। • ট্র্যাফিক মনিটর, যা 25G ইথারনেট ইন্টেল FPGA আইপি কোর থেকে আসা বার্স্ট প্যাকেটগুলি নিরীক্ষণ করে৷ |
উত্স এবং অনুসন্ধান | সিস্টেম রিসেট ইনপুট সিগন্যাল সহ উত্স এবং অনুসন্ধান সংকেত, যা আপনি ডিবাগিংয়ের জন্য ব্যবহার করতে পারেন। |
সম্পর্কিত তথ্য
F-টাইল আর্কিটেকচার এবং PMA এবং FEC ডাইরেক্ট PHY IP ব্যবহারকারী গাইড
সিমুলেশন
টেস্টবেঞ্চ আইপি কোরের মাধ্যমে ট্রাফিক পাঠায়, আইপি কোরের ট্রান্সমিট সাইড এবং রিসিভ সাইড ব্যায়াম করে।
2.4.1। টেস্টবেঞ্চ
চিত্র 6. F-টাইল 25G ইথারনেট ইন্টেল FPGA IP ডিজাইনের ব্লক ডায়াগ্রামample সিমুলেশন টেস্টবেঞ্চ
সারণি 5. টেস্টবেঞ্চ উপাদান
কম্পোনেন্ট | বর্ণনা |
পরীক্ষার অধীনে ডিভাইস (DUT) | 25G ইথারনেট ইন্টেল FPGA IP কোর। |
ইথারনেট প্যাকেট জেনারেটর এবং প্যাকেট মনিটর | • প্যাকেট জেনারেটর ফ্রেম তৈরি করে এবং DUT এ প্রেরণ করে। • প্যাকেট মনিটর TX এবং RX ডেটাপথগুলিকে নিরীক্ষণ করে এবং সিমুলেটর কনসোলে ফ্রেমগুলি প্রদর্শন করে। |
এফ-টাইল রেফারেন্স এবং সিস্টেম পিএলএল ঘড়ি ইন্টেল এফপিজিএ আইপি | ট্রান্সসিভার এবং সিস্টেম পিএলএল রেফারেন্স ঘড়ি তৈরি করে। |
2.4.2। সিমুলেশন ডিজাইন এক্সampলে উপাদান
সারণি 6. F-টাইল 25G ইথারনেট ডিজাইন এক্সampলে টেস্টবেঞ্চ File বর্ণনা
File নাম | বর্ণনা |
টেস্টবেঞ্চ এবং সিমুলেশন Files | |
মৌলিক_avl_tb_top.v | টপ লেভেল টেস্টবেঞ্চ file. টেস্টবেঞ্চ DUT-কে তাত্ক্ষণিক করে, ডিজাইনের উপাদান এবং ক্লায়েন্ট লজিকের উপর Avalon® মেমরি-ম্যাপ করা কনফিগারেশন করে এবং 25G ইথারনেট ইন্টেল এফপিজিএ আইপি থেকে প্যাকেট পাঠায় এবং গ্রহণ করে। |
টেস্টবেঞ্চ স্ক্রিপ্ট | |
অব্যাহত… |
File নাম | বর্ণনা |
run_vsim.do | টেস্টবেঞ্চ চালানোর জন্য মডেলসিম স্ক্রিপ্ট। |
run_vcs.sh | টেস্টবেঞ্চ চালানোর জন্য Synopsys VCS স্ক্রিপ্ট। |
run_xcelium.sh | টেস্টবেঞ্চ চালানোর জন্য Cadence Xcelium স্ক্রিপ্ট। |
2.4.3। পরীক্ষা ক্ষেত্রে
সিমুলেশন টেস্ট কেস নিম্নলিখিত ক্রিয়াগুলি সম্পাদন করে:
- এফ-টাইল 25G ইথারনেট ইন্টেল এফপিজিএ আইপি এবং এফ-টাইল রেফারেন্স এবং সিস্টেম পিএলএল ঘড়ি ইন্টেল এফপিজিএ আইপিকে ইনস্ট্যান্টিয়েট করে।
- RX ঘড়ি এবং PHY স্থিতি সংকেত নিষ্পত্তির জন্য অপেক্ষা করছে৷
- PHY স্ট্যাটাস প্রিন্ট করে।
- 10টি বৈধ ডেটা পাঠায় এবং গ্রহণ করে।
- ফলাফল বিশ্লেষণ করে। সফল টেস্টবেঞ্চ "টেস্টবেঞ্চ সম্পূর্ণ" প্রদর্শন করে।
নিম্নলিখিত এসample আউটপুট একটি সফল সিমুলেশন পরীক্ষা চালানোর চিত্র তুলে ধরে:
সংকলন
ডিজাইন এক্স কম্পাইল এবং কনফিগার করার পদ্ধতি অনুসরণ করুনampলে হার্ডওয়্যারে ডিজাইন কম্পাইল এবং কনফিগার করার জন্য এক্সampনির্বাচিত হার্ডওয়্যারে লে.
আপনি কম্পাইলেশন-অনলি ডিজাইন এক্স ব্যবহার করে সম্পদের ব্যবহার এবং Fmax অনুমান করতে পারেনampলে আপনি স্টার্ট কম্পাইলেশন কমান্ড ব্যবহার করে আপনার ডিজাইন কম্পাইল করতে পারেন
ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারে প্রক্রিয়াকরণ মেনু। একটি সফল সংকলন সংকলন প্রতিবেদনের সারাংশ তৈরি করে।
আরও তথ্যের জন্য, ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইডে ডিজাইন কম্পাইলেশন পড়ুন।
সম্পর্কিত তথ্য
- ডিজাইন কম্পাইল এবং কনফিগার করা Exampপৃষ্ঠা 7-এ হার্ডওয়্যারে লে
- ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ ব্যবহারকারী গাইডে ডিজাইন সংকলন
2.6। হার্ডওয়্যার টেস্টিং
হার্ডওয়্যার ডিজাইনে প্রাক্তনampলে, আপনি অভ্যন্তরীণ সিরিয়াল লুপব্যাক মোডে আইপি কোর প্রোগ্রাম করতে পারেন এবং ট্রান্সমিট সাইডে ট্রাফিক জেনারেট করতে পারেন যা রিসিভ সাইড দিয়ে লুপ ব্যাক করে।
ডিজাইন প্রাক্তন পরীক্ষা করার জন্য প্রদত্ত সম্পর্কিত তথ্য লিঙ্কে পদ্ধতি অনুসরণ করুনampনির্বাচিত হার্ডওয়্যারে লে.
সম্পর্কিত তথ্য
এফ-টাইল 25জি ইথারনেট ইন্টেল এফপিজিএ আইপি হার্ডওয়্যার ডিজাইন পরীক্ষা করা হচ্ছেampলে 8 পৃষ্ঠায়
2.6.1. পরীক্ষা পদ্ধতি
ডিজাইন প্রাক্তন পরীক্ষা করতে এই পদক্ষেপগুলি অনুসরণ করুনampহার্ডওয়্যারে লে:
- আপনি এই ডিজাইনের জন্য হার্ডওয়্যার পরীক্ষা চালানোর আগে প্রাক্তনampলে, আপনাকে সিস্টেম রিসেট করতে হবে:
ক টুল ক্লিক করুন ➤ ডিফল্ট সোর্স এবং প্রোব GUI-এর জন্য ইন-সিস্টেম সোর্স এবং প্রোব এডিটর টুল।
খ. রিসেট প্রয়োগ করতে 3 থেকে 0 পর্যন্ত সিস্টেম রিসেট সিগন্যাল (উৎস[7:8]) টগল করুন এবং সিস্টেম রিসেট অবস্থা থেকে রিসেট করার জন্য সিস্টেম রিসেট সিগন্যালটি 7 এ ফেরত দিন।
গ. প্রোব সিগন্যালগুলি নিরীক্ষণ করুন এবং নিশ্চিত করুন যে স্থিতিটি বৈধ। - সিস্টেম কনসোলে, hwtest ফোল্ডারে নেভিগেট করুন এবং একটি J নির্বাচন করতে কমান্ডটি চালান: source main.tclTAG মাস্টার ডিফল্টরূপে, প্রথম জেTAG জে তে মাস্টারTAG চেইন নির্বাচন করা হয়। জে নির্বাচন করতেTAG ইন্টেল এজিলেক্স ডিভাইসের জন্য মাস্টার, এই কমান্ডটি চালান: set_jtag <number of appropriate JTAG মাস্টার>। যেমনample: set_jtag 1.
- সিরিয়াল লুপব্যাক পরীক্ষা শুরু করতে সিস্টেম কনসোলে নিম্নলিখিত কমান্ডগুলি চালান:
সারণি 7. কমান্ড পরামিতি
প্যারামিটার | বর্ণনা | Example ব্যবহার |
chkphy_status | ঘড়ির ফ্রিকোয়েন্সি এবং PHY লক অবস্থা প্রদর্শন করে। | % chkphy_status 0 # লিঙ্ক 0 এর স্থিতি পরীক্ষা করুন |
chkmac_stats | MAC পরিসংখ্যান কাউন্টারে মানগুলি প্রদর্শন করে। | % chkmac_stats 0 # লিঙ্ক 0 এর ম্যাক পরিসংখ্যান কাউন্টার চেক করে |
পরিস্কার_সমস্ত_পরিসংখ্যান | আইপি মূল পরিসংখ্যান কাউন্টারগুলি সাফ করে। | % clear_all_stats 0 # লিঙ্ক 0 এর পরিসংখ্যান কাউন্টার সাফ করে |
start_gen | প্যাকেট জেনারেটর শুরু করে। | % start_gen 0 # লিঙ্ক 0-এ প্যাকেট তৈরি শুরু করুন |
stop_gen | প্যাকেট জেনারেটর বন্ধ করে দেয়। | % stop_gen 0 # 0 লিঙ্কে প্যাকেট তৈরি বন্ধ করুন |
loop_on | অভ্যন্তরীণ সিরিয়াল লুপব্যাক চালু করে। | % loop_on 0 # লিঙ্ক 0 এ অভ্যন্তরীণ লুপব্যাক চালু করুন |
লুপ_অফ | অভ্যন্তরীণ সিরিয়াল লুপব্যাক বন্ধ করে। | % loop_off 0 # লিঙ্ক 0 এ অভ্যন্তরীণ লুপব্যাক বন্ধ করুন |
reg_read | এ আইপি কোর রেজিস্টার মান প্রদান করে . | % reg_read 0x402 # লিঙ্ক 402 এর 0 ঠিকানায় IP CSR রেজিস্টার পড়ুন |
reg_write | লেখে ঠিকানায় আইপি কোর রেজিস্টারে . | % reg_write 0x401 0x1 # আইপি সিএসআর স্ক্র্যাচ রেজিস্টারে 0x1 লিখুন লিঙ্ক 401 এর 0 ঠিকানায় |
ক loop_on টাইপ করুন অভ্যন্তরীণ সিরিয়াল লুপব্যাক মোড চালু করতে।
খ. chkphy_status টাইপ করুন PHY এর অবস্থা পরীক্ষা করতে। একটি স্থিতিশীল লিঙ্কের জন্য নীচে দেখানো TXCLK, RXCLK এবং RX স্ট্যাটাসের একই মান থাকা উচিত:
গ. clear_all_stats টাইপ করুন TX এবং RX পরিসংখ্যান রেজিস্টার সাফ করতে।
d start_gen টাইপ করুন প্যাকেট জেনারেশন শুরু করতে।
e stop_gen টাইপ করুন প্যাকেট উৎপাদন বন্ধ করতে।
চ chkmac_stats টাইপ করুন TX এবং RX পরিসংখ্যান কাউন্টার পড়তে. নিশ্চিত করো যে:
i প্রেরিত প্যাকেট ফ্রেমগুলি প্রাপ্ত প্যাকেট ফ্রেমের সাথে মেলে।
ii. কোন ত্রুটি ফ্রেম প্রাপ্ত হয়.
g লুপ_অফ টাইপ করুন অভ্যন্তরীণ সিরিয়াল লুপব্যাক বন্ধ করতে।
চিত্র 7। Sampলে টেস্ট আউটপুট—TX এবং RX পরিসংখ্যান কাউন্টার
![]() |
![]() |
এফ-টাইল 25G ইথারনেট এফপিজিএ আইপি ডিজাইনের জন্য নথি সংশোধনের ইতিহাসampব্যবহারকারীর নির্দেশিকা
নথি সংস্করণ | ইন্টেল কোয়ার্টাস প্রাইম সংস্করণ | আইপি সংস্করণ | পরিবর্তন |
2022.10.14 | 22.3 | 1.0.0 | প্রাথমিক মুক্তি। |
ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। *অন্যান্য নাম এবং ব্র্যান্ড অন্যদের সম্পত্তি হিসাবে দাবি করা যেতে পারে।
আইএসও
9001:2015
নিবন্ধিত
অনলাইন সংস্করণ
প্রতিক্রিয়া পাঠান
আইডি: 750200
সংস্করণ: 2022.10.14
দলিল/সম্পদ
![]() |
ইন্টেল এফ-টাইল 25জি ইথারনেট এফপিজিএ আইপি ডিজাইন এক্সample [পিডিএফ] ব্যবহারকারীর নির্দেশিকা এফ-টাইল 25জি ইথারনেট এফপিজিএ আইপি ডিজাইন এক্সample, F-Tile 25G, F-Tile 25G ইথারনেট FPGA, FPGA IP ডিজাইন এক্সampলে, আইপি ডিজাইন প্রাক্তনampলে, 750200 |