intel ලාංඡනයFPGA IP
නිර්මාණ Example පරිශීලක මාර්ගෝපදේශය
F-Tile 25G Ethernet Intel®
Intel® Quartus® සඳහා යාවත්කාලීන කරන ලදී
ප්‍රයිම් ඩිසයින් සූට්: 22.3
IP අනුවාදය: 1.0.0

ඉක්මන් ආරම්භක මාර්ගෝපදේශය

Intel Agilex™ උපාංග සඳහා F-tile 25G Ethernet Intel FPGA IP නිර්මාණය උත්පාදනය කිරීමේ හැකියාව සපයයිampතෝරාගත් සැකසුම් සඳහා les.
රූපය 1. නිර්මාණ Example භාවිතය

intel F-Tile 25G Ethernet FPGA IP Design Example - 1

නාමාවලි ව්යුහය

Figure 2. 25G Ethernet Intel FPGA IP Design Example නාමාවලි ව්යුහය

intel F-Tile 25G Ethernet FPGA IP Design Example - 2

  • අනුකරණය files (පරික්ෂණ බංකුව අනුකරණය සඳහා පමණි) පිහිටා ඇතample_dir>/උදාample_testbench.
  • සම්පාදනය-පමණි නිර්මාණය example පිහිටා ඇතample_dir>/ compilation_test_design.
  • දෘඪාංග වින්යාසය සහ පරීක්ෂණය files (නිර්මාණය උදාampදෘඪාංගයේ le) පිහිටා ඇතample_dir>/hardware_test_design.

වගුව 1. නාමාවලිය සහ File විස්තර

File නම් විස්තරය
eth_ex_25g.qpf Intel Quartus® Prime ව්‍යාපෘතිය file.
eth_ex_25g.qsf Intel Quartus Prime ව්‍යාපෘති සැකසුම් file.
eth_ex_25g.sdc සාරාංශ සැලසුම් සීමා කිරීම් file. ඔබට මෙය පිටපත් කර වෙනස් කළ හැක file ඔබේම 25GbE Intel FPGA IP core නිර්මාණය සඳහා.
eth_ex_25g.v ඉහළම මට්ටමේ Verilog HDL නිර්මාණය example file. තනි නාලිකා නිර්මාණය Verilog භාවිතා කරයි file.
පොදු/ දෘඪාංග නිර්මාණය example සහාය files.
hwtest/main.tcl ප්රධාන file පද්ධති කොන්සෝලය වෙත ප්‍රවේශ වීම සඳහා.

නිර්මාණය උත්පාදනය Example

intel F-Tile 25G Ethernet FPGA IP Design Example - 3

රූපය 4. Example Design Tab F-tile 25G Ethernet Intel FPGA IP පරාමිති සංස්කාරකයේ

intel F-Tile 25G Ethernet FPGA IP Design Example - 4

දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට මෙම පියවර අනුගමනය කරන්නample සහ testbench:

  1. Intel Quartus Prime Pro සංස්කරණයේ, ක්ලික් කරන්න File ➤ නව Quartus Prime ව්‍යාපෘතියක් නිර්මාණය කිරීමට නව ව්‍යාපෘති විශාරද, හෝ File ➤ දැනට පවතින Quartus Prime ව්‍යාපෘතියක් විවෘත කිරීමට ව්‍යාපෘතිය විවෘත කරන්න. විශාරද උපාංගයක් සඳහන් කිරීමට ඔබෙන් විමසයි.
  2. IP නාමාවලියෙහි, Agilex සඳහා 25G Ethernet Intel FPGA IP සොයාගෙන තෝරන්න. නව IP විචලනය කවුළුව දිස්වේ.
  3. ඔබගේ IP විචලනය සඳහා ඉහළ මට්ටමේ නමක් සඳහන් කර OK ක්ලික් කරන්න. පරාමිති සංස්කාරකය ඉහළ මට්ටමේ .ip එකතු කරයි file වත්මන් ව්යාපෘතියට ස්වයංක්රීයව. .ip අතින් එකතු කිරීමට ඔබෙන් විමසන්නේ නම් file ව්‍යාපෘතියට, Project ➤ Add/ Remove ක්ලික් කරන්න Fileඑකතු කිරීමට ව්‍යාපෘතියේ s file.
  4. Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය තුළ, ඔබ උපාංග ක්ෂේත්‍රයේ නිශ්චිත Intel Agilex උපාංගයක් තෝරාගත යුතුය, නැතහොත් Intel Quartus Prime මෘදුකාංගය යෝජනා කරන පෙරනිමි උපාංගය තබා ගත යුතුය.
    සටහන: දෘඪාංග නිර්මාණය example විසින් ඉලක්ක පුවරුවේ ඇති උපාංගය සමඟ තේරීම නැවත ලියයි. ඔබ සැලසුම් හිටපු මෙනුවෙන් ඉලක්ක පුවරුව සඳහන් කරන්නampEx හි le විකල්පample නිර්මාණ පටිත්ත.
  5. හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
  6. IP පටිත්තෙහි, ඔබගේ IP මූලික විචලනය සඳහා පරාමිතීන් සඳහන් කරන්න.
  7. හිටපු මතample Design tab, Example නිර්මාණය Files, testbench ජනනය කිරීමට Simulation විකල්පය තෝරන්න, සහ දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට Synthesis විකල්පය තෝරන්න.ample. Verilog HDL පමණි files ජනනය වේ.
    සටහන: ක්‍රියාකාරී VHDL IP හරයක් නොමැත. Verilog HDL පමණක් සඳහන් කරන්න, ඔබේ IP core නිර්මාණය සඳහාample.
  8. ඉලක්ක සංවර්ධන කට්ටලය සඳහා, Agilex I-series Transceiver-SoC Dev කට්ටලය තෝරන්න
  9. උත්පාදනය Ex ක්ලික් කරන්නample නිර්මාණ බොත්තම. Select Example Design Directory කවුළුව දිස්වේ.
  10. ඔබට නිර්මාණය වෙනස් කිරීමට අවශ්‍ය නම් example බහලුම මාර්ගය හෝ ප්‍රදර්ශනය වන පෙරනිමි වලින් නම (alt_e25_f_0_example_design), නව මාර්ගය වෙත බ්‍රවුස් කර නව මෝස්තරය ටයිප් කරන්නampලේ නාමාවලියේ නම (ample_dir>).
  11. හරි ක්ලික් කරන්න.

1.2.1 නිර්මාණ Example පරාමිතීන්
වගුව 2. Ex හි පරාමිතීන්ample නිර්මාණ පටිත්ත

පරාමිතිය විස්තරය
Example නිර්මාණය ලබා ගත හැකි exampIP පරාමිති සැකසුම් සඳහා le සැලසුම්. තනි නාලිකා හිටපු පමණිample design මෙම IP සඳහා සහය දක්වයි.
Example නිර්මාණය Files ද fileවිවිධ සංවර්ධන අදියර සඳහා උත්පාදනය කිරීමට s.
• අනුකරණය - අවශ්‍ය දේ ජනනය කරයි fileහිටපු එක අනුකරණය කිරීම සඳහා sample නිර්මාණය.
• සංශ්ලේෂණය-සංශ්ලේෂණය උත්පාදනය කරයි files. මේවා භාවිතා කරන්න fileදෘඪාංග පරීක්ෂා කිරීම සඳහා Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ සැලසුම සම්පාදනය කිරීම සහ ස්ථිතික කාල විශ්ලේෂණය සිදු කිරීම.
උත්පාදනය කරන්න File ආකෘතිය RTL හි ආකෘතිය fileඅනුකරණය සඳහා s-Verilog.
මණ්ඩලය තෝරන්න සැලසුම් ක්රියාත්මක කිරීම සඳහා සහය දක්වන දෘඪාංග. ඔබ Intel FPGA සංවර්ධන පුවරුවක් තෝරන විට, සැලසුම් සඳහා ඉලක්ක උපාංගය ලෙස AGIB027R31B1E2VRO උපාංගය භාවිතා කරන්න.ample පරම්පරාව.
Agilex I-series Transceiver-SoC Dev Kit: මෙම විකල්පය මඟින් ඔබට නිර්මාණය පරීක්ෂා කිරීමට ඉඩ සලසයි.ampතෝරාගත් Intel FPGA IP සංවර්ධන කට්ටලය මත. මෙම විකල්පය AGIB027R31B1E2VRO හි ඉලක්ක උපාංගය ස්වයංක්‍රීයව තෝරා ගනී. ඔබගේ පුවරු සංශෝධනයට වෙනස් උපාංග ශ්‍රේණියක් තිබේ නම්, ඔබට ඉලක්ක උපාංගය වෙනස් කළ හැක.
කිසිවක් නැත: මෙම විකල්පය සැලසුම් හිටපු සඳහා දෘඩාංග අංගයන් බැහැර කරයිample.

1.3 ටයිල් ජනනය කිරීම Files

Support-Logic Generation යනු ටයිල් ආශ්‍රිත උත්පාදනය සඳහා භාවිතා කරන පූර්ව සංස්ලේෂණ පියවරකි fileඅනුකරණය සහ දෘඪාංග නිර්මාණය සඳහා අවශ්ය වේ. උළු උත්පාදනය සියලු දෙනා සඳහා අවශ්ය වේ
F-ටයිල් මත පදනම් වූ නිර්මාණ සමාකරණ. අනුකරණයට පෙර ඔබ මෙම පියවර සම්පූර්ණ කළ යුතුය.

  1. විධාන විමසුමේදී, ඔබගේ හිටපු compilation_test_design ෆෝල්ඩරය වෙත සංචාලනය කරන්නample නිර්මාණය: cd /compilation_test_design.
  2. පහත විධානය ක්‍රියාත්මක කරන්න: quartus_tlg alt_eth_25g

1.4 F-tile 25G Ethernet Intel FPGA IP නිර්මාණය අනුකරණය කිරීම 
Example Testbench
විධාන විමසුමෙන් සමාකරණ ස්ක්‍රිප්ට් එකක් ධාවනය කිරීමෙන් ඔබට නිර්මාණය සම්පාදනය කර අනුකරණය කළ හැක.

intel F-Tile 25G Ethernet FPGA IP Design Example - 5

  1. විධාන විමසුමේදී, testbench simulating working directory වෙනස් කරන්න: cdample_dir>/ex_25g/sim.
  2. IP සැකසුම් අනුකරණය ධාවනය කරන්න:ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf

වගුව 3. ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර

සිමියුලේටරය උපදෙස්
VCS* විධාන රේඛාවේ, sh run_vcs.sh ටයිප් කරන්න
ක්වෙස්ටාසිම්* විධාන රේඛාවේ, vsim -do run_vsim.do -log ටයිප් කරන්නfile vsim.log
ඔබ QuestaSim GUI ගෙන ඒමකින් තොරව අනුකරණය කිරීමට කැමති නම්, vsim -c -do run_vsim.do -log ටයිප් කරන්නfile vsim.log
Cadence -Xcelium* විධාන රේඛාවේ, sh run_xcelium.sh ලෙස ටයිප් කරන්න

සාර්ථක අනුකරණයක් පහත පණිවිඩය සමඟ අවසන් වේ:
අනුකරණය සමත් විය. හෝ ටෙස්ට් බංකු සම්පූර්ණයි.
සාර්ථකව නිම කිරීමෙන් පසු, ඔබට ප්රතිඵල විශ්ලේෂණය කළ හැකිය.
1.5 නිර්මාණය සම්පාදනය කිරීම සහ වින්‍යාස කිරීම Exampදෘඪාංගයේ le
25G Ethernet Intel FPGA IP core පරාමිති සංස්කාරකය මඟින් ඔබට කලින් නිර්මාණය සම්පාදනය කිරීමට සහ වින්‍යාස කිරීමට ඉඩ සලසයි.ampඉලක්ක සංවර්ධන කට්ටලයක් මත.

intel F-Tile 25G Ethernet FPGA IP Design Example - 6

නිර්මාණයක් සම්පාදනය කිරීමට සහ වින්‍යාස කිරීමට හිටපුampදෘඪාංග මත, මෙම පියවර අනුගමනය කරන්න:

  1. Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය දියත් කර නිර්මාණය සම්පාදනය කිරීමට සැකසුම් ➤ Start Compilation තෝරන්න.
  2. ඔබ SRAM වස්තුවක් ජනනය කළ පසු file .sof, දෘඪාංග නිර්මාණය සඳහා මෙම පියවර අනුගමනය කරන්නampIntel Agilex උපාංගයේ le:
    ඒ. මෙවලම් මෙනුවෙහි, ක්‍රමලේඛකයා ක්ලික් කරන්න.
    බී. ක්‍රමලේඛකයා තුළ, දෘඪාංග සැකසුම ක්ලික් කරන්න.
    c. ක්‍රමලේඛන උපාංගයක් තෝරන්න.
    ඈ ඔබේ Intel Quartus Prime Pro සංස්කරණ සැසියට Intel Agilex පුවරුව තෝරා එක් කරන්න.
    ඊ. මාදිලිය J ලෙස සකසා ඇති බව සහතික කර ගන්නTAG.
    f. Intel Agilex උපාංගය තෝරන්න සහ උපාංගය එකතු කරන්න ක්ලික් කරන්න. ක්‍රමලේඛකයා පෙන්වයි
    ඔබගේ පුවරුවේ ඇති උපාංග අතර සම්බන්ධතා වල බ්ලොක් රූප සටහනක්.
    g. ඔබේ .sof සහිත පේළියේ, .sof සඳහා කොටුව සලකුණු කරන්න.
    h. වැඩසටහන්/වින්‍යාස තීරුවේ ඇති කොටුව සලකුණු කරන්න.
    මම. Start ක්ලික් කරන්න.

1.6 F-tile 25G Ethernet Intel FPGA IP දෘඪාංග නිර්මාණය පරීක්ෂා කිරීම Example
ඔබ F-tile 25G Ethernet Intel FPGA IP core නිර්මාණය සම්පාදනය කළ පසුample සහ එය ඔබගේ Intel Agilex උපාංගය මත වින්‍යාස කරන්න, ඔබට IP හරය ක්‍රමලේඛනය කිරීමට System Console භාවිතා කළ හැක.
පද්ධති කොන්සෝලය සක්‍රිය කිරීමට සහ දෘඪාංග නිර්මාණය පරීක්ෂා කිරීමට example, මෙම පියවර අනුගමනය කරන්න:

  1. Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ, මෙවලම් ➤ පද්ධතිය තෝරන්න
    දෝශ නිරාකරණ මෙවලම් ➤ පද්ධති කොන්සෝලය දියත් කිරීමට පද්ධති කොන්සෝලය.
  2. Tcl Console කවුළුවෙහි, නාමාවලිය / Hardware_test_design/hwtest වෙත වෙනස් කිරීමට cd hwtest ටයිප් කරන්න.
  3. J වෙත සම්බන්ධතාවයක් විවෘත කිරීමට source main.tcl ටයිප් කරන්නTAG ස්වාමියා.

සැලසුම් ex හි දෘඩාංග පරීක්ෂණ අංශයේ පරීක්ෂණ ක්‍රියා පටිපාටිය අනුගමනය කරන්නample සහ පද්ධති කොන්සෝලය තුළ පරීක්ෂණ ප්රතිඵල නිරීක්ෂණය කරන්න.

F-tile 25G Ethernet Design ExampIntel Agilex උපාංග සඳහා le

F-tile 25G ඊතර්නෙට් නිර්මාණය example විසින් 25G Ethernet Intel FPGA IP හරය භාවිතයෙන් Intel Agilex උපාංග සඳහා Ethernet විසඳුමක් පෙන්වයි.
නිර්මාණය උත්පාදනය කරන්න exampහිටපු අයගෙන් leample 25G Ethernet Intel FPGA IP පරාමිති සංස්කාරකයේ නිර්මාණ පටිත්ත. ඔබට නිර්මාණය සමඟ හෝ නැතිව නිර්මාණය කිරීමට ද තෝරා ගත හැකිය
රීඩ්-සොලමන් ඉදිරි දෝෂ නිවැරදි කිරීමේ (RS-FEC) විශේෂාංගය.
2.1. විශේෂාංග

  • 25G හි ක්‍රියාත්මක වන තනි ඊතර්නෙට් නාලිකාවට සහය දක්වයි.
  • නිර්මාණය උත්පාදනය කරයි exampRS-FEC විශේෂාංගය සමඟ le.
  • testbench සහ simulation script සපයයි.
  • Instantiates F-Tile Reference සහ System PLL Clocks Intel FPGA IP IP වින්‍යාසය මත පදනම් වේ.

2.2 දෘඪාංග සහ මෘදුකාංග අවශ්‍යතා
ඉන්ටෙල් හිටපු සැලසුම් පරීක්ෂා කිරීමට පහත දෘඪාංග සහ මෘදුකාංග භාවිතා කරයිampලිනක්ස් පද්ධතියක le:

  • Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය.
  • Siemens* EDA QuestaSim, Synopsys* VCS, සහ Cadence Xcelium සිමියුලේටරය.
  • දෘඪාංග පරීක්ෂණ සඳහා Intel Agilex I-series Transceiver-SoC සංවර්ධන කට්ටලය (AGIB027R31B1E2VRO).

2.3. ක්රියාකාරී විස්තරය
F-tile 25G ඊතර්නෙට් නිර්මාණය example MAC+PCS+PMA core ප්‍රභේදයෙන් සමන්විත වේ. පහත බ්ලොක් රූපසටහන් මඟින් F-tile 25G Ethernet design ex හි MAC+PCS+PMA core ප්‍රභේදයේ සැලසුම් සංරචක සහ ඉහළ මට්ටමේ සංඥා පෙන්වයි.ample.
රූපය 5. Block Diagram—F-tile 25G Ethernet Design Example (MAC+PCS+PMA Core ප්‍රභේදය)

intel F-Tile 25G Ethernet FPGA IP Design Example - 7

2.3.1. සැලසුම් සංරචක
වගුව 4. සැලසුම් සංරචක

සංරචකය විස්තරය
F-tile 25G Ethernet Intel FPGA IP MAC, PCS සහ Transceiver PHY වලින් සමන්විත වන අතර, පහත වින්‍යාසය ඇත:
මූලික ප්‍රභේදය: MAC+PCS+PMA
ප්රවාහ පාලනය සබල කරන්න: විකල්ප
සබැඳි දෝෂ උත්පාදනය සබල කරන්න: විකල්ප
පෙරවදන සක්රිය කරන්න: විකල්ප
සංඛ්‍යාලේඛන එකතු කිරීම සබල කරන්න: විකල්ප
MAC සංඛ්‍යාලේඛන කවුන්ටර සබල කරන්න: විකල්ප
යොමු ඔරලෝසු සංඛ්‍යාතය: 156.25
නිර්මාණය සඳහා හිටපුampRS-FEC විශේෂාංගය සමඟින්, පහත අතිරේක පරාමිතිය වින්‍යාස කර ඇත:
RS-FEC සබල කරන්න: විකල්ප
F-Tile Reference සහ System PLL Clocks Intel FPGA IP F-Tile Reference සහ System PLL Clocks Intel FPGA IP පරාමිති සංස්කාරක සැකසුම් F-tile 25G Ethernet Intel FPGA IP හි අවශ්‍යතා සමඟ සමපාත වේ. ඔබ නිර්මාණය උත්පාදනය කරන්නේ නම් exampභාවිතා කරයි Ex උත්පාදනය කරන්නample නිර්මාණය IP පරාමිති සංස්කාරකයේ බොත්තම, IP ස්වයංක්‍රීයව ක්ෂණික වේ. ඔබ ඔබේම නිර්මාණයක් නිර්මාණය කරන්නේ නම්, හිටපුample, ඔබ මෙම IP එක හස්තීයව ක්‍රියාත්මක කර සියලුම I/O ports සම්බන්ධ කළ යුතුය.
මෙම IP පිළිබඳ තොරතුරු සඳහා, බලන්න F-ටයිල් ගෘහ නිර්මාණ ශිල්පය සහ PMA සහ FEC සෘජු PHY IP පරිශීලක මාර්ගෝපදේශය.
සේවාලාභී තර්කනය සමන්විත වන්නේ:
• සම්ප්‍රේෂණය සඳහා 25G Ethernet Intel FPGA IP හරය වෙත බර්ස්ට් පැකට් ජනනය කරන රථවාහන උත්පාදක යන්ත්‍රය.
• 25G Ethernet Intel FPGA IP හරයෙන් එන බර්ස්ට් පැකට් නිරීක්ෂණය කරන රථවාහන මොනිටරය.
මූලාශ්රය සහ විමර්ශනය ඔබට නිදොස්කරණය සඳහා භාවිත කළ හැකි පද්ධති යළි පිහිටුවීමේ ආදාන සංඥා ඇතුළු මූලාශ්‍ර සහ පරීක්ෂණ සංඥා.

අදාළ තොරතුරු
F-ටයිල් ගෘහ නිර්මාණ ශිල්පය සහ PMA සහ FEC සෘජු PHY IP පරිශීලක මාර්ගෝපදේශය

අනුකරණය

පරීක්ෂණ බංකුව IP හරය හරහා ගමනාගමනය යවයි, සම්ප්‍රේෂණ පැත්ත සහ IP හරයේ ලැබෙන පැත්ත ව්‍යායාම කරයි.
2.4.1. ටෙස්ට් බංකුව
Figure 6. F-tile 25G Ethernet Intel FPGA IP Design Ex හි බ්ලොක් රූප සටහනample Simulation Testbench

intel F-Tile 25G Ethernet FPGA IP Design Example - 8

වගුව 5. ටෙස්ට් බංකු සංරචක

සංරචකය විස්තරය
පරීක්‍ෂාවට ලක්වන උපාංගය (DUT) 25G Ethernet Intel FPGA IP හරය.
Ethernet Packet Generator සහ Packet Monitor • පැකට් ජෙනරේටරය රාමු ජනනය කර DUT වෙත සම්ප්‍රේෂණය කරයි.
• Packet Monitor TX සහ RX දත්ත මාර්ග නිරීක්ෂණය කරන අතර සිමියුලේටර් කොන්සෝලයේ රාමු පෙන්වයි.
F-Tile Reference සහ System PLL Clocks Intel FPGA IP සම්ප්‍රේෂකය සහ පද්ධති PLL යොමු ඔරලෝසු ජනනය කරයි.

2.4.2. සමාකරණ සැලසුම් Example සංරචක
වගුව 6. F-tile 25G Ethernet Design Example Testbench File විස්තර

File නම විස්තරය
ටෙස්ට් බංකු සහ අනුකරණය Files
මූලික_avl_tb_top.v ඉහළ මට්ටමේ පරීක්ෂණ බංකුව file. පරීක්ෂණ බංකුව DUT ක්ෂණිකව සිදු කරයි, සැලසුම් සංරචක සහ සේවාදායක තර්කනය මත Avalon® මතක සිතියම්ගත වින්‍යාසය සිදු කරයි, සහ 25G Ethernet Intel FPGA IP වෙත පැකට්ටුව යැවීම සහ ලබා ගැනීම.
ටෙස්ට් බංකු ස්ක්‍රිප්ට්
දිගටම…
File නම විස්තරය
run_vsim.do පරීක්ෂණ බංකුව ධාවනය කිරීමට ModelSim පිටපත.
run_vcs.sh පරීක්ෂණ බංකුව ධාවනය කිරීමට Synopsys VCS ස්ක්‍රිප්ට්.
run_xcelium.sh පරීක්ෂණ බංකුව ක්‍රියාත්මක කිරීමට Cadence Xcelium පිටපත.

2.4.3. පරීක්ෂණ නඩුව
සමාකරණ පරීක්ෂණ නඩුව පහත ක්‍රියා සිදු කරයි:

  1. F-tile 25G Ethernet Intel FPGA IP සහ F-Tile Reference සහ System PLL Clocks Intel FPGA IP Instantiates.
  2. RX ඔරලෝසුව සහ PHY තත්ත්‍ව සංඥාව නිරාකරණය කිරීමට රැඳී සිටින්න.
  3. PHY තත්ත්වය මුද්‍රණය කරයි.
  4. වලංගු දත්ත 10ක් යැවීම සහ ලබා ගැනීම.
  5. ප්රතිඵල විශ්ලේෂණය කරයි. සාර්ථක ටෙස්ට් බංකුව "ටෙස්ට්බෙන්ච් සම්පූර්ණයි" පෙන්වයි.

පහත එස්ample ප්‍රතිදානය සාර්ථක සමාකරණ පරීක්ෂණ ධාවනයක් පෙන්නුම් කරයි:

intel F-Tile 25G Ethernet FPGA IP Design Example - 9

සම්පාදනය

නිර්මාණ Ex සම්පාදනය කිරීමේ සහ වින්‍යාස කිරීමේ ක්‍රියා පටිපාටිය අනුගමනය කරන්නample දෘඪාංග තුළ නිර්මාණය සම්පාදනය කිරීමට සහ වින්‍යාස කිරීමට exampතෝරාගත් දෘඪාංගයේ le.
සම්පාදනය-පමණක් නිර්මාණය ex භාවිතා කරමින් ඔබට සම්පත් භාවිතය සහ Fmax තක්සේරු කළ හැකample. ඔබට Start Compilation විධානය භාවිතයෙන් ඔබේ නිර්මාණය සම්පාදනය කළ හැක
Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ සැකසුම් මෙනුව. සාර්ථක සම්පාදනයක් සම්පාදන වාර්තාවේ සාරාංශය ජනනය කරයි.
වැඩි විස්තර සඳහා, Intel Quartus Prime Pro සංස්කරණ පරිශීලක මාර්ගෝපදේශයේ නිර්මාණ සම්පාදනය බලන්න.
අදාළ තොරතුරු

  • නිර්මාණය සම්පාදනය කිරීම සහ වින්‍යාස කිරීම Examp7 පිටුවේ දෘඪාංගයේ le
  • Intel Quartus Prime Pro සංස්කරණ පරිශීලක මාර්ගෝපදේශයේ නිර්මාණ සම්පාදනය

2.6 දෘඪාංග පරීක්ෂාව
දෘඪාංග නිර්මාණයේදී හිටපුample, ඔබට අභ්‍යන්තර අනුක්‍රමික ලූප්බැක් ප්‍රකාරයේදී IP හරය ක්‍රමලේඛනය කර සම්ප්‍රේෂණ පැත්තේ ගමනාගමනය උත්පාදනය කළ හැකි අතර එය ලැබීමේ පැත්ත හරහා ආපසු හැරේ.
හිටපු සැලසුම් පරීක්ෂා කිරීමට සපයා ඇති අදාළ තොරතුරු සබැඳියේ ක්‍රියා පටිපාටිය අනුගමනය කරන්නampතෝරාගත් දෘඪාංගයේ le.
අදාළ තොරතුරු
F-tile 25G Ethernet Intel FPGA IP දෘඪාංග නිර්මාණය පරීක්ෂා කිරීම Example 8 පිටුවේ
2.6.1. පරීක්ෂණ පටිපාටිය
හිටපු නිර්මාණය පරීක්ෂා කිරීමට මෙම පියවර අනුගමනය කරන්නampදෘඪාංගයේ le:

  1. ඔබ මෙම නිර්මාණය සඳහා දෘඪාංග පරීක්ෂණ ධාවනය කිරීමට පෙරample, ඔබ පද්ධතිය නැවත සැකසිය යුතුය:
    ඒ. Default Source සහ Probe GUI සඳහා මෙවලම් ➤ In-System Sources & Probes Editor මෙවලම ක්ලික් කරන්න.
    බී. පද්ධති යළි පිහිටුවීමේ සංඥාව (මූලාශ්‍රය[3:0]) යළි පිහිටුවීම් යෙදීම සඳහා 7 සිට 8 දක්වා ටොගල් කර පද්ධතිය යළි පිහිටුවීමේ තත්ත්වයෙන් මුදා හැරීම සඳහා පද්ධති යළි පිහිටුවීමේ සංඥාව 7 වෙත ආපසු ලබා දෙන්න.
    c. පරීක්ෂණ සංඥා නිරීක්ෂණය සහ තත්ත්වය වලංගු බව සහතික කරන්න.
  2. පද්ධති කොන්සෝලය තුළ, hwtest ෆෝල්ඩරය වෙත සංචාලනය කර J එකක් තේරීමට: source main.tcl විධානය ක්‍රියාත්මක කරන්න.TAG ස්වාමියා. පෙරනිමියෙන්, පළමු ජේTAG ජේ මත මාස්ටර්TAG දාමය තෝරා ඇත. තෝරා ගැනීමට ජේTAG Intel Agilex උපාංග සඳහා මාස්ටර්, මෙම විධානය ක්‍රියාත්මක කරන්න: set_jtag <number of appropriate JTAG මාස්ටර්>. උදාample: set_jtag 1.
  3. අනුක්‍රමික ලූප්බැක් පරීක්ෂණය ආරම්භ කිරීමට පද්ධති කොන්සෝලය තුළ පහත විධානයන් ක්‍රියාත්මක කරන්න:

වගුව 7. විධාන පරාමිතීන්

පරාමිතිය විස්තරය Example භාවිතය
chkphy_තත්ත්වය ඔරලෝසු සංඛ්‍යාත සහ PHY අගුළු තත්ත්වය පෙන්වයි. % chkphy_status 0 # සබැඳිය 0 හි තත්ත්වය පරීක්ෂා කරන්න
chkmac_stats MAC සංඛ්‍යාලේඛන කවුන්ටරවල අගයන් පෙන්වයි. % chkmac_stats 0 # සබැඳිය 0 හි mac සංඛ්‍යාලේඛන කවුන්ටරය පරීක්ෂා කරයි
පැහැදිලි_සියලු_සංඛ්‍යාන IP මූලික සංඛ්‍යාලේඛන කවුන්ටර හිස් කරයි. % clear_all_stats 0 # සබැඳි 0 හි සංඛ්‍යාන කවුන්ටරය හිස් කරයි
start_gen පැකට් උත්පාදක යන්ත්රය ආරම්භ කරයි. % start_gen 0 # සබැඳිය 0 මත පැකට් උත්පාදනය ආරම්භ කරන්න
stop_gen පැකට් උත්පාදක යන්ත්රය නතර කරයි. % stop_gen 0 # සබැඳිය 0 හි පැකට් උත්පාදනය නවත්වන්න
loop_on අභ්‍යන්තර අනුක්‍රමික ලූප්බැක් ක්‍රියාත්මක කරයි. % loop_on 0 # සබැඳිය 0 හි අභ්‍යන්තර ලූප්බැක් ක්‍රියාත්මක කරන්න
loop_off අභ්‍යන්තර අනුක්‍රමික ලූප්බැක් අක්‍රිය කරයි. % loop_off 0 # සබැඳිය 0 හි අභ්‍යන්තර ලූප්බැක් ක්‍රියා විරහිත කරන්න
reg_කියවන්න IP මූලික ලියාපදිංචි අගය ලබා දෙයි . % reg_read 0x402 # සබැඳි 402 හි 0 ලිපිනයෙහි IP CSR ලේඛනය කියවන්න
reg_write ලියයි ලිපිනයේ ඇති IP මූලික ලේඛනයට . % reg_write 0x401 0x1 # සබැඳි 0 හි 1 ලිපිනයෙහි IP CSR සීරීම් ලේඛනයට 401x0 ලියන්න

ඒ. loop_on ටයිප් කරන්න අභ්‍යන්තර අනුක්‍රමික ලූප්බැක් මාදිලිය සක්‍රිය කිරීමට.
බී. chkphy_status ටයිප් කරන්න PHY හි තත්ත්වය පරීක්ෂා කිරීමට. TXCLK, RXCLK, සහ RX තත්ත්වය ස්ථාවර සබැඳියක් සඳහා පහත දැක්වෙන අගයන්ම තිබිය යුතුය:

intel F-Tile 25G Ethernet FPGA IP Design Example - 10

c. clear_all_stats ටයිප් කරන්න TX සහ RX සංඛ්‍යා ලේඛන ඉවත් කිරීමට.
ඈ start_gen ටයිප් කරන්න පැකට් නිෂ්පාදනය ආරම්භ කිරීමට.
ඊ. stop_gen ටයිප් කරන්න පැකට් උත්පාදනය නතර කිරීමට.
f. chkmac_stats ටයිප් කරන්න TX සහ RX සංඛ්‍යාලේඛන කවුන්ටර කියවීමට. එය සහතික කර ගන්න:
මම. සම්ප්රේෂණය කරන ලද පැකට් රාමු ලැබුණු පැකට් රාමු වලට ගැලපේ.
ii දෝෂ රාමු නොලැබේ.
g. loop_off ටයිප් කරන්න අභ්‍යන්තර අනුක්‍රමික ලූප්බැක් අක්‍රිය කිරීමට.
රූපය 7. Sample පරීක්ෂණ ප්‍රතිදානය-TX සහ RX සංඛ්‍යාලේඛන කවුන්ටර

intel F-Tile 25G Ethernet FPGA IP Design Example - 11 intel F-Tile 25G Ethernet FPGA IP Design Example - 12

F-tile 25G Ethernet FPGA IP නිර්මාණය සඳහා ලේඛන සංශෝධන ඉතිහාසය Example පරිශීලක මාර්ගෝපදේශය

ලේඛන අනුවාදය Intel Quartus Prime අනුවාදය IP අනුවාදය වෙනස්කම්
2022.10.14 22.3 1.0.0 මුල් නිකුතුව.

ඉන්ටෙල් සංස්ථාව. සියලුම හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල ක්‍රියාකාරීත්වය Intel හි සම්මත වගකීම් වලට අනුකූලව වත්මන් පිරිවිතරයන්ට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම භාණ්ඩයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්‍රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
ISO
9001:2015
ලියාපදිංචි කර ඇත

intel ලාංඡනයintel F-Tile 25G Ethernet FPGA IP Design Example - icon1 මාර්ගගත සංස්කරණය
intel F-Tile 25G Ethernet FPGA IP Design Example - නිරූපකය ප්‍රතිපෝෂණ යවන්න
ID: 750200
අනුවාදය: 2022.10.14

ලේඛන / සම්පත්

intel F-Tile 25G Ethernet FPGA IP Design Example [pdf] පරිශීලක මාර්ගෝපදේශය
F-ටයිල් 25G ඊතර්නෙට් FPGA IP නිර්මාණය Example, F-Tile 25G, F-Tile 25G ඊතර්නෙට් FPGA, FPGA IP Design Example, IP Design Exampලේ, 750200

යොමු කිරීම්

කමෙන්ට් එකක් දාන්න

ඔබගේ විද්‍යුත් තැපැල් ලිපිනය ප්‍රකාශනය නොකෙරේ. අවශ්‍ය ක්ෂේත්‍ර සලකුණු කර ඇත *