FPGA IP
Дизайн ExampДастури корбар
F-Tile 25G Ethernet Intel®
Барои Intel® Quartus® навсозӣ шудааст
Suite Design Prime: 22.3
Версияи IP: 1.0.0
Дастури оғози зуд
F-tile 25G Ethernet Intel FPGA IP барои дастгоҳҳои Intel Agilex ™ қобилияти тавлиди тарроҳии собиқро таъмин мекунадamples барои конфигуратсияҳои интихобшуда.
Расми 1. Тарҳрезӣ Example Истифода
Сохтори директория
Тасвири 2. 25G Ethernet Intel FPGA IP Design Example Сохтори директория
- Симуляция files (танҳо testbench барои моделиронӣ) ҷойгир шудаандample_dir>/example_testbench.
- Тарҳрезии танҳо барои маҷмӯа собиқampле воқеъ астample_dir>/ compilation_test_design.
- Конфигуратсия ва санҷиши сахтафзор files (дизайн, собиқample in hardware) ҷойгир шудаандample_dir>/hardware_test_design.
Љадвали 1. Директория ва File Тавсифҳо
File Номҳо | Тавсифи |
eth_ex_25g.qpf | Лоиҳаи Intel Quartus® Prime file. |
eth_ex_25g.qsf | Танзимоти лоиҳаи Intel Quartus Prime file. |
eth_ex_25g.sdc | Маҳдудиятҳои тарҳрезии Synopsys file. Шумо метавонед инро нусхабардорӣ ва тағир диҳед file барои тарҳи асосии 25GbE Intel FPGA IP худ. |
eth_ex_25g.v | Тарҳрезии сатҳи баландтарин Verilog HDL собиқample file. Тарҳрезии як канал Verilog-ро истифода мебарад file. |
умумӣ/ | Тарҳрезии сахтафзор собиқampдастгири кунед files. |
hwtest/main.tcl | Асосӣ file барои дастрасӣ ба Console System. |
Таҳияи тарҳрезӣ Example
Расми 4. Example Design Tab дар F-кали 25G Ethernet Intel FPGA IP Муҳаррири параметр
Барои тавлиди тарҳи сахтафзори собиқ ин қадамҳоро иҷро кунедample ва testbench:
- Дар Intel Quartus Prime Pro Edition, клик кунед File ➤ Ёвари лоиҳаи нав барои сохтани лоиҳаи нави Quartus Prime ё File ➤ Лоиҳаи кушода барои кушодани лоиҳаи мавҷудаи Quartus Prime. Устод аз шумо хоҳиш мекунад, ки дастгоҳро муайян кунед.
- Дар Каталоги IP, 25G Ethernet Intel FPGA IP-ро барои Agilex ҷойгир кунед ва интихоб кунед. Равзанаи New Variation IP пайдо мешавад.
- Барои варианти IP-и худ номи сатҳи болоро муайян кунед ва Хуб-ро пахш кунед. Муҳаррири параметр .ip-и сатҳи болоро илова мекунад file ба лоиҳаи ҷорӣ ба таври худкор. Агар аз шумо хоҳиш карда шавад, ки .ip-ро дастӣ илова кунед file ба лоиҳа, клик кунед Лоиҳа ➤ Илова/ Хориҷ Files дар Лоиҳа барои илова кардани file.
- Дар нармафзори Intel Quartus Prime Pro Edition, шумо бояд дар майдони Дастгоҳ дастгоҳи мушаххаси Intel Agilex-ро интихоб кунед ё дастгоҳи пешфарзро, ки нармафзори Intel Quartus Prime пешниҳод мекунад, нигоҳ доред.
Шарҳ: Тарҳрезии сахтафзор собиқample интихобро бо дастгоҳ дар тахтаи мақсаднок сабт мекунад. Шумо панели ҳадафро аз менюи тарроҳии собиқ муайян мекунедample имконоти дар Exampҷадвали тарроҳӣ. - OK-ро пахш кунед. Муҳаррири параметр пайдо мешавад.
- Дар ҷадвали IP, параметрҳои варианти асосии IP-и худро муайян кунед.
- Дар бораи Exampҷадвали тарроҳӣ, барои мисолample Design Files, имконоти Simulation-ро барои тавлиди тестӣ интихоб кунед ва версияи Синтезро барои тавлиди тарҳи сахтафзор, ки қаблан, интихоб кунедampле. Танҳо Verilog HDL fileс тавлид мешаванд.
Шарҳ: Як ядрои функсионалии VHDL IP дастрас нест. Барои тарҳи асосии IP-и худ танҳо Verilog HDL-ро муайян кунедampле. - Барои маҷмӯаи рушди ҳадаф, маҷмӯи Dev Agilex I-series Transceiver-SoC -ро интихоб кунед
- Насли Ex-ро клик кунедampтугмаи тарроҳӣ. Интихоби Example Равзанаи Design Directory пайдо мешавад.
- Агар шумо хоҳед, ки тарҳро тағир диҳед, собиқample роҳ ё номи директория аз пешфарзҳои намоишшуда (alt_e25_f_0_example_design), ба роҳи нав паймоиш кунед ва тарҳи навро чоп кунедampноми директория (ample_dir>).
- OK-ро пахш кунед.
1.2.1. Тарҳрезӣ Example Параметрҳо
Ҷадвали 2. Параметрҳо дар ExampҶадвали тарроҳӣ
Параметр | Тавсифи |
Example Design | Дастрас, собиқampтарҳҳои le барои танзимоти параметри IP. Танҳо як канали собиқampтарҳи le барои ин IP дастгирӣ карда мешавад. |
Example Design Files | Дар fileс барои тавлиди марҳилаи гуногуни рушд. • Симулятсия — чизи заруриро тавлид мекунад fileс барои тақлид кардани собиқampтарҳрезӣ. • Синтез — синтезро тавлид мекунад fileс. Инҳоро истифода баред fileс барои тартиб додани тарҳ дар нармафзори Intel Quartus Prime Pro Edition барои санҷиши сахтафзор ва анҷом додани таҳлили статикии вақт. |
тавлид File Формат | Формати RTL files барои моделиронӣ - Verilog. |
Раёсатро интихоб кунед | Таҷҳизоти дастгирӣ барои татбиқи тарҳ. Вақте ки шумо тахтаи таҳияи Intel FPGA-ро интихоб мекунед, дастгоҳи AGIB027R31B1E2VRO-ро ҳамчун Дастгоҳи Ҳадаф барои тарҳрезӣ истифода баред.ampнасл. Agilex I-series Transceiver-SoC Dev Kit: Ин хосият ба шумо имкон медиҳад, ки тарҳи собиқро санҷедample дар маҷмӯаи таҳияи интихобшудаи Intel FPGA IP. Ин хосият ба таври худкор Дастгоҳи Ҳадафи AGIB027R31B1E2VRO-ро интихоб мекунад. Агар таҷдиди тахтаи шумо дараҷаи дигари дастгоҳ дошта бошад, шумо метавонед дастгоҳи мавриди ҳадафро тағир диҳед. Ҳеҷ: Ин хосият ҷанбаҳои сахтафзори тарроҳиро истисно мекунадampле. |
1.3. Эҷоди плитка Files
Насли Дастгирии мантиқӣ як қадами пеш аз синтез аст, ки барои тавлиди сафолҳои марбут истифода мешавад fileс барои тарҳрезии моделиронӣ ва сахтафзор лозим аст. Насли сафолҳо барои ҳама талаб карда мешавад
моделиронӣ тарҳрезии F-саворҳои дар асоси. Шумо бояд ин қадамро пеш аз симулятсия анҷом диҳед.
- Дар сатри фармон, ба папкаи compilation_test_design дар собиқи худ гузаредampтарҳрезӣ: CD /compilation_test_design.
- Фармони зеринро иҷро кунед: quartus_tlg alt_eth_25g
1.4. Simulating F-кали 25G Ethernet Intel FPGA IP Design
Example Testbench
Шумо метавонед тарҳро тавассути иҷро кардани скрипти симулятсия аз сатри фармон тартиб диҳед ва тақлид кунед.
- Дар сатри фармон, феҳристи кории testbench-ро тағир диҳед: cdample_dir>/ex_25g/sim.
- Моделсозии танзимоти IP-ро иҷро кунед:ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf
Љадвали 3. Қадамҳо барои Simulate Testbench
Симулятор | Дастурҳо |
VCS* | Дар сатри фармон, sh run_vcs.sh -ро нависед |
QuestaSim* | Дар сатри фармон, ворид кунед vsim -do run_vsim.do -logfile vsim.log Агар шумо бе эҷод кардани GUI QuestaSim тақлид кардан мехоҳед, ворид кунед vsim -c -do run_vsim.do -logfile vsim.log |
Cadence -Xcelium* | Дар сатри фармон, sh run_xcelium.sh -ро нависед |
Симуляцияи муваффақ бо паёми зерин анҷом меёбад:
Симуляция гузашт. ё Testbench пурра.
Пас аз анҷоми бомуваффақият, шумо метавонед натиҷаҳоро таҳлил кунед.
1.5. Тартиб додан ва танзим кардани тарроҳии Example дар сахтафзор
Муҳаррири параметрҳои асосии 25G Ethernet Intel FPGA IP ба шумо имкон медиҳад, ки тарҳи қаблиро тартиб ва танзим кунедample дар маҷмӯаи рушди ҳадаф.
Барои тартиб додан ва танзим кардани тарроҳии собиқampдар сахтафзор, ин қадамҳоро иҷро кунед:
- Нармафзори Intel Quartus Prime Pro Edition -ро оғоз кунед ва коркард ➤ Барои тартиб додани тарҳ тарҳро оғоз кунед.
- Пас аз тавлиди объекти SRAM file .sof, ин қадамҳоро иҷро кунед, то тарҳрезии сахтафзорро барномарезӣ кунедample дар дастгоҳи Intel Agilex:
а. Дар менюи Tools, Барномасозро клик кунед.
б. Дар Барномасоз, Танзимоти сахтафзорро клик кунед.
в. Дастгоҳи барномасозиро интихоб кунед.
г. Тахтаи Intel Agilex-ро ба сессияи Intel Quartus Prime Pro Edition интихоб кунед ва илова кунед.
д. Боварӣ ҳосил кунед, ки режим ба J муқаррар карда шудаастTAG.
f. Дастгоҳи Intel Agilex-ро интихоб кунед ва Иловаи дастгоҳро пахш кунед. Барномасоз нишон медиҳад
диаграммаи блоки пайвастҳои байни дастгоҳҳои дар тахтаи шумо.
г. Дар саф бо .sof-и худ, қуттии .sof-ро тафтиш кунед.
ч. Қуттии сутуни Барнома/Танзимкуниро санҷед.
i. Оғозро пахш кунед.
1.6. Озмоиши F-плиткаи 25G Ethernet Intel FPGA IP Hardware Design Example
Пас аз он ки шумо тарҳрезии асосии F-кали 25G Ethernet Intel FPGA IP-ро тартиб диҳедample ва онро дар дастгоҳи Intel Agilex-и худ танзим кунед, шумо метавонед Консоли Системаро барои барномарезии асосии IP истифода баред.
Барои фаъол кардани консоли система ва санҷидани тарҳи сахтафзор собиқample, ин қадамҳоро иҷро кунед:
- Дар нармафзори Intel Quartus Prime Pro Edition, Tools ➤ System -ро интихоб кунед
Асбобҳои ислоҳи хатогиҳо ➤ Console System барои оғоз кардани консоли система. - Дар панели Tcl Console, cd hwtest -ро нависед, то директорияро ба / hardware_test_design/hwtest тағир диҳед.
- Барои кушодани пайвастшавӣ ба J. source main.tcl -ро нависедTAG устод.
Тартиби санҷишро дар бахши Санҷиши сахтафзори тарроҳии собиқ иҷро кунедample ва натиҷаҳои санҷишро дар консоли система мушоҳида кунед.
F-кали 25G Ethernet Design Example барои дастгоҳҳои Intel Agilex
Тарҳрезии F-tile 25G Ethernet собиқample ҳалли Ethernet-ро барои дастгоҳҳои Intel Agilex бо истифода аз 25G Ethernet Intel FPGA IP нишон медиҳад.
Эҷоди тарҳрезии собиқample аз Example Ҷадвали тарроҳии муҳаррири параметри 25G Ethernet Intel FPGA IP. Шумо инчунин метавонед тарҳрезиро бо ё бидуни он интихоб кунед
Хусусияти ислоҳи хатогии Reed-Solomon Forward (RS-FEC).
2.1. Хусусиятҳо
- Канали ягонаи Ethernet-ро дастгирӣ мекунад, ки дар 25G кор мекунад.
- Тарҳрезиро тавлид мекунад, собиқample бо хусусияти RS-FEC.
- Скрипти testbench ва моделиронӣ пешниҳод мекунад.
- Instantiates F-Tile Reference ва Системаи PLL Соатҳои Intel FPGA IP дар асоси конфигуратсияи IP.
2.2. Талаботи сахтафзор ва нармафзор
Intel барои санҷиши тарҳи собиқ сахтафзор ва нармафзори зеринро истифода мебарадample дар системаи Linux:
- Нармафзори Intel Quartus Prime Pro Edition.
- Siemens* EDA QuestaSim, Synopsys* VCS ва симулятор Cadence Xcelium.
- Intel Agilex I-series Transceiver-SoC Kit Development (AGIB027R31B1E2VRO) барои санҷиши сахтафзор.
2.3. Тавсифи функсионалӣ
Тарҳрезии F-tile 25G Ethernet собиқample аз варианти асосии MAC+PCS+PMA иборат аст. Диаграммаҳои блоки зерин ҷузъҳои тарроҳӣ ва сигналҳои сатҳи болоии варианти асосии MAC+PCS+PMA-ро дар тарҳи F-tile 25G Ethernet собиқ нишон медиҳанд.ampле.
Расми 5. Диаграммаи блок - F-tile 25G Ethernet Design Example (Варианти асосии MAC+PCS+PMA)
2.3.1. Компонентҳои тарҳрезӣ
Ҷадвали 4. Компонентҳои тарҳрезӣ
Компонент | Тавсифи |
F-кали 25G Ethernet Intel FPGA IP | Аз MAC, PCS ва Transceiver PHY бо конфигуратсияи зерин иборат аст: • Варианти аслӣ: MAC+PCS+PMA • Назорати ҷараёнро фаъол созед: Ихтиёрӣ • Насли хатогиҳои пайвандро фаъол созед: Ихтиёрӣ • Гузаронидани муқаддимаро фаъол созед: Ихтиёрӣ • Ҷамъоварии оморро фаъол созед: Ихтиёрӣ • Ҳисобкунакҳои омори MAC-ро фаъол созед: Ихтиёрӣ • Басомади соати истинод: 156.25 Барои тарҳрезии собиқample бо хусусияти RS-FEC, параметри иловагии зерин танзим карда мешавад: • RS-FEC-ро фаъол созед: Ихтиёрӣ |
Reference F-савор ва Системаи PLL Соатҳои Intel FPGA IP | Танзимоти муҳаррири параметри F-Tile Reference ва System PLL Clocks Intel FPGA IP бо талаботи F-tile 25G Ethernet Intel FPGA IP мувофиқат мекунанд. Агар шумо тарроҳии собиқро тавлид кунедampистифода бурда мешавад Эҷоди Example Design тугмаи дар муҳаррири параметри IP, IP ба таври худкор оғоз меёбад. Агар шумо тарроҳии худро эҷод кунед, собиқample, шумо бояд ин IP-ро дастӣ эҷод кунед ва ҳамаи бандарҳои I/O-ро пайваст кунед. Барои маълумот дар бораи ин IP, нигаред F-Tile Architecture ва дастури корбари PMA ва FEC Direct PHY IP. |
Мантиқи муштарӣ | Аз: иборат аст: • Генератори трафик, ки бастаҳои таркандаро ба ядрои IP 25G Ethernet Intel FPGA барои интиқол тавлид мекунад. • Монитори трафик, ки бастаҳои таркандаро, ки аз ядрои IP 25G Ethernet Intel FPGA меоянд, назорат мекунад. |
Манбаъ ва таҳқиқ | Сигналҳои манбаъ ва санҷиш, аз ҷумла сигнали вуруди аз нав танзимкунии система, ки шумо метавонед онҳоро барои ислоҳи хатогӣ истифода баред. |
Маълумоти марбут
F-Tile Architecture ва дастури корбари PMA ва FEC Direct PHY IP
Симуляция
Testbench трафикро тавассути ядрои IP мефиристад, ки тарафи интиқол ва қабули ядрои IP-ро амалӣ мекунад.
2.4.1. Санҷиш
Расми 6. Диаграммаи блоки F-tile 25G Ethernet Intel FPGA IP Design Example Simulation Testbench
Љадвали 5. Унсурњои Testbench
Компонент | Тавсифи |
Дастгоҳ таҳти озмоиш (DUT) | Асосии 25G Ethernet Intel FPGA IP. |
Генератори бастаи Ethernet ва монитори пакет | • Генератори маҷмӯӣ чаҳорчӯба тавлид мекунад ва ба DUT интиқол медиҳад. • Монитор пакети TX ва RX-ро назорат мекунад ва чаҳорчӯбҳоро дар консоли симулятор намоиш медиҳад. |
Reference F-савор ва Системаи PLL Соатҳои Intel FPGA IP | Соатҳои истинодҳои интиқолдиҳанда ва системаи PLL-ро тавлид мекунад. |
2.4.2. Тарҳрезии симулятсия Мисample Компонентҳо
Љадвали 6. F-кали 25G Ethernet Design Example Testbench File Тавсифҳо
File Ном | Тавсифи |
Testbench ва Simulation Files | |
basic_avl_tb_top.v | Санҷиши сатҳи олӣ file. Testbench DUT-ро ба вуҷуд меорад, конфигуратсияи харитаи хотираи Avalon®-ро дар ҷузъҳои тарроҳӣ ва мантиқи муштарӣ иҷро мекунад ва бастаро ба 25G Ethernet Intel FPGA IP мефиристад ва қабул мекунад. |
Скриптҳои Testbench | |
идома дод… |
File Ном | Тавсифи |
run_vsim.do | Скрипти ModelSim барои идора кардани testbench. |
run_vcs.sh | Скрипти Synopsys VCS барои идора кардани testbench. |
run_xcelium.sh | Скрипти Cadence Xcelium барои идора кардани testbench. |
2.4.3. Парвандаи санҷишӣ
Ҳолати санҷиши симулятсия амалҳои зеринро иҷро мекунад:
- Instantiates F-кали 25G Ethernet Intel FPGA IP ва F-сафед истинод ва Системаи PLL Соатҳои Intel FPGA IP.
- Интизор меравад, ки соати RX ва сигнали ҳолати PHY ҳал шавад.
- Ҳолати PHY-ро чоп мекунад.
- 10 маълумоти дурустро мефиристад ва мегирад.
- Натиҷаҳоро таҳлил мекунад. Testbench бомуваффақият нишон медиҳад "Testbench анҷом.".
Сample баромади бомуваффақияти санҷиши моделиронӣ нишон медиҳад:
Тартиб додан
Тартиби тартиб додан ва танзим кардани тарроҳии Example дар Сахтафзор барои тартиб додан ва танзим кардани тарҳи собиқample дар сахтафзори интихобшуда.
Шумо метавонед истифодабарии захираҳо ва Fmax-ро бо истифода аз тарҳи танҳо барои таҳияи собиқ ҳисоб кунедampле. Шумо метавонед тарҳи худро бо истифода аз фармони Start Compilation дар
Менюи коркард дар нармафзори Intel Quartus Prime Pro Edition. Ҷамъоварии бомуваффақият хулосаи ҳисоботи ҷамъбастиро тавлид мекунад.
Барои маълумоти иловагӣ, ба Тартиби тарҳрезӣ дар дастури корбари Intel Quartus Prime Pro Edition муроҷиат кунед.
Маълумоти марбут
- Тартиб додан ва танзим кардани тарроҳии Example дар Таҷҳизот дар саҳифаи 7
- Тарҳрезӣ дар дастури корбари Intel Quartus Prime Pro Edition
2.6. Санҷиши сахтафзор
Дар тарҳрезии сахтафзор собиқample, шумо метавонед ядрои IP-ро дар реҷаи бозгашти силсилавии дохилӣ барномарезӣ кунед ва трафикро дар тарафи интиқол, ки тавассути тарафи қабул бармегардад, тавлид кунед.
Тартиби дар истиноди иттилоотии пешниҳодшуда барои санҷиши тарҳи собиқро иҷро кунедample дар сахтафзори интихобшуда.
Маълумоти марбут
Озмоиши F-плиткаи 25G Ethernet Intel FPGA IP Hardware Design Exampдар саҳифаи 8
2.6.1. Тартиби санҷиш
Барои санҷидани тарҳи собиқ ин қадамҳоро иҷро кунедample дар сахтафзор:
- Пеш аз он ки шумо озмоиши сахтафзорро барои ин тарроҳӣ иҷро кунедample, шумо бояд системаро аз нав танзим кунед:
а. Асбобҳоро клик кунед ➤ Воситаи Сарчашмаҳои дохилисистема ва Муҳаррири зондҳо барои GUI-и пешфарз Source and Probe.
б. Сигнал аз нав танзимкунии системаро (Сарчашма[3:0]) аз 7 то 8 иваз кунед, то аз нав барқароркуниро татбиқ кунед ва сигнали азнавсозии системаро дубора ба 7 баргардонед, то системаро аз ҳолати азнавсозӣ раҳо кунед.
в. Сигналҳои зондро назорат кунед ва боварӣ ҳосил кунед, ки вазъият дуруст аст. - Дар консоли система ба ҷузвдони hwtest гузаред ва фармонро иҷро кунед: source main.tcl барои интихоби JTAG устод. Бо нобаёнӣ, аввалин ҶTAG устоди ҶTAG занҷир интихоб карда мешавад. Барои интихоби ҶTAG усто барои дастгоҳҳои Intel Agilex, ин фармонро иҷро кунед: set_jtag <number of appropriate JTAG устод>. Мисолample: set_jtag 1.
- Фармонҳои зеринро дар консоли система иҷро кунед, то санҷиши бозгашти пайдарпайро оғоз кунед:
Ҷадвали 7. Параметрҳои фармон
Параметр | Тавсифи | Example Истифода |
chkphy_status | Басомадҳои соат ва ҳолати қулфи PHY-ро нишон медиҳад. | % chkphy_status 0 # Ҳолати пайванди 0-ро тафтиш кунед |
chkmac_stats | Арзишҳоро дар ҳисобкунакҳои омори MAC нишон медиҳад. | % chkmac_stats 0 # Ҳисобкунаки омори Mac-и истиноди 0-ро тафтиш мекунад |
тоза_ҳамаи_оморҳо | Ҳисобкунакҳои омори асосии IP-ро тоза мекунад. | % clear_all_stats 0 # Ҳисобкунаки омори пайванди 0-ро тоза мекунад |
start_gen | Генератори пакетро оғоз мекунад. | % start_gen 0 # Насли бастаҳоро дар истиноди 0 оғоз кунед |
stop_gen | Генератори бастаҳоро қатъ мекунад. | % stop_gen 0 # Қатъи тавлиди бастаҳо дар истиноди 0 |
давр_дар | Бозгашти силсилавии дохилиро фаъол мекунад. | % loop_on 0 # Бозгашти дохилиро дар истиноди 0 фаъол созед |
ҳалқа | Бозгашти силсилавии дохилиро хомӯш мекунад. | % loop_off 0 # Бозгашти дохилиро дар истиноди 0 хомӯш кунед |
reg_read | Арзиши реестри IP-ро дар бар мегардонад . | % reg_read 0x402 # Реестри IP CSR-ро дар суроғаи 402-и истинод 0 хонед |
reg_write | менависад ба феҳристи асосии IP дар суроға . | % reg_write 0x401 0x1 # 0x1 -ро ба реестри скретчи IP CSR дар суроғаи 401-и истинод 0 нависед |
а. loop_on нависед барои фаъол кардани режими бозгашти силсилавии дохилӣ.
б. chkphy_status -ро нависед барои тафтиши вазъияти PHY. Ҳолати TXCLK, RXCLK ва RX бояд ҳамон арзишҳое дошта бошанд, ки дар зер барои истиноди устувор нишон дода шудаанд:
в. clear_all_stats-ро нависед барои тоза кардани феҳристҳои омори TX ва RX.
г. start_gen нависед барои оғози тавлиди бастаҳо.
д. stop_gen нависед барои боздоштани тавлиди бастаҳо.
f. chkmac_stats -ро нависед барои хондани ҳисобкунакҳои омори TX ва RX. Боварӣ ҳосил кунед, ки:
i. Чаҳорчӯбаҳои бастаи интиқолшуда ба чаҳорчӯбаи бастаи қабулшуда мувофиқат мекунанд.
ii. Ягон чаҳорчӯбаи хатогӣ гирифта намешавад.
г. loop_off -ро нависед барои хомӯш кардани гардиши силсилавии дохилӣ.
Расми 7. Sample Натиҷаи санҷиш - ҳисобкунакҳои омори TX ва RX
![]() |
![]() |
Таърихи бознигарии ҳуҷҷат барои F-tile 25G Ethernet FPGA IP Design ExampДастури корбар
Версияи ҳуҷҷат | Версияи Intel Quartus Prime | IPVersion | Тағйирот |
2022.10.14 | 22.3 | 1.0.0 | Нашри аввал. |
Корпоратсияи Intel. Ҳамаи ҳуқуқ маҳфуз аст. Intel, логотипи Intel ва дигар тамғаҳои Intel тамғаҳои тиҷоратии Intel Corporation ё филиалҳои он мебошанд. Intel иҷрои маҳсулоти FPGA ва нимноқилҳои худро мувофиқи мушаххасоти ҷорӣ мутобиқи кафолати стандартии Intel кафолат медиҳад, аммо ҳуқуқ дорад, ки дар вақти дилхоҳ бидуни огоҳӣ ба ҳама гуна маҳсулот ва хидматҳо тағйирот ворид кунад. Intel ҳеҷ гуна масъулият ё масъулиятеро, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда бармеояд, ба дӯш намегирад, ба истиснои ҳолатҳое, ки Intel дар шакли хаттӣ розӣ шудааст. Ба муштариёни Intel тавсия дода мешавад, ки пеш аз такя ба ягон маълумоти нашршуда ва пеш аз фармоиш додани маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.
ISO
9001:2015
Ба қайд гирифта шудааст
Онлайн
Фиристодани фикру ақида
Рақам: 750200
Версия: 2022.10.14
Ҳуҷҷатҳо / Сарчашмаҳо
![]() |
Intel F-Tile 25G Ethernet FPGA IP Design Example [pdf] Дастури корбар F-Tile 25G Ethernet FPGA IP Design Example, F-Tile 25G, F-Tile 25G Ethernet FPGA, FPGA IP Design Example, IP Design Exampле, 750200 |