FPGA ஐபி
வடிவமைப்பு முன்னாள்ample பயனர் வழிகாட்டி
F-Tile 25G ஈதர்நெட் இன்டெல்®
Intel® Quartus®க்கு புதுப்பிக்கப்பட்டது
பிரைம் டிசைன் சூட்: 22.3
ஐபி பதிப்பு: 1.0.0
விரைவு தொடக்க வழிகாட்டி
Intel Agilex™ சாதனங்களுக்கான F-tile 25G Ethernet Intel FPGA IP ஆனது வடிவமைப்பை உருவாக்கும் திறனை வழங்குகிறது.ampதேர்ந்தெடுக்கப்பட்ட கட்டமைப்புகளுக்கான les.
படம் 1. வடிவமைப்பு Example பயன்பாடு
அடைவு அமைப்பு
படம் 2. 25G ஈதர்நெட் இன்டெல் FPGA IP வடிவமைப்பு Example அடைவு அமைப்பு
- உருவகப்படுத்துதல் files (உருவகப்படுத்துதலுக்கான சோதனை பெஞ்ச் மட்டும்) இல் அமைந்துள்ளதுample_dir>/எக்ஸ்ample_testbench.
- தொகுப்பு-மட்டும் வடிவமைப்பு முன்னாள்ample இல் அமைந்துள்ளதுample_dir>/ compilation_test_design.
- வன்பொருள் கட்டமைப்பு மற்றும் சோதனை fileகள் (வடிவமைப்பு முன்னாள்ample in Hardware) இல் அமைந்துள்ளதுample_dir>/hardware_test_design.
அட்டவணை 1. அடைவு மற்றும் File விளக்கங்கள்
File பெயர்கள் | விளக்கம் |
eth_ex_25g.qpf | Intel Quartus® Prime திட்டம் file. |
eth_ex_25g.qsf | Intel Quartus Prime திட்ட அமைப்புகள் file. |
eth_ex_25g.sdc | சுருக்க வடிவமைப்பு கட்டுப்பாடுகள் file. இதை நீங்கள் நகலெடுத்து மாற்றலாம் file உங்கள் சொந்த 25GbE இன்டெல் FPGA ஐபி கோர் வடிவமைப்பிற்கு. |
eth_ex_25g.v | உயர்மட்ட வெரிலாக் HDL வடிவமைப்பு முன்னாள்ample file. ஒற்றை-சேனல் வடிவமைப்பு வெரிலாக்கைப் பயன்படுத்துகிறது file. |
பொதுவான/ | வன்பொருள் வடிவமைப்பு முன்னாள்ampஆதரவு files. |
hwtest/main.tcl | முக்கிய file சிஸ்டம் கன்சோலை அணுகுவதற்கு. |
வடிவமைப்பை உருவாக்குதல் Example
படம் 4. ExampF-டைல் 25G ஈதர்நெட் இன்டெல் FPGA IP அளவுரு எடிட்டரில் le டிசைன் டேப்
வன்பொருள் வடிவமைப்பை உருவாக்க, இந்தப் படிகளைப் பின்பற்றவும்ampலீ மற்றும் டெஸ்ட்பெஞ்ச்:
- Intel Quartus Prime Pro பதிப்பில், கிளிக் செய்யவும் File ➤ புதிய குவார்டஸ் பிரைம் திட்டத்தை உருவாக்க புதிய திட்ட வழிகாட்டி, அல்லது File ➤ ஏற்கனவே உள்ள குவார்டஸ் பிரைம் திட்டத்தை திறக்க ப்ராஜெக்ட்டைத் திறக்கவும். ஒரு சாதனத்தைக் குறிப்பிட வழிகாட்டி உங்களைத் தூண்டுகிறது.
- IP பட்டியலில், Agilex க்கான 25G Ethernet Intel FPGA IPஐக் கண்டறிந்து தேர்ந்தெடுக்கவும். புதிய ஐபி மாறுபாடு சாளரம் தோன்றும்.
- உங்கள் ஐபி மாறுபாட்டிற்கான உயர்மட்ட பெயரைக் குறிப்பிட்டு சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் மேல்-நிலை .ip ஐ சேர்க்கிறது file தற்போதைய திட்டத்திற்கு தானாகவே. .ip ஐ கைமுறையாக சேர்க்கும்படி கேட்கப்பட்டால் file திட்டத்திற்கு, திட்டம் ➤ சேர்/நீக்கு என்பதைக் கிளிக் செய்யவும் Fileசேர்ப்பதற்கான திட்டத்தில் கள் file.
- Intel Quartus Prime Pro பதிப்பு மென்பொருளில், சாதனப் புலத்தில் குறிப்பிட்ட Intel Agilex சாதனத்தைத் தேர்ந்தெடுக்க வேண்டும் அல்லது Intel Quartus Prime மென்பொருள் முன்மொழியும் இயல்புநிலை சாதனத்தை வைத்திருக்க வேண்டும்.
குறிப்பு: வன்பொருள் வடிவமைப்பு முன்னாள்ample இலக்கு பலகையில் உள்ள சாதனத்துடன் தேர்வை மேலெழுதுகிறது. வடிவமைப்பு முன்னாள் மெனுவிலிருந்து இலக்கு பலகையைக் குறிப்பிடுகிறீர்கள்ampEx இல் le விருப்பங்கள்ample வடிவமைப்பு தாவல். - சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
- IP தாவலில், உங்கள் IP மைய மாறுபாட்டிற்கான அளவுருக்களைக் குறிப்பிடவும்.
- முன்னாள் மீதுample வடிவமைப்பு தாவல், Example வடிவமைப்பு Files, testbench ஐ உருவாக்க உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுத்து, வன்பொருள் வடிவமைப்பை உருவாக்குவதற்கு தொகுப்பு விருப்பத்தைத் தேர்ந்தெடுக்கவும்.ampலெ. வெரிலாக் HDL மட்டுமே fileகள் உருவாக்கப்படுகின்றன.
குறிப்பு: செயல்பாட்டு VHDL IP கோர் கிடைக்கவில்லை. உங்கள் ஐபி கோர் வடிவமைப்பிற்கு, வெரிலாக் HDL ஐ மட்டும் குறிப்பிடவும்ampலெ. - Target Development Kitக்கு, Agilex I-series Transceiver-SoC Dev Kitஐத் தேர்ந்தெடுக்கவும்
- ஜெனரேட் எக்ஸ் என்பதைக் கிளிக் செய்யவும்ample வடிவமைப்பு பொத்தான். தேர்ந்தெடு முன்னாள்ample வடிவமைப்பு அடைவு சாளரம் தோன்றும்.
- நீங்கள் வடிவமைப்பை மாற்ற விரும்பினால், முன்னாள்ample அடைவு பாதை அல்லது இயல்புநிலையிலிருந்து பெயர் காட்டப்படும் (alt_e25_f_0_example_design), புதிய பாதையில் உலாவவும் மற்றும் புதிய வடிவமைப்பை டைப் செய்யவும்ample அடைவு பெயர் (ample_dir>).
- சரி என்பதைக் கிளிக் செய்யவும்.
1.2.1. வடிவமைப்பு Example அளவுருக்கள்
அட்டவணை 2. Ex இல் உள்ள அளவுருக்கள்ample வடிவமைப்பு தாவல்
அளவுரு | விளக்கம் |
Example வடிவமைப்பு | கிடைக்கும் example ஐபி அளவுரு அமைப்புகளுக்கான வடிவமைப்புகள். ஒரே ஒரு சேனல் முன்னாள்ampஇந்த ஐபிக்கு le வடிவமைப்பு துணைபுரிகிறது. |
Example வடிவமைப்பு Files | தி fileவெவ்வேறு வளர்ச்சி கட்டத்திற்கு உருவாக்க கள். • உருவகப்படுத்துதல் - தேவையானதை உருவாக்குகிறது fileமுன்னாள் உருவகப்படுத்த sample வடிவமைப்பு. • தொகுப்பு-தொகுப்பை உருவாக்குகிறது fileகள். இவற்றைப் பயன்படுத்துங்கள் fileஇன்டெல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருளில் வன்பொருள் சோதனைக்கான வடிவமைப்பைத் தொகுக்கவும் மற்றும் நிலையான நேர பகுப்பாய்வு செய்யவும். |
உருவாக்கு File வடிவம் | RTL இன் வடிவம் fileஉருவகப்படுத்துதலுக்கான கள்-வெரிலாக். |
பலகையைத் தேர்ந்தெடுக்கவும் | வடிவமைப்பு செயல்படுத்தலுக்கான ஆதரவு வன்பொருள். நீங்கள் Intel FPGA டெவலப்மெண்ட் போர்டைத் தேர்ந்தெடுக்கும்போது, AGIB027R31B1E2VRO சாதனத்தை டிசைனுக்கான இலக்கு சாதனமாகப் பயன்படுத்தவும்.ample தலைமுறை. Agilex I-series Transceiver-SoC Dev Kit: இந்த விருப்பம் வடிவமைப்பை சோதிக்க உங்களை அனுமதிக்கிறதுampதேர்ந்தெடுக்கப்பட்ட Intel FPGA IP டெவலப்மெண்ட் கிட்டில் le. இந்த விருப்பம் தானாகவே AGIB027R31B1E2VRO இன் இலக்கு சாதனத்தைத் தேர்ந்தெடுக்கும். உங்கள் போர்டு மீள்திருத்தம் வேறுபட்ட சாதன தரத்தைக் கொண்டிருந்தால், நீங்கள் இலக்கு சாதனத்தை மாற்றலாம். எதுவுமில்லை: இந்த விருப்பம் வடிவமைப்பிற்கான வன்பொருள் அம்சங்களை விலக்குகிறதுampலெ. |
1.3 ஓடுகளை உருவாக்குகிறது Files
சப்போர்ட்-லாஜிக் ஜெனரேஷன் என்பது டைல் தொடர்பானவற்றை உருவாக்கப் பயன்படுத்தப்படும் ஒரு முன்-தொகுப்புப் படியாகும் fileஉருவகப்படுத்துதல் மற்றும் வன்பொருள் வடிவமைப்பிற்கு கள் தேவை. ஓடு உற்பத்தி அனைவருக்கும் தேவை
F-டைல் அடிப்படையிலான வடிவமைப்பு உருவகப்படுத்துதல்கள். உருவகப்படுத்துதலுக்கு முன் இந்த படிநிலையை நீங்கள் முடிக்க வேண்டும்.
- கட்டளை வரியில், உங்கள் முன்னாள் உள்ள compilation_test_design கோப்புறைக்கு செல்லவும்ample வடிவமைப்பு: சிடி /compilation_test_design.
- பின்வரும் கட்டளையை இயக்கவும்: quartus_tlg alt_eth_25g
1.4 F-tile 25G ஈதர்நெட் இன்டெல் FPGA IP வடிவமைப்பை உருவகப்படுத்துதல்
Example டெஸ்ட்பெஞ்ச்
கட்டளை வரியில் இருந்து உருவகப்படுத்துதல் ஸ்கிரிப்டை இயக்குவதன் மூலம் நீங்கள் வடிவமைப்பைத் தொகுத்து உருவகப்படுத்தலாம்.
- கட்டளை வரியில், testbench சிமுலேட்டிங் வேலை கோப்பகத்தை மாற்றவும்: cdample_dir>/ex_25g/sim.
- ஐபி அமைவு உருவகப்படுத்துதலை இயக்கவும்:ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf
அட்டவணை 3. டெஸ்ட்பெஞ்சை உருவகப்படுத்துவதற்கான படிகள்
சிமுலேட்டர் | வழிமுறைகள் |
VCS* | கட்டளை வரியில், sh run_vcs.sh என தட்டச்சு செய்யவும் |
குவெஸ்டாசிம்* | கட்டளை வரியில், vsim -do run_vsim.do -log என தட்டச்சு செய்யவும்file vsim.log QuestaSim GUI ஐ கொண்டு வராமல் உருவகப்படுத்த விரும்பினால், vsim -c -do run_vsim.do -log என தட்டச்சு செய்யவும்file vsim.log |
கேடென்ஸ் -Xcelium* | கட்டளை வரியில், sh run_xcelium.sh என தட்டச்சு செய்யவும் |
வெற்றிகரமான உருவகப்படுத்துதல் பின்வரும் செய்தியுடன் முடிவடைகிறது:
உருவகப்படுத்துதல் நிறைவேற்றப்பட்டது. அல்லது டெஸ்ட்பெஞ்ச் முடிந்தது.
வெற்றிகரமாக முடித்த பிறகு, நீங்கள் முடிவுகளை பகுப்பாய்வு செய்யலாம்.
1.5 வடிவமைப்பை தொகுத்தல் மற்றும் கட்டமைத்தல் Exampஹார்டுவேரில் le
25G ஈதர்நெட் இன்டெல் FPGA IP கோர் அளவுரு எடிட்டர், வடிவமைப்பை தொகுக்கவும் கட்டமைக்கவும் உங்களை அனுமதிக்கிறது.ampஒரு இலக்கு மேம்பாட்டு கருவியில்.
வடிவமைப்பை தொகுத்து கட்டமைக்க முன்னாள்ampவன்பொருளில், பின்வரும் படிகளைப் பின்பற்றவும்:
- Intel Quartus Prime Pro பதிப்பு மென்பொருளைத் துவக்கி, வடிவமைப்பைத் தொகுக்க, செயலாக்கம் ➤ தொடக்கத் தொகுப்பைத் தேர்ந்தெடுக்கவும்.
- நீங்கள் ஒரு SRAM பொருளை உருவாக்கிய பிறகு file .sof, வன்பொருள் வடிவமைப்பை நிரல் செய்ய இந்தப் படிகளைப் பின்பற்றவும்ampஇன்டெல் அஜிலெக்ஸ் சாதனத்தில் le:
அ. கருவிகள் மெனுவில், புரோகிராமர் என்பதைக் கிளிக் செய்யவும்.
பி. புரோகிராமரில், வன்பொருள் அமைவு என்பதைக் கிளிக் செய்யவும்.
c. நிரலாக்க சாதனத்தைத் தேர்ந்தெடுக்கவும்.
ஈ. உங்கள் Intel Quartus Prime Pro பதிப்பு அமர்வில் Intel Agilex போர்டைத் தேர்ந்தெடுத்துச் சேர்க்கவும்.
இ. பயன்முறை J க்கு அமைக்கப்பட்டிருப்பதை உறுதிப்படுத்தவும்TAG.
f. Intel Agilex சாதனத்தைத் தேர்ந்தெடுத்து, சாதனத்தைச் சேர் என்பதைக் கிளிக் செய்யவும். புரோகிராமர் காட்சிப்படுத்துகிறது
உங்கள் போர்டில் உள்ள சாதனங்களுக்கு இடையிலான இணைப்புகளின் தொகுதி வரைபடம்.
g. உங்கள் .sof உள்ள வரிசையில், .sofக்கான பெட்டியைத் தேர்வு செய்யவும்.
ம. நிரல்/கட்டமைவு நெடுவரிசையில் உள்ள பெட்டியை சரிபார்க்கவும்.
நான். தொடங்கு என்பதைக் கிளிக் செய்யவும்.
1.6 F-tile 25G ஈதர்நெட் இன்டெல் FPGA IP வன்பொருள் வடிவமைப்பு Example
நீங்கள் எஃப்-டைல் 25G ஈதர்நெட் இன்டெல் FPGA ஐபி கோர் டிசைனை தொகுத்த பிறகுample மற்றும் அதை உங்கள் Intel Agilex சாதனத்தில் உள்ளமைக்கவும், நீங்கள் IP மையத்தை நிரல் செய்ய சிஸ்டம் கன்சோலைப் பயன்படுத்தலாம்.
சிஸ்டம் கன்சோலை இயக்கி, வன்பொருள் வடிவமைப்பை சோதிக்கample, இந்த வழிமுறைகளைப் பின்பற்றவும்:
- Intel Quartus Prime Pro பதிப்பு மென்பொருளில், Tools ➤ System என்பதைத் தேர்ந்தெடுக்கவும்
பிழைத்திருத்தக் கருவிகள் ➤ சிஸ்டம் கன்சோலைத் தொடங்க சிஸ்டம் கன்சோல். - Tcl கன்சோல் பலகத்தில், கோப்பகத்தை / hardware_test_design/hwtest க்கு மாற்ற cd hwtest என தட்டச்சு செய்யவும்.
- J உடன் இணைப்பைத் திறக்க, source main.tcl என உள்ளிடவும்TAG மாஸ்டர்.
வடிவமைப்பின் வன்பொருள் சோதனைப் பிரிவில் சோதனை நடைமுறையைப் பின்பற்றவும்ampசிஸ்டம் கன்சோலில் சோதனை முடிவுகளை கவனிக்கவும்.
F-tile 25G ஈதர்நெட் வடிவமைப்பு Exampஇன்டெல் அஜிலெக்ஸ் சாதனங்களுக்கான le
F-tile 25G ஈதர்நெட் வடிவமைப்பு முன்னாள்ample 25G ஈதர்நெட் இன்டெல் FPGA IP கோர் ஐப் பயன்படுத்தி Intel Agilex சாதனங்களுக்கான ஈதர்நெட் தீர்வைக் காட்டுகிறது.
வடிவமைப்பை உருவாக்கவும் exampமுன்னாள் இருந்து leample 25G ஈதர்நெட் இன்டெல் FPGA IP அளவுரு எடிட்டரின் வடிவமைப்பு தாவல். வடிவமைப்பை உருவாக்கவோ அல்லது இல்லாமல் உருவாக்குவதையும் நீங்கள் தேர்வு செய்யலாம்
Reed-Solomon Forward Error Correction (RS-FEC) அம்சம்.
2.1 அம்சங்கள்
- 25G இல் இயங்கும் ஒற்றை ஈதர்நெட் சேனலை ஆதரிக்கிறது.
- வடிவமைப்பை உருவாக்குகிறது exampRS-FEC அம்சத்துடன் le.
- டெஸ்ட்பெஞ்ச் மற்றும் சிமுலேஷன் ஸ்கிரிப்டை வழங்குகிறது.
- ஐபி உள்ளமைவின் அடிப்படையில் எஃப்-டைல் குறிப்பு மற்றும் சிஸ்டம் பிஎல்எல் கடிகாரங்கள் இன்டெல் எஃப்பிஜிஏ ஐபியை உடனடியாகத் தருகிறது.
2.2 வன்பொருள் மற்றும் மென்பொருள் தேவைகள்
இன்டெல் வடிவமைப்பை சோதிக்க பின்வரும் வன்பொருள் மற்றும் மென்பொருளைப் பயன்படுத்துகிறதுampலினக்ஸ் அமைப்பில் le:
- Intel Quartus Prime Pro பதிப்பு மென்பொருள்.
- சீமென்ஸ்* EDA QuestaSim, Synopsys* VCS மற்றும் Cadence Xcelium சிமுலேட்டர்.
- வன்பொருள் சோதனைக்காக Intel Agilex I-series Transceiver-SoC டெவலப்மெண்ட் கிட் (AGIB027R31B1E2VRO).
2.3. செயல்பாட்டு விளக்கம்
F-tile 25G ஈதர்நெட் வடிவமைப்பு முன்னாள்ample ஆனது MAC+PCS+PMA கோர் மாறுபாட்டைக் கொண்டுள்ளது. F-tile 25G ஈத்தர்நெட் வடிவமைப்பில் உள்ள MAC+PCS+PMA கோர் மாறுபாட்டின் வடிவமைப்பு கூறுகள் மற்றும் உயர்நிலை சிக்னல்களை பின்வரும் தொகுதி வரைபடங்கள் காட்டுகின்றன.ampலெ.
படம் 5. பிளாக் வரைபடம்-F-டைல் 25G ஈதர்நெட் வடிவமைப்பு Example (MAC+PCS+PMA கோர் மாறுபாடு)
2.3.1. வடிவமைப்பு கூறுகள்
அட்டவணை 4. வடிவமைப்பு கூறுகள்
கூறு | விளக்கம் |
F-tile 25G ஈதர்நெட் இன்டெல் FPGA IP | பின்வரும் உள்ளமைவுடன் MAC, PCS மற்றும் Transceiver PHY ஆகியவற்றைக் கொண்டுள்ளது: • முக்கிய மாறுபாடு: MAC+PCS+PMA • ஓட்டக் கட்டுப்பாட்டை இயக்கு: விருப்பத்திற்குரியது • இணைப்பு பிழை உருவாக்கத்தை இயக்கு: விருப்பத்திற்குரியது • முன்னுரை பாஸ்த்ரூவை இயக்கவும்: விருப்பத்திற்குரியது • புள்ளிவிவர சேகரிப்பை இயக்கு: விருப்பத்திற்குரியது • MAC புள்ளிவிவர கவுண்டர்களை இயக்கவும்: விருப்பத்திற்குரியது • குறிப்பு கடிகார அதிர்வெண்: 156.25 வடிவமைப்பிற்காக முன்னாள்ampRS-FEC அம்சத்துடன், பின்வரும் கூடுதல் அளவுரு கட்டமைக்கப்பட்டுள்ளது: • RS-FEC ஐ இயக்கவும்: விருப்பத்திற்குரியது |
எஃப்-டைல் குறிப்பு மற்றும் சிஸ்டம் பிஎல்எல் கடிகாரங்கள் இன்டெல் எஃப்பிஜிஏ ஐபி | F-Tile Reference மற்றும் System PLL Clocks Intel FPGA IP அளவுரு எடிட்டர் அமைப்புகள் F-tile 25G Ethernet Intel FPGA IP இன் தேவைகளுடன் சீரமைக்கப்படுகின்றன. நீங்கள் வடிவமைப்பை உருவாக்கினால், முன்னாள்ampபயன்படுத்துகிறது Ex. உருவாக்கவும்ample வடிவமைப்பு ஐபி அளவுரு எடிட்டரில் உள்ள பொத்தான், ஐபி தானாகவே உடனடியாகத் தொடங்குகிறது. நீங்கள் உங்கள் சொந்த வடிவமைப்பை உருவாக்கினால், முன்னாள்ample, நீங்கள் கைமுறையாக இந்த IP ஐ உடனடியாக செயல்படுத்த வேண்டும் மற்றும் அனைத்து I/O போர்ட்களையும் இணைக்க வேண்டும். இந்த ஐபி பற்றிய தகவலுக்கு, பார்க்கவும் F-டைல் கட்டிடக்கலை மற்றும் PMA மற்றும் FEC நேரடி PHY IP பயனர் வழிகாட்டி. |
வாடிக்கையாளர் தர்க்கம் | கொண்டுள்ளது: • டிராஃபிக் ஜெனரேட்டர், இது பர்ஸ்ட் பாக்கெட்டுகளை 25G ஈத்தர்நெட் இன்டெல் FPGA IP மையத்திற்கு பரிமாற்றுவதற்காக உருவாக்குகிறது. • 25G ஈதர்நெட் இன்டெல் FPGA IP மையத்திலிருந்து வரும் பர்ஸ்ட் பாக்கெட்டுகளைக் கண்காணிக்கும் ட்ராஃபிக் மானிட்டர். |
ஆதாரம் மற்றும் ஆய்வு | பிழைத்திருத்தத்திற்கு நீங்கள் பயன்படுத்தக்கூடிய கணினி மீட்டமைப்பு உள்ளீட்டு சமிக்ஞை உட்பட மூல மற்றும் ஆய்வு சமிக்ஞைகள். |
தொடர்புடைய தகவல்
F-டைல் கட்டிடக்கலை மற்றும் PMA மற்றும் FEC நேரடி PHY IP பயனர் வழிகாட்டி
உருவகப்படுத்துதல்
டெஸ்ட்பெஞ்ச் ஐபி கோர் வழியாக போக்குவரத்தை அனுப்புகிறது, டிரான்ஸ்மிட் பக்கத்தைப் பயன்படுத்துகிறது மற்றும் ஐபி மையத்தின் பக்கத்தைப் பெறுகிறது.
2.4.1. டெஸ்ட்பெஞ்ச்
படம் 6. F-டைல் 25G ஈதர்நெட் இன்டெல் FPGA IP வடிவமைப்பு Ex இன் தொகுதி வரைபடம்ample சிமுலேஷன் டெஸ்ட்பெஞ்ச்
அட்டவணை 5. டெஸ்ட்பெஞ்ச் கூறுகள்
கூறு | விளக்கம் |
சோதனையில் உள்ள சாதனம் (DUT) | 25G ஈதர்நெட் இன்டெல் FPGA IP கோர். |
ஈதர்நெட் பாக்கெட் ஜெனரேட்டர் மற்றும் பாக்கெட் மானிட்டர் | • பாக்கெட் ஜெனரேட்டர் பிரேம்களை உருவாக்கி DUTக்கு அனுப்புகிறது. • Packet Monitor TX மற்றும் RX டேட்டாபாத்களைக் கண்காணித்து, சிமுலேட்டர் கன்சோலில் ஃப்ரேம்களைக் காண்பிக்கும். |
எஃப்-டைல் குறிப்பு மற்றும் சிஸ்டம் பிஎல்எல் கடிகாரங்கள் இன்டெல் எஃப்பிஜிஏ ஐபி | டிரான்ஸ்ஸீவர் மற்றும் சிஸ்டம் பிஎல்எல் குறிப்பு கடிகாரங்களை உருவாக்குகிறது. |
2.4.2. உருவகப்படுத்துதல் வடிவமைப்பு Example கூறுகள்
அட்டவணை 6. F-tile 25G ஈதர்நெட் வடிவமைப்பு Example டெஸ்ட்பெஞ்ச் File விளக்கங்கள்
File பெயர் | விளக்கம் |
டெஸ்ட்பெஞ்ச் மற்றும் சிமுலேஷன் Files | |
அடிப்படை_avl_tb_top.v | உயர்மட்ட சோதனை பெஞ்ச் file. டெஸ்ட்பெஞ்ச் DUT ஐ நிறுவுகிறது, வடிவமைப்பு கூறுகள் மற்றும் கிளையன்ட் லாஜிக்கில் Avalon® நினைவக-மேப் செய்யப்பட்ட உள்ளமைவைச் செய்கிறது, மேலும் 25G ஈதர்நெட் இன்டெல் FPGA IPக்கு அல்லது பாக்கெட்டை அனுப்புகிறது மற்றும் பெறுகிறது. |
டெஸ்ட்பெஞ்ச் ஸ்கிரிப்ட்கள் | |
தொடர்ந்தது… |
File பெயர் | விளக்கம் |
run_vsim.do | டெஸ்ட்பெஞ்சை இயக்க ModelSim ஸ்கிரிப்ட். |
run_vcs.sh | சினாப்சிஸ் விசிஎஸ் ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்குகிறது. |
run_xcelium.sh | கேடென்ஸ் Xcelium ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்கும். |
2.4.3. சோதனை வழக்கு
உருவகப்படுத்துதல் சோதனை வழக்கு பின்வரும் செயல்களைச் செய்கிறது:
- F-tile 25G Ethernet Intel FPGA IP மற்றும் F-Tile Reference and System PLL Clocks Intel FPGA IPஐ உடனுக்குடன் வழங்குகிறது.
- RX கடிகாரம் மற்றும் PHY நிலை சமிக்ஞை செட்டில் ஆக காத்திருக்கிறது.
- PHY நிலையை அச்சிடுகிறது.
- 10 செல்லுபடியாகும் தரவை அனுப்புகிறது மற்றும் பெறுகிறது.
- முடிவுகளை பகுப்பாய்வு செய்கிறது. வெற்றிகரமான டெஸ்ட்பெஞ்ச் "டெஸ்ட்பெஞ்ச் முடிந்தது" என்பதைக் காட்டுகிறது.
பின்வரும் எஸ்ample வெளியீடு வெற்றிகரமான உருவகப்படுத்துதல் சோதனை ஓட்டத்தை விளக்குகிறது:
தொகுத்தல்
வடிவமைப்பு Ex ஐ தொகுத்தல் மற்றும் கட்டமைப்பதில் உள்ள நடைமுறையைப் பின்பற்றவும்ampவடிவமைப்பை தொகுக்கவும் கட்டமைக்கவும் வன்பொருளில் leampதேர்ந்தெடுக்கப்பட்ட வன்பொருளில் le.
தொகுத்தல்-மட்டும் வடிவமைப்பு ex ஐப் பயன்படுத்தி வளப் பயன்பாடு மற்றும் Fmax ஆகியவற்றை நீங்கள் மதிப்பிடலாம்ampலெ. இல் உள்ள Start Compilation கட்டளையைப் பயன்படுத்தி உங்கள் வடிவமைப்பைத் தொகுக்கலாம்
Intel Quartus Prime Pro பதிப்பு மென்பொருளில் செயலாக்க மெனு. ஒரு வெற்றிகரமான தொகுப்பு, தொகுப்பு அறிக்கையின் சுருக்கத்தை உருவாக்குகிறது.
மேலும் தகவலுக்கு, இன்டெல் குவார்டஸ் பிரைம் புரோ பதிப்பு பயனர் கையேட்டில் உள்ள வடிவமைப்பு தொகுப்பைப் பார்க்கவும்.
தொடர்புடைய தகவல்
- வடிவமைப்பை தொகுத்தல் மற்றும் கட்டமைத்தல் Exampபக்கம் 7 இல் வன்பொருளில் le
- இன்டெல் குவார்டஸ் பிரைம் புரோ பதிப்பு பயனர் கையேட்டில் வடிவமைப்பு தொகுப்பு
2.6. வன்பொருள் சோதனை
வன்பொருள் வடிவமைப்பில் முன்னாள்ample, நீங்கள் IP மையத்தை உள் சீரியல் லூப்பேக் பயன்முறையில் நிரல் செய்யலாம் மற்றும் பரிமாற்றப் பக்கத்தில் டிராஃபிக்கை உருவாக்கலாம், அது ரிசீவ் பக்கத்தின் வழியாக மீண்டும் சுழலும்.
வடிவமைப்பை சோதிக்க, வழங்கப்பட்ட தொடர்புடைய தகவல் இணைப்பில் உள்ள நடைமுறையைப் பின்பற்றவும்ampதேர்ந்தெடுக்கப்பட்ட வன்பொருளில் le.
தொடர்புடைய தகவல்
F-tile 25G ஈதர்நெட் இன்டெல் FPGA IP வன்பொருள் வடிவமைப்பு Exampபக்கம் 8 இல்
2.6.1. சோதனை முறை
வடிவமைப்பை சோதிக்க இந்த படிகளைப் பின்பற்றவும்ampவன்பொருளில்:
- இந்த வடிவமைப்பிற்கான வன்பொருள் சோதனையை இயக்குவதற்கு முன்ample, நீங்கள் கணினியை மீட்டமைக்க வேண்டும்:
அ. இயல்புநிலை மூல மற்றும் ஆய்வு GUIக்கான கருவிகள் ➤ இன்-சிஸ்டம் மூலங்கள் & ஆய்வுகள் எடிட்டர் கருவியைக் கிளிக் செய்யவும்.
பி. ரீசெட்களைப் பயன்படுத்த, சிஸ்டம் ரீசெட் சிக்னலை (மூல[3:0]) 7 முதல் 8 வரை மாற்றவும் மற்றும் சிஸ்டத்தை மீட்டமை நிலையிலிருந்து விடுவிக்க சிஸ்டம் ரீசெட் சிக்னலை 7 க்கு திருப்பி அனுப்பவும்.
c. ஆய்வு சிக்னல்களைக் கண்காணித்து, நிலை சரியானதா என்பதை உறுதிப்படுத்தவும். - கணினி கன்சோலில், hwtest கோப்புறைக்குச் சென்று, J ஐத் தேர்ந்தெடுக்க, source main.tcl கட்டளையை இயக்கவும்.TAG குரு. இயல்பாக, முதல் ஜேTAG ஜே மீது மாஸ்டர்TAG சங்கிலி தேர்ந்தெடுக்கப்பட்டது. தேர்ந்தெடுக்க ஜேTAG Intel Agilex சாதனங்களுக்கான மாஸ்டர், இந்த கட்டளையை இயக்கவும்: set_jtag <number of appropriate JTAG மாஸ்டர்>. Example: set_jtag 1.
- தொடர் லூப்பேக் சோதனையைத் தொடங்க, கணினி கன்சோலில் பின்வரும் கட்டளைகளை இயக்கவும்:
அட்டவணை 7. கட்டளை அளவுருக்கள்
அளவுரு | விளக்கம் | Example பயன்பாடு |
chkphy_status | கடிகார அதிர்வெண்கள் மற்றும் PHY பூட்டு நிலையைக் காட்டுகிறது. | % chkphy_status 0 # இணைப்பின் நிலையை சரிபார்க்கவும் 0 |
chkmac_stats | MAC புள்ளியியல் கவுண்டர்களில் மதிப்புகளைக் காட்டுகிறது. | % chkmac_stats 0 # இணைப்பு 0 இன் மேக் புள்ளிவிவர கவுண்டரைச் சரிபார்க்கிறது |
clear_all_stats | ஐபி கோர் புள்ளியியல் கவுண்டர்களை அழிக்கிறது. | % clear_all_stats 0 # இணைப்பு 0 இன் புள்ளிவிவர கவுண்டரை அழிக்கிறது |
தொடக்க_ஜென் | பாக்கெட் ஜெனரேட்டரைத் தொடங்குகிறது. | % start_gen 0 # இணைப்பு 0 இல் பாக்கெட் உருவாக்கத்தைத் தொடங்கவும் |
நிறுத்த_ஜென் | பாக்கெட் ஜெனரேட்டரை நிறுத்துகிறது. | % stop_gen 0 # இணைப்பு 0 இல் பாக்கெட் உருவாக்கத்தை நிறுத்துங்கள் |
லூப்_ஆன் | உள் சீரியல் லூப்பேக்கை இயக்குகிறது. | % loop_on 0 # இணைப்பு 0 இல் உள் சுழற்சியை இயக்கவும் |
லூப்_ஆஃப் | உள் சீரியல் லூப்பேக்கை முடக்குகிறது. | % loop_off 0 # இணைப்பு 0 இல் உள்ளக லூப்பேக்கை அணைக்கவும் |
reg_read | ஐபி கோர் பதிவு மதிப்பை வழங்கும் . | % reg_read 0x402 # இணைப்பு 402 இன் முகவரி 0 இல் IP CSR பதிவேட்டைப் படிக்கவும் |
reg_write | எழுதுகிறார் முகவரியில் உள்ள IP கோர் பதிவேட்டில் . | % reg_write 0x401 0x1 # இணைப்பு 0 இன் முகவரி 1 இல் IP CSR கீறல் பதிவேட்டில் 401x0 எழுதவும் |
அ. loop_on என தட்டச்சு செய்யவும் உள் தொடர் லூப்பேக் பயன்முறையை இயக்கவும்.
பி. chkphy_status என தட்டச்சு செய்க PHY இன் நிலையை சரிபார்க்க. TXCLK, RXCLK மற்றும் RX நிலை ஆகியவை நிலையான இணைப்பிற்கு கீழே காட்டப்பட்டுள்ள அதே மதிப்புகளைக் கொண்டிருக்க வேண்டும்:
c. clear_all_stats என தட்டச்சு செய்யவும் TX மற்றும் RX புள்ளியியல் பதிவேடுகளை அழிக்க.
ஈ. start_gen என தட்டச்சு செய்யவும் பாக்கெட் உற்பத்தியை தொடங்க வேண்டும்.
இ. stop_gen என தட்டச்சு செய்யவும் பாக்கெட் உற்பத்தியை நிறுத்த வேண்டும்.
f. chkmac_stats என உள்ளிடவும் TX மற்றும் RX புள்ளியியல் கவுண்டர்களைப் படிக்க. என்பதை உறுதிப்படுத்தவும்:
நான். அனுப்பப்பட்ட பாக்கெட் பிரேம்கள் பெறப்பட்ட பாக்கெட் பிரேம்களுடன் பொருந்துகின்றன.
ii பிழை சட்டங்கள் பெறப்படவில்லை.
g. loop_off என தட்டச்சு செய்யவும் உள் தொடர் சுழற்சியை அணைக்க.
படம் 7. Sample சோதனை வெளியீடு-TX மற்றும் RX புள்ளியியல் கவுண்டர்கள்
![]() |
![]() |
F-tile 25G ஈதர்நெட் FPGA IP வடிவமைப்புக்கான ஆவண திருத்த வரலாறு Example பயனர் வழிகாட்டி
ஆவணப் பதிப்பு | இன்டெல் குவார்டஸ் பிரைம் பதிப்பு | ஐபி பதிப்பு | மாற்றங்கள் |
2022.10.14 | 22.3 | 1.0.0 | ஆரம்ப வெளியீடு. |
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ஐஎஸ்ஓ
9001:2015
பதிவு செய்யப்பட்டது
ஆன்லைன் பதிப்பு
கருத்தை அனுப்பவும்
ஐடி: 750200
பதிப்பு: 2022.10.14
ஆவணங்கள் / ஆதாரங்கள்
![]() |
intel F-Tile 25G ஈதர்நெட் FPGA IP வடிவமைப்பு Example [pdf] பயனர் வழிகாட்டி F-Tile 25G ஈதர்நெட் FPGA IP வடிவமைப்பு Example, F-Tile 25G, F-Tile 25G ஈதர்நெட் FPGA, FPGA IP வடிவமைப்பு Example, IP வடிவமைப்பு Exampலெ, 750200 |