ኢንቴል አርማFPGA አይፒ
ንድፍ Example የተጠቃሚ መመሪያ
F-Tile 25G ኢተርኔት Intel®
ለ Intel® Quartus® ተዘምኗል
ዋና ንድፍ ስዊት: 22.3
የአይፒ ስሪት: 1.0.0

ፈጣን ጅምር መመሪያ

የF-tile 25G ኤተርኔት ኢንቴል FPGA IP ለኢንቴል አጊሌክስ ™ መሳሪያዎች የቀድሞ ዲዛይን የማመንጨት አቅም ይሰጣልamples ለተመረጡት ውቅሮች.
ምስል 1. ንድፍ Example አጠቃቀም

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 1

ማውጫ መዋቅር

ምስል 2. 25G ኤተርኔት ኢንቴል FPGA IP ንድፍ Example ማውጫ መዋቅር

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 2

  • ማስመሰል files (የሙከራ ቤንች ለማስመሰል ብቻ) ይገኛሉample_dir>/ ለምሳሌample_testbench.
  • የማጠናቀር-ብቻ ንድፍ example በ ውስጥ ይገኛል።ample_dir>/ የማጠናቀር_ሙከራ_ንድፍ።
  • የሃርድዌር ውቅር እና ሙከራ files (ንድፍ ለምሳሌample in ሃርድዌር) ውስጥ ይገኛሉample_dir>/ሃርድዌር_ፈተና_ንድፍ።

ሠንጠረዥ 1. ማውጫ እና File መግለጫዎች

File ስሞች መግለጫ
eth_ex_25g.qpf Intel Quartus® Prime ፕሮጀክት file.
eth_ex_25g.qsf Intel Quartus Prime የፕሮጀክት ቅንብሮች file.
eth_ex_25g.sdc ሲኖፕሲዎች የንድፍ ገደቦች file. ይህንን መቅዳት እና ማሻሻል ይችላሉ። file ለራስዎ 25GbE Intel FPGA IP core ንድፍ.
eth_ex_25g.v ከፍተኛ-ደረጃ Verilog HDL ንድፍ ምሳሌample file. ነጠላ-ሰርጥ ንድፍ Verilog ይጠቀማል file.
የተለመደ/ የሃርድዌር ንድፍ ለምሳሌampድጋፍ files.
hwtest/main.tcl ዋና file የስርዓት ኮንሶልን ለመድረስ።

ንድፍ በማመንጨት ላይ Example

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 3

ምስል 4. Example የንድፍ ታብ በF-tile 25G ኢተርኔት ኢንቴል FPGA IP ፓራሜትር አርታዒ

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 4

የሃርድዌር ንድፍ ለማመንጨት እነዚህን ደረጃዎች ይከተሉample እና testbench:

  1. በ Intel Quartus Prime Pro እትም ውስጥ ጠቅ ያድርጉ File ➤ አዲስ የፕሮጀክት አዋቂ አዲስ የኳርትስ ፕራይም ፕሮጄክት ለመፍጠር ወይም File ➤ የኳርትስ ፕራይም ፕሮጄክት ለመክፈት ፕሮጀክት ይክፈቱ። ጠንቋዩ መሣሪያን እንዲገልጹ ይጠይቅዎታል።
  2. በአይፒ ካታሎግ ውስጥ 25G ኢተርኔት ኢንቴል FPGA IP ለ Agilex ይፈልጉ እና ይምረጡ። አዲሱ የአይፒ ልዩነት መስኮት ይታያል.
  3. ለአይፒ ልዩነትዎ የከፍተኛ ደረጃ ስም ይግለጹ እና እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒው ከፍተኛ ደረጃን .ip ያክላል file ወደ የአሁኑ ፕሮጀክት በራስ-ሰር. .ip ን እራስዎ ለመጨመር ከተጠየቁ file ወደ ፕሮጀክቱ ፕሮጀክት ➤ አክል/አስወግድ የሚለውን ይንኩ። Fileለመጨመር በፕሮጀክት ውስጥ file.
  4. በIntel Quartus Prime Pro እትም ሶፍትዌር ውስጥ በመሳሪያው መስክ ውስጥ የተወሰነ የኢንቴል አጊሊክስ መሳሪያ መምረጥ አለቦት ወይም ኢንቴል ኳርተስ ፕራይም ሶፍትዌር ያቀረበውን ነባሪ መሳሪያ ማቆየት።
    ማስታወሻ፡- የሃርድዌር ንድፍ ለምሳሌample ምርጫውን በታለመው ሰሌዳ ላይ ባለው መሳሪያ ይተካዋል። የዒላማ ሰሌዳውን ከዲዛይን ዝርዝር ውስጥ ይገልጻሉ exampበ Example ንድፍ ትር.
  5. እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒው ይታያል.
  6. በአይፒ ትሩ ላይ የእርስዎን የአይፒ ዋና ልዩነት መለኪያዎችን ይግለጹ።
  7. በኤክስample ንድፍ ትር፣ ለኤክስample ንድፍ Fileዎች፣ የሙከራ ቤንች ለማመንጨት የሲሙሌሽን አማራጩን ይምረጡ እና የሃርድዌር ዲዛይን ለማመንጨት የSynthesis አማራጭን ይምረጡampለ. Verilog HDL ብቻ files የሚፈጠሩ ናቸው።
    ማስታወሻ፡- የሚሰራ VHDL IP ኮር የለም። ለእርስዎ የአይፒ ኮር ዲዛይን የቀድሞ Verilog HDL ብቻ ይጥቀሱampለ.
  8. ለዒላማ ልማት ኪት፣Agilex I-series Transceiver-SoC Dev Kit የሚለውን ይምረጡ
  9. Ex Generate ን ጠቅ ያድርጉample ንድፍ አዝራር. ምረጥ Example ንድፍ ማውጫ መስኮት ይታያል.
  10. ዲዛይኑን ማስተካከል ከፈለጉ example directory ዱካ ወይም ከሚታየው ነባሪዎች ስም (alt_e25_f_0_example_design)፣ ወደ አዲሱ መንገድ ያስሱ እና አዲሱን ንድፍ ይተይቡ የቀድሞampየ ማውጫ ስም (ample_dir>)።
  11. እሺን ጠቅ ያድርጉ።

1.2.1. ንድፍ Example መለኪያዎች
ሠንጠረዥ 2. መለኪያዎች በኤክስample ንድፍ ትር

መለኪያ መግለጫ
Example ንድፍ ይገኛል exampለ IP መለኪያ ቅንጅቶች ንድፎች. ነጠላ-ሰርጥ ብቻ ለምሳሌample ንድፍ ለዚህ አይፒ ይደገፋል።
Example ንድፍ Files የ fileለተለያዩ የእድገት ደረጃዎች ማመንጨት.
• ማስመሰል—አስፈላጊውን ያመነጫል። fileየቀድሞውን ለማስመሰል sampንድፍ.
• ውህደቱን ያመነጫል። fileኤስ. እነዚህን ተጠቀም files ንድፉን በ Intel Quartus Prime Pro Edition ሶፍትዌር ለሃርድዌር ሙከራ ለማጠናቀር እና የማይንቀሳቀስ የጊዜ ትንተና ለማካሄድ።
ማመንጨት File ቅርጸት የ RTL ቅርጸት files ለ ማስመሰል-Verilog.
ቦርድ ይምረጡ ለዲዛይን ትግበራ የሚደገፍ ሃርድዌር። የኢንቴል FPGA ልማት ሰሌዳን በሚመርጡበት ጊዜ AGIB027R31B1E2VROን እንደ የንድፍ ዒላማ መሣሪያ ይጠቀሙampትውልድ ።
Agilex I-series Transceiver-SoC Dev Kit፡ ይህ አማራጭ የዲዛይኑን የቀድሞ ለመሞከር ያስችልዎታልampበተመረጠው ኢንቴል FPGA IP ልማት ኪት ላይ። ይህ አማራጭ የAGIB027R31B1E2VRO ዒላማ መሣሪያን በራስ-ሰር ይመርጣል። የቦርድ ክለሳዎ የተለየ የመሣሪያ ደረጃ ካለው፣ የታለመውን መሣሪያ መቀየር ይችላሉ።
የለም፡ ይህ አማራጭ ለዲዛይን የቀድሞ የሃርድዌር ገጽታዎችን አያካትትምampለ.

1.3. ንጣፍ በማመንጨት ላይ Files

የድጋፍ-አመክንዮ ትውልድ ከሰድር ጋር የተያያዘ ለማመንጨት ጥቅም ላይ የሚውል የቅድመ-ውህደት እርምጃ ነው። fileለማስመሰል እና ለሃርድዌር ዲዛይን የሚያስፈልጉ ዎች። የሰድር ማመንጨት ለሁሉም ያስፈልጋል
F-tile ላይ የተመሰረቱ የንድፍ ማስመሰያዎች። ይህን ደረጃ ከማስመሰልዎ በፊት ማጠናቀቅ አለብዎት።

  1. በትዕዛዝ መጠየቂያው ላይ፣ በእርስዎ የቀድሞ ውስጥ ወዳለው compilation_test_design አቃፊ ይሂዱample ንድፍ: ሲዲ /ስብስብ_ሙከራ_ንድፍ።
  2. የሚከተለውን ትዕዛዝ ያሂዱ፡ quartus_tlg alt_eth_25g

1.4. የF-tile 25G ኢተርኔት ኢንቴል FPGA IP ንድፍን በማስመሰል ላይ 
Example Testbench
ከትእዛዝ መጠየቂያው የማስመሰል ስክሪፕትን በማሄድ ንድፉን ማጠናቀር እና ማስመሰል ይችላሉ።

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 5

  1. በትዕዛዝ መጠየቂያው ላይ የ testbench ማስመሰል የስራ ማውጫን ይቀይሩ፡ ሲዲample_dir>/ex_25g/sim.
  2. የአይፒ ማዋቀር ማስመሰልን ያሂዱ፡ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf

ሠንጠረዥ 3. ቴስትቤንች ለማስመሰል ደረጃዎች

አስመሳይ መመሪያዎች
ቪሲኤስ* በትእዛዝ መስመር sh run_vcs.sh ብለው ይፃፉ
QuestaSim* በትእዛዝ መስመር vsim -do run_vsim.do -log ብለው ይፃፉfile vsim.log
የ QuestaSim GUI ን ሳያመጡ ማስመሰል ከመረጡ vsim -c -do run_vsim.do -log ብለው ይተይቡfile vsim.log
Cadence -Xcelium* በትእዛዝ መስመር sh run_xcelium.sh ብለው ይፃፉ

የተሳካ የማስመሰል ስራ በሚከተለው መልእክት ያበቃል።
ማስመሰል አልፏል። ወይም Testbench ተጠናቋል።
በተሳካ ሁኔታ ከተጠናቀቀ በኋላ ውጤቱን መተንተን ይችላሉ.
1.5. ንድፉን ማጠናቀር እና ማዋቀር Example በሃርድዌር ውስጥ
የ25ጂ ኢተርኔት ኢንቴል FPGA IP ኮር ፓራሜትር አርታዒ የቀድሞውን ንድፍ እንዲያጠናቅሩ እና እንዲያዋቅሩ ያስችልዎታል።ampለታለመው ልማት ኪት.

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 6

አንድ ንድፍ ለማጠናቀር እና ለማዋቀር exampበሃርድዌር ላይ የሚከተሉትን ደረጃዎች ይከተሉ

  1. የIntel Quartus Prime Pro Edition ሶፍትዌር ያስጀምሩ እና ንድፉን ለማጠናቀር ፕሮሰሲንግ ➤ Start Compilation የሚለውን ይምረጡ።
  2. የ SRAM ነገር ካመነጩ በኋላ file .sof፣ የሃርድዌር ዲዛይን ለማቀድ እነዚህን ደረጃዎች ይከተሉampበ Intel Agilex መሣሪያ ላይ:
    ሀ. በመሳሪያዎች ሜኑ ላይ ፕሮግራመርን ጠቅ ያድርጉ።
    ለ. በፕሮግራመር ውስጥ የሃርድዌር ማዋቀርን ጠቅ ያድርጉ።
    ሐ. ፕሮግራሚንግ መሳሪያ ይምረጡ።
    መ. የኢንቴል አጊሊክስ ሰሌዳን ይምረጡ እና ወደ የእርስዎ Intel Quartus Prime Pro Edition ክፍለ ጊዜ ያክሉ።
    ሠ. ሁነታ ወደ ጄ መዘጋጀቱን ያረጋግጡTAG.
    ረ. የኢንቴል አጊሊክስ መሣሪያን ይምረጡ እና መሣሪያ አክል የሚለውን ጠቅ ያድርጉ። ፕሮግራመር ያሳያል
    በቦርድዎ ላይ ባሉ መሳሪያዎች መካከል ያለውን የግንኙነት ንድፍ አግድ.
    ሰ. ከሶፍዎ ጋር ባለው ረድፍ ላይ ለሶፍ ሳጥኑ ላይ ምልክት ያድርጉ።
    ሸ. በፕሮግራም/አዋቅር አምድ ውስጥ ባለው ሳጥን ላይ ምልክት ያድርጉ።
    እኔ. ጀምርን ጠቅ ያድርጉ።

1.6. የF-tile 25G Ethernet Intel FPGA IP Hardware Design በመሞከር ላይample
የF-tile 25G ኢተርኔት ኢንቴል FPGA IP ኮር ዲዛይን ካጠናቀርክ በኋላample እና በእርስዎ Intel Agilex መሣሪያ ላይ ያዋቅሩት፣ የአይፒ ኮር ፕሮግራም ለማድረግ የስርዓት ኮንሶሉን መጠቀም ይችላሉ።
የሲስተም ኮንሶሉን ለማብራት እና የሃርድዌር ዲዛይን ለምሳሌampየሚከተሉትን ደረጃዎች ይከተሉ

  1. በIntel Quartus Prime Pro Edition ሶፍትዌር ውስጥ Tools ➤ ሲስተምን ይምረጡ
    የማረም መሳሪያዎች ➤ የስርዓት ኮንሶል የሲስተሙን ኮንሶል ለመጀመር።
  2. በTcl Console መቃን ውስጥ ማውጫን ወደ / hardware_test_design/hwtest ለመቀየር cd hwtest ብለው ይተይቡ።
  3. ከጄ ጋር ግንኙነት ለመክፈት ምንጩን main.tcl ይተይቡTAG መምህር።

በንድፍ ውስጥ በሃርድዌር መሞከሪያ ክፍል ውስጥ የሙከራ ሂደቱን ይከተሉample እና የሙከራ ውጤቶቹን በSystem Console ውስጥ ይመልከቱ።

F-tile 25G የኤተርኔት ንድፍ Example ለ Intel Agilex መሳሪያዎች

የF-tile 25G የኤተርኔት ንድፍ ምሳሌample 25G ኢተርኔት ኢንቴል FPGA IP ኮርን በመጠቀም ለIntel Agilex መሳሪያዎች የኤተርኔት መፍትሄን ያሳያል።
ዲዛይኑን ይፍጠሩ example ከኤክስampየ25ጂ ኢተርኔት ኢንቴል FPGA IP መለኪያ አርታዒ ንድፍ ትር። እንዲሁም ንድፉን ከ ጋር ወይም ያለሱ ለማመንጨት መምረጥ ይችላሉ
የሪድ-ሰለሞን አስተላላፊ ስህተት እርማት (RS-FEC) ባህሪ።
2.1. ባህሪያት

  • በ25ጂ የሚሰራ ነጠላ የኤተርኔት ቻናል ይደግፋል።
  • ንድፍ ያመነጫል example ከ RS-FEC ባህሪ ጋር።
  • testbench እና የማስመሰል ስክሪፕት ያቀርባል።
  • ፈጣን የF-Tile ማጣቀሻ እና የስርዓት PLL በአይፒ ውቅረት ላይ በመመስረት ኢንቴል FPGA አይ ፒን ይዘጋል።

2.2. የሃርድዌር እና የሶፍትዌር መስፈርቶች
ኢንቴል ዲዛይኑን ለመፈተሽ የሚከተለውን ሃርድዌር እና ሶፍትዌር ይጠቀማልampበሊኑክስ ሲስተም ውስጥ

  • Intel Quartus Prime Pro እትም ሶፍትዌር.
  • Siemens* EDA QuestaSim፣ Synopsys* VCS፣ እና Cadence Xcelium simulator።
  • Intel Agilex I-series Transceiver-SoC Development Kit (AGIB027R31B1E2VRO) ለሃርድዌር ሙከራ።

2.3. ተግባራዊ መግለጫ
የF-tile 25G የኤተርኔት ንድፍ ምሳሌample የማክ+ፒሲኤስ+ፒኤምኤ ዋና ልዩነትን ያካትታል። የሚከተሉት የማገጃ ሥዕላዊ መግለጫዎች የንድፍ ክፍሎችን እና የ MAC+ PCS+PMA ኮር ተለዋጭ የከፍተኛ ደረጃ ምልክቶችን በF-tile 25G ኢተርኔት ንድፍ የቀድሞ ያሳያሉ።ampለ.
ምስል 5. ንድፍ አግድ—F-tile 25G የኤተርኔት ዲዛይን Example (MAC+PCS+PMA ኮር ተለዋጭ)

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 7

2.3.1. የንድፍ እቃዎች
ሠንጠረዥ 4. የንድፍ እቃዎች

አካል መግለጫ
F-tile 25G ኤተርኔት ኢንቴል FPGA አይፒ MAC፣ PCS እና Transceiver PHYን ከሚከተለው ውቅር ጋር ያካትታል፡
ኮር ተለዋጭ፡ ማክ + ፒሲኤስ + ፒኤምኤ
የፍሰት መቆጣጠሪያን አንቃ: አማራጭ
የአገናኝ ስህተት መፍጠርን አንቃ: አማራጭ
መግቢያ ማለፊያን አንቃ: አማራጭ
የስታቲስቲክስ ስብስብን አንቃ: አማራጭ
የማክ ስታትስቲክስ ቆጣሪዎችን አንቃ: አማራጭ
የማጣቀሻ ሰዓት ድግግሞሽ: 156.25
ለዲዛይኑ የቀድሞampከ RS-FEC ባህሪ ጋር የሚከተለው ተጨማሪ መለኪያ ተዋቅሯል፡
RS-FECን አንቃ: አማራጭ
F-Tile ማጣቀሻ እና የስርዓት PLL ኢንቴል FPGA አይ ፒን ይዘጋል። የF-Tile ማጣቀሻ እና የስርዓት PLL የIntel FPGA IP መለኪያ አርታዒ ቅንብሮችን ከF-tile 25G Ethernet Intel FPGA IP መስፈርቶች ጋር ይጣጣማል። ዲዛይኑን ከፈጠሩ exampበመጠቀም Ex. ፍጠርample ንድፍ በአይ ፒ ፓራሜትር አርታዒ ውስጥ ያለው አዝራር ፣ አይፒው በራስ-ሰር በፍጥነት ይሠራል። የራስዎን ንድፍ ከፈጠሩ exampይህንን አይፒ እራስዎ ማፋጠን እና ሁሉንም የአይ/ኦ ወደቦች ማገናኘት አለብዎት።
ስለዚህ አይፒ መረጃ ለማግኘት ይመልከቱ F-Tile Architecture እና PMA እና FEC ቀጥተኛ የPHY IP የተጠቃሚ መመሪያ.
የደንበኛ አመክንዮ ያካትታል፡
• የትራፊክ ጀነሬተር፣ ወደ 25G ኢተርኔት ኢንቴል FPGA IP ኮር ለስርጭት ፍንዳታ ፓኬቶችን የሚያመነጭ።
• ከ25ጂ ኢተርኔት ኢንቴል FPGA IP ኮር የሚመጡ ፍንዳታ ፓኬቶችን የሚከታተል የትራፊክ መቆጣጠሪያ።
ምንጭ እና ምርመራ የስርዓት ዳግም ማስጀመሪያ ግቤት ሲግናልን ጨምሮ የምንጭ እና የመመርመሪያ ምልክቶች፣ ለማረም ሊጠቀሙበት ይችላሉ።

ተዛማጅ መረጃ
F-Tile Architecture እና PMA እና FEC ቀጥተኛ የPHY IP የተጠቃሚ መመሪያ

ማስመሰል

የሙከራ ወንበሩ ትራፊክን በአይፒ ኮር በኩል ይልካል ፣ የማስተላለፊያውን ጎን በመለማመድ እና የአይፒ ኮር ጎን ይቀበላል።
2.4.1. ቴስትቤንች
ምስል 6. የF-tile 25G ኢተርኔት ኢንቴል FPGA IP ንድፍ አግድ ንድፍample Simulation Testbench

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 8

ሠንጠረዥ 5. Testbench ክፍሎች

አካል መግለጫ
በሙከራ ላይ ያለ መሳሪያ (DUT) የ25ጂ ኢተርኔት ኢንቴል FPGA IP ኮር።
የኤተርኔት ፓኬት ጀነሬተር እና ፓኬት መቆጣጠሪያ • ፓኬት ጀነሬተር ፍሬሞችን ያመነጫል እና ወደ DUT ያስተላልፋል።
• ፓኬት ሞኒተር TX እና RX ዳታ ዱካዎችን ይቆጣጠራል እና ፍሬሞችን በሲሙሌተር ኮንሶል ውስጥ ያሳያል።
F-Tile ማጣቀሻ እና የስርዓት PLL ኢንቴል FPGA አይ ፒን ይዘጋል። ትራንስሴቨር እና የስርዓት PLL ማጣቀሻ ሰዓቶችን ያመነጫል።

2.4.2. የማስመሰል ንድፍ Example ክፍሎች
ሠንጠረዥ 6. F-tile 25G የኤተርኔት ዲዛይን ዘፀample Testbench File መግለጫዎች

File ስም መግለጫ
Testbench እና ማስመሰል Files
መሰረታዊ_avl_tb_top.v ከፍተኛ-ደረጃ testbench file. ቴስትቤንች DUT ን ያፋጥነዋል፣ በንድፍ ክፍሎች እና በደንበኛ አመክንዮ ላይ አቫሎን® ሜሞሪ-ካርታ ያለው ውቅር ያከናውናል፣ እና ፓኬት ወደ 25G ኢተርኔት ኢንቴል FPGA አይ ፒ ይልካል እና ይቀበላል።
Testbench ስክሪፕቶች
ቀጠለ…
File ስም መግለጫ
አሂድ_vsim.do የሙከራ ወንበሩን ለማስኬድ የሞዴል ሲም ስክሪፕት።
አሂድ_vcs.sh የሙከራ ወንበሩን ለማስኬድ የሲኖፕሲው ቪሲኤስ ስክሪፕት።
አሂድ_xcelium.sh የሙከራ ቤንች ለማሄድ የ Cadence Xcelium ስክሪፕት።

2.4.3. የሙከራ ጉዳይ
የማስመሰል ሙከራ ጉዳይ የሚከተሉትን ድርጊቶች ይፈጽማል።

  1. ፈጣን የF-tile 25G ኢተርኔት ኢንቴል FPGA IP እና F-Tile ማጣቀሻ እና የስርዓት PLL ኢንቴል FPGA አይፒን ይዘጋል።
  2. የRX ሰዓት እና የPHY ሁኔታ ሲግናል እስኪስተካከል ይጠብቃል።
  3. የPHY ሁኔታን ያትማል።
  4. 10 ትክክለኛ ውሂብ ይልካል እና ይቀበላል።
  5. ውጤቱን ይመረምራል. የተሳካው የሙከራ ቤንች “Testbench ተጠናቋል”ን ያሳያል።

የሚከተሉት sample ውፅዓት የተሳካ የማስመሰል ሙከራን ያሳያል፡-

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 9

ማጠናቀር

ንድፉን በማጠናቀር እና በማዋቀር ላይ ያለውን አሰራር ይከተሉ Example in Hardware ንድፉን ለማጠናቀር እና ለማዋቀር exampበተመረጠው ሃርድዌር ውስጥ.
የቅንጅት-ብቻውን ንድፍ በመጠቀም የሀብት አጠቃቀምን እና Fmaxን መገመት ይችላሉ።ampለ. በ ላይ ያለውን የጀምር ማጠናቀር ትዕዛዝ በመጠቀም ንድፍዎን ማጠናቀር ይችላሉ
በIntel Quartus Prime Pro እትም ሶፍትዌር ውስጥ የማስኬጃ ምናሌ። የተሳካ ጥንቅር የማጠናቀር ሪፖርቱን ማጠቃለያ ያመነጫል።
ለበለጠ መረጃ በIntel Quartus Prime Pro እትም የተጠቃሚ መመሪያ ውስጥ ያለውን የንድፍ ማጠናቀርን ይመልከቱ።
ተዛማጅ መረጃ

  • ንድፉን ማጠናቀር እና ማዋቀር Example in Hardware በገጽ 7 ላይ
  • በ Intel Quartus Prime Pro እትም የተጠቃሚ መመሪያ ውስጥ የንድፍ ማጠናቀር

2.6. የሃርድዌር ሙከራ
በሃርድዌር ንድፍ ውስጥ ለምሳሌampየአይፒ ኮርን በውስጣዊ ተከታታይ loopback ሁነታ ፕሮግራም ማድረግ እና በተቀባዩ በኩል ወደ ኋላ በሚዞረው የማስተላለፊያ በኩል ትራፊክ መፍጠር ይችላሉ።
ንድፉን ለመፈተሽ በቀረበው ተዛማጅ የመረጃ ማገናኛ ላይ ሂደቱን ይከተሉampበተመረጠው ሃርድዌር ውስጥ.
ተዛማጅ መረጃ
የF-tile 25G Ethernet Intel FPGA IP Hardware Design በመሞከር ላይampገጽ 8 ላይ
2.6.1. የሙከራ አሠራር
ዲዛይኑን ለመፈተሽ እነዚህን ደረጃዎች ይከተሉampበሃርድዌር ውስጥ;

  1. ለዚህ ንድፍ የቀድሞ የሃርድዌር ሙከራን ከማካሄድዎ በፊትample, ስርዓቱን እንደገና ማስጀመር አለብዎት:
    ሀ. ቱልስ የሚለውን ጠቅ ያድርጉ ➤ In-System Sources & Probes Editor tool for the default Source እና Probe GUI።
    ለ. ዳግም ማስጀመሪያዎቹን ለመተግበር የሲስተሙን ዳግም ማስጀመሪያ ምልክት (ምንጭ[3፡0]) ከ7 ወደ 8 ይቀያይሩ እና ስርዓቱን ከዳግም ማስጀመሪያ ሁኔታ ለመልቀቅ የሲስተሙን ዳግም ማስጀመሪያ ምልክት ወደ 7 ይመልሱ።
    ሐ. የፕሮብ ምልክቶችን ይቆጣጠሩ እና ሁኔታው ​​ትክክለኛ መሆኑን ያረጋግጡ።
  2. በሲስተም ኮንሶል ውስጥ ወደ hwtest አቃፊ ይሂዱ እና ትዕዛዙን ያሂዱ: ምንጭ main.tcl J ለመምረጥTAG መምህር። በነባሪነት የመጀመሪያው ጄTAG በጄ ላይ ማስተርTAG ሰንሰለት ተመርጧል. ጄን ለመምረጥTAG ዋና ለ Intel Agilex መሳሪያዎች፣ ይህን ትዕዛዝ ያሂዱ፡ set_jtag <number of appropriate JTAG ጌታው> ምሳሌample፡ set_jtag 1.
  3. ተከታታይ loopback ሙከራን ለመጀመር በሲስተም ኮንሶል ውስጥ የሚከተሉትን ትዕዛዞች ያሂዱ፡-

ሠንጠረዥ 7. የትዕዛዝ መለኪያዎች

መለኪያ መግለጫ Example አጠቃቀም
chkphy_ሁኔታ የሰዓት ድግግሞሾችን እና የPHY መቆለፊያ ሁኔታን ያሳያል። % chkphy_status 0 # የአገናኝ 0 ሁኔታን ያረጋግጡ
chkmac_stats በ MAC ስታቲስቲክስ ቆጣሪዎች ውስጥ ያሉትን ዋጋዎች ያሳያል. % chkmac_stats 0 # የማክ ስታትስቲክስ ቆጣሪ የሊንክ 0ን ያረጋግጣል
ሁሉንም_ስታቲስቲክስ_አጽዳ የአይፒ ኮር ስታቲስቲክስ ቆጣሪዎችን ያጸዳል። % clear_all_stats 0 # የ 0 ስታቲስቲክስ ቆጣሪን ያጸዳል።
ጀማሪ_ጀን የፓኬት ማመንጫውን ይጀምራል. % start_gen 0 # ፓኬት ማመንጨትን በሊንክ 0 ጀምር
stop_gen የፓኬት ማመንጫውን ያቆማል. % stop_gen 0 # ፓኬት ማመንጨትን በሊንክ 0 አቁም
loop_ላይ የውስጥ ተከታታይ loopback ያበራል። % loop_on 0 # የውስጥ loopbackን በአገናኝ 0 ላይ ያብሩ
ምልልስ_ጠፍቷል። የውስጥ ተከታታይ loopback ያጠፋል። % loop_off 0 # በሊንኩ 0 ላይ የውስጥ መልሶ ማግኛን ያጥፉ
reg_አንብብ የአይፒ ኮር መመዝገቢያ ዋጋን በ ላይ ይመልሳል . % reg_read 0x402 # የ IP CSR ምዝገባን በአድራሻ 402 አንብብ 0
reg_ጻፍ ይጽፋል በአድራሻ ወደ IP ዋና መዝገብ . % reg_write 0x401 0x1 # 0x1 ወደ IP CSR የጭረት መመዝገቢያ 401 በአድራሻ 0 ይፃፉ

ሀ. loop_on ይተይቡ የውስጥ ተከታታይ loopback ሁነታን ለማብራት.
ለ. chkphy_status ይተይቡ የPHY ሁኔታን ለማረጋገጥ. ለተረጋጋ አገናኝ የTXCLK፣ RXCLK እና RX ሁኔታ ከታች የሚታዩት ተመሳሳይ እሴቶች ሊኖራቸው ይገባል፡

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 10

ሐ. clear_all_stats ይተይቡ TX እና RX ስታቲስቲክስ መዝገቦችን ለማጽዳት.
መ. start_gen ይተይቡ ፓኬት ማመንጨት ለመጀመር.
ሠ. stop_gen ይተይቡ የፓኬት ማመንጨትን ለማቆም.
ረ. chkmac_stats ይተይቡ የ TX እና RX ስታቲስቲክስ ቆጣሪዎችን ለማንበብ. እርግጠኛ ሁን:
እኔ. የተላለፉት የፓኬት ክፈፎች ከተቀበሉት የፓኬት ፍሬሞች ጋር ይዛመዳሉ።
ii. ምንም የስህተት ፍሬሞች አልተቀበሉም።
ሰ. loop_off ብለው ይተይቡ የውስጥ ተከታታይ loopback ለማጥፋት.
ምስል 7. Sample የሙከራ ውጤት-TX እና RX ስታቲስቲክስ ቆጣሪዎች

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 11 intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - 12

የሰነድ ማሻሻያ ታሪክ ለF-tile 25G ኢተርኔት FPGA IP ንድፍ Example የተጠቃሚ መመሪያ

የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
2022.10.14 22.3 1.0.0 የመጀመሪያ ልቀት

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትዕዛዝ ከማቅረባቸው በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
አይኤስኦ
9001፡2015
ተመዝግቧል

ኢንቴል አርማintel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - አዶ1 የመስመር ላይ ስሪት
intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example - አዶ ግብረ መልስ ላክ
መታወቂያ፡ 750200
ስሪት: 2022.10.14

ሰነዶች / መርጃዎች

intel F-Tile 25G ኢተርኔት FPGA IP ንድፍ Example [pdf] የተጠቃሚ መመሪያ
F-Tile 25G ኤተርኔት FPGA IP ንድፍ Example፣ F-Tile 25G፣ F-Tile 25G Ethernet FPGA፣ FPGA IP Design Example, IP ንድፍ Exampሊ ፣ 750200

ዋቢዎች

አስተያየት ይስጡ

የኢሜል አድራሻዎ አይታተምም። አስፈላጊ መስኮች ምልክት ተደርጎባቸዋል *