FPGA IP
ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
ಎಫ್-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್®
Intel® Quartus® ಗಾಗಿ ನವೀಕರಿಸಲಾಗಿದೆ
ಪ್ರಧಾನ ವಿನ್ಯಾಸ ಸೂಟ್: 22.3
IP ಆವೃತ್ತಿ: 1.0.0
ತ್ವರಿತ ಪ್ರಾರಂಭ ಮಾರ್ಗದರ್ಶಿ
Intel Agilex™ ಸಾಧನಗಳಿಗಾಗಿ F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ Intel FPGA IP ವಿನ್ಯಾಸವನ್ನು ಉತ್ಪಾದಿಸುವ ಸಾಮರ್ಥ್ಯವನ್ನು ಒದಗಿಸುತ್ತದೆampಆಯ್ದ ಸಂರಚನೆಗಳಿಗಾಗಿ les.
ಚಿತ್ರ 1. ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಬಳಕೆ
ಡೈರೆಕ್ಟರಿ ರಚನೆ
ಚಿತ್ರ 2. 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಡೈರೆಕ್ಟರಿ ರಚನೆ
- ಸಿಮ್ಯುಲೇಶನ್ files (ಸಿಮ್ಯುಲೇಶನ್ಗಾಗಿ ಮಾತ್ರ ಪರೀಕ್ಷಾ ಬೆಂಚ್) ಇದೆample_dir>/ಉದಾample_testbench.
- ಸಂಕಲನ-ಮಾತ್ರ ವಿನ್ಯಾಸ ಮಾಜಿample ನಲ್ಲಿ ಇದೆample_dir>/ compilation_test_design.
- ಹಾರ್ಡ್ವೇರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಮತ್ತು ಪರೀಕ್ಷೆ files (ವಿನ್ಯಾಸ ಉದಾample ಯಂತ್ರಾಂಶದಲ್ಲಿ) ಇದೆample_dir>/hardware_test_design.
ಕೋಷ್ಟಕ 1. ಡೈರೆಕ್ಟರಿ ಮತ್ತು File ವಿವರಣೆಗಳು
File ಹೆಸರುಗಳು | ವಿವರಣೆ |
eth_ex_25g.qpf | ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ® ಪ್ರಧಾನ ಯೋಜನೆ file. |
eth_ex_25g.qsf | ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರಾಜೆಕ್ಟ್ ಸೆಟ್ಟಿಂಗ್ಗಳು file. |
eth_ex_25g.sdc | ಸಾರಾಂಶ ವಿನ್ಯಾಸ ನಿರ್ಬಂಧಗಳು file. ನೀವು ಇದನ್ನು ನಕಲಿಸಬಹುದು ಮತ್ತು ಮಾರ್ಪಡಿಸಬಹುದು file ನಿಮ್ಮ ಸ್ವಂತ 25GbE ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ವಿನ್ಯಾಸಕ್ಕಾಗಿ. |
eth_ex_25g.v | ಉನ್ನತ ಮಟ್ಟದ ವೆರಿಲಾಗ್ HDL ವಿನ್ಯಾಸ ಮಾಜಿample file. ಏಕ-ಚಾನೆಲ್ ವಿನ್ಯಾಸವು ವೆರಿಲಾಗ್ ಅನ್ನು ಬಳಸುತ್ತದೆ file. |
ಸಾಮಾನ್ಯ/ | ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸ ಉದಾample ಬೆಂಬಲ files. |
hwtest/main.tcl | ಮುಖ್ಯ file ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಪ್ರವೇಶಿಸಲು. |
ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸುವುದುample
ಚಿತ್ರ 4. Exampಎಫ್-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿ ವಿನ್ಯಾಸ ಟ್ಯಾಬ್
ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampಲೆ ಮತ್ತು ಟೆಸ್ಟ್ಬೆಂಚ್:
- Intel Quartus Prime Pro ಆವೃತ್ತಿಯಲ್ಲಿ, ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಹೊಸ ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಯೋಜನೆಯನ್ನು ರಚಿಸಲು ಹೊಸ ಪ್ರಾಜೆಕ್ಟ್ ವಿಝಾರ್ಡ್, ಅಥವಾ File ➤ ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಲು ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಿರಿ. ಸಾಧನವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಮಾಂತ್ರಿಕ ನಿಮ್ಮನ್ನು ಕೇಳುತ್ತದೆ.
- IP ಕ್ಯಾಟಲಾಗ್ನಲ್ಲಿ, ಅಜಿಲೆಕ್ಸ್ಗಾಗಿ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಅನ್ನು ಪತ್ತೆ ಮಾಡಿ ಮತ್ತು ಆಯ್ಕೆಮಾಡಿ. ಹೊಸ IP ಬದಲಾವಣೆ ವಿಂಡೋ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
- ನಿಮ್ಮ ಐಪಿ ಬದಲಾವಣೆಗೆ ಉನ್ನತ ಮಟ್ಟದ ಹೆಸರನ್ನು ಸೂಚಿಸಿ ಮತ್ತು ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಉನ್ನತ ಮಟ್ಟದ .ip ಅನ್ನು ಸೇರಿಸುತ್ತದೆ file ಪ್ರಸ್ತುತ ಯೋಜನೆಗೆ ಸ್ವಯಂಚಾಲಿತವಾಗಿ. .ip ಅನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ಸೇರಿಸಲು ನಿಮ್ಮನ್ನು ಕೇಳಿದರೆ file ಯೋಜನೆಗೆ, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಸೇರಿಸು/ತೆಗೆದುಹಾಕು ಕ್ಲಿಕ್ ಮಾಡಿ Fileಸೇರಿಸಲು ಯೋಜನೆಯಲ್ಲಿ ರು file.
- Intel Quartus Prime Pro ಆವೃತ್ತಿಯ ಸಾಫ್ಟ್ವೇರ್ನಲ್ಲಿ, ನೀವು ಸಾಧನ ಕ್ಷೇತ್ರದಲ್ಲಿ ನಿರ್ದಿಷ್ಟ Intel Agilex ಸಾಧನವನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು ಅಥವಾ Intel Quartus Prime ಸಾಫ್ಟ್ವೇರ್ ಪ್ರಸ್ತಾಪಿಸುವ ಡೀಫಾಲ್ಟ್ ಸಾಧನವನ್ನು ಇರಿಸಿಕೊಳ್ಳಬೇಕು.
ಗಮನಿಸಿ: ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಗುರಿ ಬೋರ್ಡ್ನಲ್ಲಿರುವ ಸಾಧನದೊಂದಿಗೆ ಆಯ್ಕೆಯನ್ನು ತಿದ್ದಿ ಬರೆಯುತ್ತದೆ. ವಿನ್ಯಾಸದ ಮಾಜಿ ಮೆನುವಿನಿಂದ ನೀವು ಗುರಿ ಬೋರ್ಡ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತೀರಿampEx ನಲ್ಲಿ le ಆಯ್ಕೆಗಳುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್. - ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
- IP ಟ್ಯಾಬ್ನಲ್ಲಿ, ನಿಮ್ಮ IP ಕೋರ್ ವ್ಯತ್ಯಾಸಕ್ಕಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
- ಮಾಜಿ ರಂದುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್, ಉದಾample ವಿನ್ಯಾಸ Files, ಟೆಸ್ಟ್ಬೆಂಚ್ ಅನ್ನು ಉತ್ಪಾದಿಸಲು ಸಿಮ್ಯುಲೇಶನ್ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ ಮತ್ತು ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಸಿಂಥೆಸಿಸ್ ಆಯ್ಕೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿampಲೆ. ವೆರಿಲೋಗ್ ಎಚ್ಡಿಎಲ್ ಮಾತ್ರ fileಗಳನ್ನು ಉತ್ಪಾದಿಸಲಾಗುತ್ತದೆ.
ಗಮನಿಸಿ: ಕ್ರಿಯಾತ್ಮಕ VHDL IP ಕೋರ್ ಲಭ್ಯವಿಲ್ಲ. ನಿಮ್ಮ IP ಕೋರ್ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ವೆರಿಲಾಗ್ HDL ಅನ್ನು ಮಾತ್ರ ನಿರ್ದಿಷ್ಟಪಡಿಸಿampಲೆ. - ಟಾರ್ಗೆಟ್ ಡೆವಲಪ್ಮೆಂಟ್ ಕಿಟ್ಗಾಗಿ, ಅಜಿಲೆಕ್ಸ್ I-ಸರಣಿ ಟ್ರಾನ್ಸ್ಸಿವರ್-SoC ದೇವ್ ಕಿಟ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ
- Ex ಅನ್ನು ರಚಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿample ವಿನ್ಯಾಸ ಬಟನ್. ಆಯ್ಕೆ Example ವಿನ್ಯಾಸ ಡೈರೆಕ್ಟರಿ ವಿಂಡೋ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
- ನೀವು ವಿನ್ಯಾಸವನ್ನು ಮಾರ್ಪಡಿಸಲು ಬಯಸಿದರೆ ಮಾಜಿample ಡೈರೆಕ್ಟರಿ ಪಥ ಅಥವಾ ಡಿಫಾಲ್ಟ್ಗಳಿಂದ ಹೆಸರು (alt_e25_f_0_example_design), ಹೊಸ ಮಾರ್ಗವನ್ನು ಬ್ರೌಸ್ ಮಾಡಿ ಮತ್ತು ಹೊಸ ವಿನ್ಯಾಸವನ್ನು ಟೈಪ್ ಮಾಡಿampಲೆ ಡೈರೆಕ್ಟರಿ ಹೆಸರು (ample_dir>).
- ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ.
1.2.1 ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ನಿಯತಾಂಕಗಳು
ಕೋಷ್ಟಕ 2. Ex ನಲ್ಲಿ ನಿಯತಾಂಕಗಳುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್
ಪ್ಯಾರಾಮೀಟರ್ | ವಿವರಣೆ |
Example ವಿನ್ಯಾಸ | ಲಭ್ಯವಿದೆ exampಐಪಿ ಪ್ಯಾರಾಮೀಟರ್ ಸೆಟ್ಟಿಂಗ್ಗಳಿಗಾಗಿ le ವಿನ್ಯಾಸಗಳು. ಕೇವಲ ಏಕ-ಚಾನೆಲ್ ಮಾಜಿampಈ IP ಗಾಗಿ le ವಿನ್ಯಾಸವನ್ನು ಬೆಂಬಲಿಸಲಾಗುತ್ತದೆ. |
Example ವಿನ್ಯಾಸ Files | ದಿ fileವಿವಿಧ ಅಭಿವೃದ್ಧಿ ಹಂತಗಳಿಗೆ ಉತ್ಪಾದಿಸಲು ರು. • ಸಿಮ್ಯುಲೇಶನ್-ಅಗತ್ಯವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ fileಮಾಜಿ ಅನ್ನು ಅನುಕರಿಸಲು ರುample ವಿನ್ಯಾಸ. • ಸಂಶ್ಲೇಷಣೆ-ಸಂಶ್ಲೇಷಣೆಯನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ fileರು. ಇವುಗಳನ್ನು ಬಳಸಿ fileಹಾರ್ಡ್ವೇರ್ ಪರೀಕ್ಷೆಗಾಗಿ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಎಡಿಷನ್ ಸಾಫ್ಟ್ವೇರ್ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಸ್ಥಿರ ಸಮಯದ ವಿಶ್ಲೇಷಣೆಯನ್ನು ನಿರ್ವಹಿಸಲು ರು. |
ರಚಿಸಿ File ಫಾರ್ಮ್ಯಾಟ್ | RTL ನ ಸ್ವರೂಪ files ಸಿಮ್ಯುಲೇಶನ್-ವೆರಿಲೋಗ್. |
ಬೋರ್ಡ್ ಆಯ್ಕೆಮಾಡಿ | ವಿನ್ಯಾಸ ಅನುಷ್ಠಾನಕ್ಕಾಗಿ ಬೆಂಬಲಿತ ಯಂತ್ರಾಂಶ. ನೀವು ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಡೆವಲಪ್ಮೆಂಟ್ ಬೋರ್ಡ್ ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಿದಾಗ, ಡಿಸೈನ್ ಎಕ್ಸ್ಗಾಗಿ ಟಾರ್ಗೆಟ್ ಡಿವೈಸ್ ಆಗಿ AGIB027R31B1E2VRO ಸಾಧನವನ್ನು ಬಳಸಿampಲೆ ಪೀಳಿಗೆಯ. ಅಜಿಲೆಕ್ಸ್ I-ಸರಣಿ ಟ್ರಾನ್ಸ್ಸಿವರ್-SoC ದೇವ್ ಕಿಟ್: ಈ ಆಯ್ಕೆಯು ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆampಆಯ್ಕೆಮಾಡಿದ Intel FPGA IP ಅಭಿವೃದ್ಧಿ ಕಿಟ್ನಲ್ಲಿ le. ಈ ಆಯ್ಕೆಯು AGIB027R31B1E2VRO ನ ಗುರಿ ಸಾಧನವನ್ನು ಸ್ವಯಂಚಾಲಿತವಾಗಿ ಆಯ್ಕೆಮಾಡುತ್ತದೆ. ನಿಮ್ಮ ಬೋರ್ಡ್ ಪರಿಷ್ಕರಣೆಯು ವಿಭಿನ್ನ ಸಾಧನದ ದರ್ಜೆಯನ್ನು ಹೊಂದಿದ್ದರೆ, ನೀವು ಗುರಿ ಸಾಧನವನ್ನು ಬದಲಾಯಿಸಬಹುದು. ಯಾವುದೂ ಇಲ್ಲ: ಈ ಆಯ್ಕೆಯು ವಿನ್ಯಾಸದ ಮಾಜಿ ಯಂತ್ರಾಂಶದ ಅಂಶಗಳನ್ನು ಹೊರತುಪಡಿಸುತ್ತದೆampಲೆ. |
1.3. ಟೈಲ್ ಉತ್ಪಾದಿಸಲಾಗುತ್ತಿದೆ Files
ಬೆಂಬಲ-ಲಾಜಿಕ್ ಜನರೇಷನ್ ಎಂಬುದು ಟೈಲ್-ಸಂಬಂಧಿತವನ್ನು ಉತ್ಪಾದಿಸಲು ಬಳಸುವ ಪೂರ್ವ-ಸಂಶ್ಲೇಷಣೆಯ ಹಂತವಾಗಿದೆ fileಸಿಮ್ಯುಲೇಶನ್ ಮತ್ತು ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸಕ್ಕೆ ರು ಅಗತ್ಯವಿದೆ. ಟೈಲ್ ಉತ್ಪಾದನೆಯು ಎಲ್ಲರಿಗೂ ಅಗತ್ಯವಿದೆ
ಎಫ್-ಟೈಲ್ ಆಧಾರಿತ ವಿನ್ಯಾಸ ಸಿಮ್ಯುಲೇಶನ್ಗಳು. ಸಿಮ್ಯುಲೇಶನ್ ಮೊದಲು ನೀವು ಈ ಹಂತವನ್ನು ಪೂರ್ಣಗೊಳಿಸಬೇಕು.
- ಕಮಾಂಡ್ ಪ್ರಾಂಪ್ಟಿನಲ್ಲಿ, ನಿಮ್ಮ ಮಾಜಿ ನಲ್ಲಿ compilation_test_design ಫೋಲ್ಡರ್ಗೆ ನ್ಯಾವಿಗೇಟ್ ಮಾಡಿampವಿನ್ಯಾಸ: ಸಿಡಿ /compilation_test_design.
- ಕೆಳಗಿನ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿ: quartus_tlg alt_eth_25g
1.4 F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸುವುದು
Exampಲೆ ಟೆಸ್ಟ್ಬೆಂಚ್
ಕಮಾಂಡ್ ಪ್ರಾಂಪ್ಟ್ನಿಂದ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಚಲಾಯಿಸುವ ಮೂಲಕ ನೀವು ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಬಹುದು ಮತ್ತು ಅನುಕರಿಸಬಹುದು.
- ಕಮಾಂಡ್ ಪ್ರಾಂಪ್ಟಿನಲ್ಲಿ, ಟೆಸ್ಟ್ಬೆಂಚ್ ಸಿಮ್ಯುಲೇಟಿಂಗ್ ವರ್ಕಿಂಗ್ ಡೈರೆಕ್ಟರಿಯನ್ನು ಬದಲಾಯಿಸಿ: cdample_dir>/ex_25g/sim.
- IP ಸೆಟಪ್ ಸಿಮ್ಯುಲೇಶನ್ ಅನ್ನು ರನ್ ಮಾಡಿ: ip-setup-simulation -quartusproject=../../compilation_test_design/alt_eth_25g.qpf
ಕೋಷ್ಟಕ 3. ಟೆಸ್ಟ್ಬೆಂಚ್ ಅನ್ನು ಅನುಕರಿಸಲು ಕ್ರಮಗಳು
ಸಿಮ್ಯುಲೇಟರ್ | ಸೂಚನೆಗಳು |
VCS* | ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_vcs.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ |
ಕ್ವೆಸ್ಟಾಸಿಮ್* | ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, vsim -do run_vsim.do -log ಎಂದು ಟೈಪ್ ಮಾಡಿfile vsim.log QuestaSim GUI ಅನ್ನು ತರದೆಯೇ ನೀವು ಅನುಕರಿಸಲು ಬಯಸಿದರೆ, vsim -c -do run_vsim.do -log ಎಂದು ಟೈಪ್ ಮಾಡಿfile vsim.log |
ಕ್ಯಾಡೆನ್ಸ್ -Xcelium* | ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_xcelium.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ |
ಯಶಸ್ವಿ ಸಿಮ್ಯುಲೇಶನ್ ಈ ಕೆಳಗಿನ ಸಂದೇಶದೊಂದಿಗೆ ಕೊನೆಗೊಳ್ಳುತ್ತದೆ:
ಸಿಮ್ಯುಲೇಶನ್ ಅಂಗೀಕರಿಸಲಾಗಿದೆ. ಅಥವಾ ಟೆಸ್ಟ್ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ.
ಯಶಸ್ವಿಯಾಗಿ ಪೂರ್ಣಗೊಂಡ ನಂತರ, ನೀವು ಫಲಿತಾಂಶಗಳನ್ನು ವಿಶ್ಲೇಷಿಸಬಹುದು.
1.5. ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುವುದು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡುವುದುampಹಾರ್ಡ್ವೇರ್ನಲ್ಲಿ ಲೆ
25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ನಿಮಗೆ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಅನುಮತಿಸುತ್ತದೆampಗುರಿ ಅಭಿವೃದ್ಧಿ ಕಿಟ್ನಲ್ಲಿ ಲೆ.
ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಮಾಜಿampಹಾರ್ಡ್ವೇರ್ನಲ್ಲಿ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:
- ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್ವೇರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಿ ಮತ್ತು ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಸಂಸ್ಕರಣೆ ➤ ಪ್ರಾರಂಭ ಸಂಕಲನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
- ನೀವು SRAM ವಸ್ತುವನ್ನು ರಚಿಸಿದ ನಂತರ file .sof, ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನದಲ್ಲಿ le:
ಎ. ಪರಿಕರಗಳ ಮೆನುವಿನಲ್ಲಿ, ಪ್ರೋಗ್ರಾಮರ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
ಬಿ. ಪ್ರೋಗ್ರಾಮರ್ನಲ್ಲಿ, ಹಾರ್ಡ್ವೇರ್ ಸೆಟಪ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
ಸಿ. ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
ಡಿ. ನಿಮ್ಮ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸೆಷನ್ಗೆ ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಬೋರ್ಡ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸೇರಿಸಿ.
ಇ. ಮೋಡ್ ಅನ್ನು J ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿTAG.
f. Intel Agilex ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸಾಧನವನ್ನು ಸೇರಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ರೋಗ್ರಾಮರ್ ಪ್ರದರ್ಶಿಸುತ್ತದೆ
ನಿಮ್ಮ ಬೋರ್ಡ್ನಲ್ಲಿರುವ ಸಾಧನಗಳ ನಡುವಿನ ಸಂಪರ್ಕಗಳ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರ.
ಜಿ. ನಿಮ್ಮ .sof ಜೊತೆಗಿನ ಸಾಲಿನಲ್ಲಿ, .sof ಗಾಗಿ ಬಾಕ್ಸ್ ಅನ್ನು ಪರಿಶೀಲಿಸಿ.
ಗಂ. ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಕಾಲಮ್ನಲ್ಲಿ ಬಾಕ್ಸ್ ಅನ್ನು ಪರಿಶೀಲಿಸಿ.
i. ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ.
1.6. F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ ಎಕ್ಸ್ample
ನೀವು F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿದ ನಂತರample ಮತ್ತು ಅದನ್ನು ನಿಮ್ಮ Intel Agilex ಸಾಧನದಲ್ಲಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಿ, ನೀವು IP ಕೋರ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಬಳಸಬಹುದು.
ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಆನ್ ಮಾಡಲು ಮತ್ತು ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ಮಾಜಿampಲೆ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:
- Intel Quartus Prime Pro ಆವೃತ್ತಿಯ ಸಾಫ್ಟ್ವೇರ್ನಲ್ಲಿ, ಪರಿಕರಗಳು ➤ ಸಿಸ್ಟಮ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ
ಡೀಬಗ್ ಮಾಡುವ ಪರಿಕರಗಳು ➤ ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸಲು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್. - Tcl ಕನ್ಸೋಲ್ ಪೇನ್ನಲ್ಲಿ, ಡೈರೆಕ್ಟರಿಯನ್ನು / hardware_test_design/hwtest ಗೆ ಬದಲಾಯಿಸಲು cd hwtest ಎಂದು ಟೈಪ್ ಮಾಡಿ.
- J ಗೆ ಸಂಪರ್ಕವನ್ನು ತೆರೆಯಲು source main.tcl ಎಂದು ಟೈಪ್ ಮಾಡಿTAG ಮಾಸ್ಟರ್.
ಡಿಸೈನ್ ಎಕ್ಸ್ನ ಹಾರ್ಡ್ವೇರ್ ಟೆಸ್ಟಿಂಗ್ ವಿಭಾಗದಲ್ಲಿ ಪರೀಕ್ಷಾ ವಿಧಾನವನ್ನು ಅನುಸರಿಸಿampಲೆ ಮತ್ತು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ನಲ್ಲಿ ಪರೀಕ್ಷಾ ಫಲಿತಾಂಶಗಳನ್ನು ಗಮನಿಸಿ.
F-ಟೈಲ್ 25G ಈಥರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನಗಳಿಗೆ le
F-ಟೈಲ್ 25G ಈಥರ್ನೆಟ್ ವಿನ್ಯಾಸ ಮಾಜಿample 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ ಅನ್ನು ಬಳಸಿಕೊಂಡು ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ ಸಾಧನಗಳಿಗೆ ಈಥರ್ನೆಟ್ ಪರಿಹಾರವನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಿ ಮಾಜಿampಮಾಜಿ ನಿಂದ ಲೆamp25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನ ವಿನ್ಯಾಸ ಟ್ಯಾಬ್. ಜೊತೆಗೆ ಅಥವಾ ಇಲ್ಲದೆಯೇ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ನೀವು ಆಯ್ಕೆ ಮಾಡಬಹುದು
ರೀಡ್-ಸೊಲೊಮನ್ ಫಾರ್ವರ್ಡ್ ದೋಷ ತಿದ್ದುಪಡಿ (RS-FEC) ವೈಶಿಷ್ಟ್ಯ.
2.1. ವೈಶಿಷ್ಟ್ಯಗಳು
- 25G ಯಲ್ಲಿ ಕಾರ್ಯನಿರ್ವಹಿಸುವ ಏಕ ಈಥರ್ನೆಟ್ ಚಾನಲ್ ಅನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ.
- ವಿನ್ಯಾಸ ಮಾಜಿ ಉತ್ಪಾದಿಸುತ್ತದೆampRS-FEC ವೈಶಿಷ್ಟ್ಯದೊಂದಿಗೆ le.
- ಟೆಸ್ಟ್ಬೆಂಚ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ಒದಗಿಸುತ್ತದೆ.
- ಐಪಿ ಕಾನ್ಫಿಗರೇಶನ್ನ ಆಧಾರದ ಮೇಲೆ ಎಫ್-ಟೈಲ್ ರೆಫರೆನ್ಸ್ ಮತ್ತು ಸಿಸ್ಟಮ್ ಪಿಎಲ್ಎಲ್ ಗಡಿಯಾರ ಇಂಟೆಲ್ ಎಫ್ಪಿಜಿಎ ಐಪಿಯನ್ನು ತ್ವರಿತಗೊಳಿಸುತ್ತದೆ.
2.2 ಹಾರ್ಡ್ವೇರ್ ಮತ್ತು ಸಾಫ್ಟ್ವೇರ್ ಅವಶ್ಯಕತೆಗಳು
ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ಇಂಟೆಲ್ ಕೆಳಗಿನ ಹಾರ್ಡ್ವೇರ್ ಮತ್ತು ಸಾಫ್ಟ್ವೇರ್ ಅನ್ನು ಬಳಸುತ್ತದೆampಲಿನಕ್ಸ್ ವ್ಯವಸ್ಥೆಯಲ್ಲಿ le:
- ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್ವೇರ್.
- ಸೀಮೆನ್ಸ್* EDA QuestaSim, Synopsys* VCS, ಮತ್ತು Cadence Xcelium ಸಿಮ್ಯುಲೇಟರ್.
- ಹಾರ್ಡ್ವೇರ್ ಪರೀಕ್ಷೆಗಾಗಿ ಇಂಟೆಲ್ ಅಜಿಲೆಕ್ಸ್ I-ಸರಣಿ ಟ್ರಾನ್ಸ್ಸಿವರ್-SoC ಡೆವಲಪ್ಮೆಂಟ್ ಕಿಟ್ (AGIB027R31B1E2VRO).
2.3. ಕ್ರಿಯಾತ್ಮಕ ವಿವರಣೆ
F-ಟೈಲ್ 25G ಈಥರ್ನೆಟ್ ವಿನ್ಯಾಸ ಮಾಜಿample MAC+PCS+PMA ಕೋರ್ ರೂಪಾಂತರವನ್ನು ಒಳಗೊಂಡಿದೆ. ಕೆಳಗಿನ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರಗಳು ವಿನ್ಯಾಸ ಘಟಕಗಳನ್ನು ಮತ್ತು F-ಟೈಲ್ 25G ಈಥರ್ನೆಟ್ ವಿನ್ಯಾಸದಲ್ಲಿ MAC+PCS+PMA ಕೋರ್ ರೂಪಾಂತರದ ಉನ್ನತ ಮಟ್ಟದ ಸಂಕೇತಗಳನ್ನು ತೋರಿಸುತ್ತವೆampಲೆ.
ಚಿತ್ರ 5. ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರ-ಎಫ್-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample (MAC+PCS+PMA ಕೋರ್ ರೂಪಾಂತರ)
2.3.1. ವಿನ್ಯಾಸ ಘಟಕಗಳು
ಕೋಷ್ಟಕ 4. ವಿನ್ಯಾಸ ಘಟಕಗಳು
ಘಟಕ | ವಿವರಣೆ |
F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP | ಕೆಳಗಿನ ಸಂರಚನೆಯೊಂದಿಗೆ MAC, PCS ಮತ್ತು ಟ್ರಾನ್ಸ್ಸಿವರ್ PHY ಅನ್ನು ಒಳಗೊಂಡಿದೆ: • ಕೋರ್ ರೂಪಾಂತರ: MAC+PCS+PMA • ಹರಿವಿನ ನಿಯಂತ್ರಣವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ: ಐಚ್ಛಿಕ • ಲಿಂಕ್ ದೋಷ ಉತ್ಪಾದನೆಯನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ: ಐಚ್ಛಿಕ • ಮುನ್ನುಡಿ ಪಾಸ್ಥ್ರೂ ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ: ಐಚ್ಛಿಕ • ಅಂಕಿಅಂಶಗಳ ಸಂಗ್ರಹವನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ: ಐಚ್ಛಿಕ • MAC ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ಗಳನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ: ಐಚ್ಛಿಕ • ಉಲ್ಲೇಖ ಗಡಿಯಾರದ ಆವರ್ತನ: 156.25 ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಮಾಜಿampRS-FEC ವೈಶಿಷ್ಟ್ಯದೊಂದಿಗೆ, ಕೆಳಗಿನ ಹೆಚ್ಚುವರಿ ನಿಯತಾಂಕವನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲಾಗಿದೆ: • RS-FEC ಅನ್ನು ಸಕ್ರಿಯಗೊಳಿಸಿ: ಐಚ್ಛಿಕ |
F-ಟೈಲ್ ಉಲ್ಲೇಖ ಮತ್ತು ಸಿಸ್ಟಮ್ PLL ಗಡಿಯಾರಗಳು Intel FPGA IP | F-ಟೈಲ್ ರೆಫರೆನ್ಸ್ ಮತ್ತು ಸಿಸ್ಟಮ್ PLL ಗಡಿಯಾರಗಳು Intel FPGA IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಸೆಟ್ಟಿಂಗ್ಗಳು F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಯ ಅಗತ್ಯತೆಗಳೊಂದಿಗೆ ಹೊಂದಾಣಿಕೆಯಾಗುತ್ತದೆ. ನೀವು ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಿದರೆ ಮಾಜಿampಬಳಸುತ್ತಿದ್ದೇನೆ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸಿample ವಿನ್ಯಾಸ IP ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ನಲ್ಲಿನ ಬಟನ್, IP ಸ್ವಯಂಚಾಲಿತವಾಗಿ ತ್ವರಿತಗೊಳ್ಳುತ್ತದೆ. ನಿಮ್ಮ ಸ್ವಂತ ವಿನ್ಯಾಸವನ್ನು ನೀವು ರಚಿಸಿದರೆ ಮಾಜಿampಉದಾಹರಣೆಗೆ, ನೀವು ಈ IP ಅನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ತತ್ಕ್ಷಣಗೊಳಿಸಬೇಕು ಮತ್ತು ಎಲ್ಲಾ I/O ಪೋರ್ಟ್ಗಳನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು. ಈ IP ಕುರಿತು ಮಾಹಿತಿಗಾಗಿ, ಇದನ್ನು ನೋಡಿ F-ಟೈಲ್ ಆರ್ಕಿಟೆಕ್ಚರ್ ಮತ್ತು PMA ಮತ್ತು FEC ಡೈರೆಕ್ಟ್ PHY IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ. |
ಗ್ರಾಹಕ ತರ್ಕ | ಇವುಗಳನ್ನು ಒಳಗೊಂಡಿದೆ: • ಟ್ರಾಫಿಕ್ ಜನರೇಟರ್, ಇದು ಪ್ರಸರಣಕ್ಕಾಗಿ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ಗೆ ಬರ್ಸ್ಟ್ ಪ್ಯಾಕೆಟ್ಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ. • ಟ್ರಾಫಿಕ್ ಮಾನಿಟರ್, ಇದು 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್ನಿಂದ ಬರುತ್ತಿರುವ ಬರ್ಸ್ಟ್ ಪ್ಯಾಕೆಟ್ಗಳನ್ನು ಮೇಲ್ವಿಚಾರಣೆ ಮಾಡುತ್ತದೆ. |
ಮೂಲ ಮತ್ತು ತನಿಖೆ | ಡೀಬಗ್ ಮಾಡಲು ನೀವು ಬಳಸಬಹುದಾದ ಸಿಸ್ಟಂ ರೀಸೆಟ್ ಇನ್ಪುಟ್ ಸಿಗ್ನಲ್ ಸೇರಿದಂತೆ ಮೂಲ ಮತ್ತು ಪ್ರೋಬ್ ಸಿಗ್ನಲ್ಗಳು. |
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
F-ಟೈಲ್ ಆರ್ಕಿಟೆಕ್ಚರ್ ಮತ್ತು PMA ಮತ್ತು FEC ಡೈರೆಕ್ಟ್ PHY IP ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
ಸಿಮ್ಯುಲೇಶನ್
ಟೆಸ್ಟ್ಬೆಂಚ್ ಐಪಿ ಕೋರ್ ಮೂಲಕ ಸಂಚಾರವನ್ನು ಕಳುಹಿಸುತ್ತದೆ, ಟ್ರಾನ್ಸ್ಮಿಟ್ ಸೈಡ್ ಅನ್ನು ವ್ಯಾಯಾಮ ಮಾಡುತ್ತದೆ ಮತ್ತು ಐಪಿ ಕೋರ್ನ ಭಾಗವನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ.
2.4.1. ಟೆಸ್ಟ್ಬೆಂಚ್
ಚಿತ್ರ 6. F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ವಿನ್ಯಾಸದ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರampಲೆ ಸಿಮ್ಯುಲೇಶನ್ ಟೆಸ್ಟ್ ಬೆಂಚ್
ಟೇಬಲ್ 5. ಟೆಸ್ಟ್ಬೆಂಚ್ ಘಟಕಗಳು
ಘಟಕ | ವಿವರಣೆ |
ಪರೀಕ್ಷೆಯಲ್ಲಿರುವ ಸಾಧನ (DUT) | 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಕೋರ್. |
ಎತರ್ನೆಟ್ ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಮತ್ತು ಪ್ಯಾಕೆಟ್ ಮಾನಿಟರ್ | • ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಫ್ರೇಮ್ಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ ಮತ್ತು DUT ಗೆ ರವಾನಿಸುತ್ತದೆ. • ಪ್ಯಾಕೆಟ್ ಮಾನಿಟರ್ TX ಮತ್ತು RX ಡೇಟಾಪಾತ್ಗಳನ್ನು ಮೇಲ್ವಿಚಾರಣೆ ಮಾಡುತ್ತದೆ ಮತ್ತು ಸಿಮ್ಯುಲೇಟರ್ ಕನ್ಸೋಲ್ನಲ್ಲಿ ಫ್ರೇಮ್ಗಳನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ. |
F-ಟೈಲ್ ಉಲ್ಲೇಖ ಮತ್ತು ಸಿಸ್ಟಮ್ PLL ಗಡಿಯಾರಗಳು Intel FPGA IP | ಟ್ರಾನ್ಸ್ಸಿವರ್ ಮತ್ತು ಸಿಸ್ಟಮ್ PLL ಉಲ್ಲೇಖ ಗಡಿಯಾರಗಳನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ. |
2.4.2 ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಘಟಕಗಳು
ಕೋಷ್ಟಕ 6. F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಟೆಸ್ಟ್ಬೆಂಚ್ File ವಿವರಣೆಗಳು
File ಹೆಸರು | ವಿವರಣೆ |
ಟೆಸ್ಟ್ಬೆಂಚ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ Files | |
Basic_avl_tb_top.v | ಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷಾ ಬೆಂಚ್ file. ಟೆಸ್ಟ್ಬೆಂಚ್ DUT ಅನ್ನು ಸ್ಥಾಪಿಸುತ್ತದೆ, ವಿನ್ಯಾಸ ಘಟಕಗಳು ಮತ್ತು ಕ್ಲೈಂಟ್ ಲಾಜಿಕ್ನಲ್ಲಿ Avalon® ಮೆಮೊರಿ-ಮ್ಯಾಪ್ ಮಾಡಿದ ಕಾನ್ಫಿಗರೇಶನ್ ಅನ್ನು ನಿರ್ವಹಿಸುತ್ತದೆ ಮತ್ತು 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಗೆ ಅಥವಾ ಪ್ಯಾಕೆಟ್ ಅನ್ನು ಕಳುಹಿಸುತ್ತದೆ ಮತ್ತು ಸ್ವೀಕರಿಸುತ್ತದೆ. |
ಟೆಸ್ಟ್ಬೆಂಚ್ ಸ್ಕ್ರಿಪ್ಟ್ಗಳು | |
ಮುಂದುವರೆಯಿತು… |
File ಹೆಸರು | ವಿವರಣೆ |
run_vsim.do | ಟೆಸ್ಟ್ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಮಾಡೆಲ್ಸಿಮ್ ಸ್ಕ್ರಿಪ್ಟ್. |
ರನ್_vcs.sh | ಟೆಸ್ಟ್ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸಿನೊಪ್ಸಿಸ್ VCS ಸ್ಕ್ರಿಪ್ಟ್. |
ರನ್_xcelium.sh | ಪರೀಕ್ಷಾ ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಕ್ಯಾಡೆನ್ಸ್ Xcelium ಸ್ಕ್ರಿಪ್ಟ್. |
2.4.3. ಪರೀಕ್ಷಾ ಪ್ರಕರಣ
ಸಿಮ್ಯುಲೇಶನ್ ಪರೀಕ್ಷಾ ಪ್ರಕರಣವು ಈ ಕೆಳಗಿನ ಕ್ರಿಯೆಗಳನ್ನು ಮಾಡುತ್ತದೆ:
- F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಮತ್ತು F-ಟೈಲ್ ಉಲ್ಲೇಖ ಮತ್ತು ಸಿಸ್ಟಮ್ PLL ಗಡಿಯಾರಗಳು Intel FPGA IP ಅನ್ನು ತತ್ಕ್ಷಣ ಮಾಡುತ್ತದೆ.
- RX ಗಡಿಯಾರ ಮತ್ತು PHY ಸ್ಥಿತಿ ಸಂಕೇತವನ್ನು ಹೊಂದಿಸಲು ಕಾಯುತ್ತಿದೆ.
- PHY ಸ್ಥಿತಿಯನ್ನು ಮುದ್ರಿಸುತ್ತದೆ.
- 10 ಮಾನ್ಯ ಡೇಟಾವನ್ನು ಕಳುಹಿಸುತ್ತದೆ ಮತ್ತು ಸ್ವೀಕರಿಸುತ್ತದೆ.
- ಫಲಿತಾಂಶಗಳನ್ನು ವಿಶ್ಲೇಷಿಸುತ್ತದೆ. ಯಶಸ್ವಿ ಟೆಸ್ಟ್ಬೆಂಚ್ "ಟೆಸ್ಟ್ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ" ಎಂದು ತೋರಿಸುತ್ತದೆ.
ಕೆಳಗಿನ ಎಸ್ample ಔಟ್ಪುಟ್ ಯಶಸ್ವಿ ಸಿಮ್ಯುಲೇಶನ್ ಪರೀಕ್ಷಾ ಓಟವನ್ನು ವಿವರಿಸುತ್ತದೆ:
ಸಂಕಲನ
ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಕಂಪೈಲಿಂಗ್ ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡುವ ವಿಧಾನವನ್ನು ಅನುಸರಿಸಿampವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡಲು ಹಾರ್ಡ್ವೇರ್ನಲ್ಲಿ leampಆಯ್ಕೆಮಾಡಿದ ಯಂತ್ರಾಂಶದಲ್ಲಿ le.
ಸಂಕಲನ-ಮಾತ್ರ ವಿನ್ಯಾಸವನ್ನು ಬಳಸಿಕೊಂಡು ನೀವು ಸಂಪನ್ಮೂಲ ಬಳಕೆ ಮತ್ತು Fmax ಅನ್ನು ಅಂದಾಜು ಮಾಡಬಹುದುampಲೆ. ನಲ್ಲಿ ಪ್ರಾರಂಭ ಸಂಕಲನ ಆಜ್ಞೆಯನ್ನು ಬಳಸಿಕೊಂಡು ನಿಮ್ಮ ವಿನ್ಯಾಸವನ್ನು ನೀವು ಕಂಪೈಲ್ ಮಾಡಬಹುದು
ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಎಡಿಷನ್ ಸಾಫ್ಟ್ವೇರ್ನಲ್ಲಿ ಸಂಸ್ಕರಣೆ ಮೆನು. ಯಶಸ್ವಿ ಸಂಕಲನವು ಸಂಕಲನ ವರದಿ ಸಾರಾಂಶವನ್ನು ಉತ್ಪಾದಿಸುತ್ತದೆ.
ಹೆಚ್ಚಿನ ಮಾಹಿತಿಗಾಗಿ, ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ ವಿನ್ಯಾಸ ಸಂಕಲನವನ್ನು ನೋಡಿ.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
- ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುವುದು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡುವುದುampಪುಟ 7 ರಲ್ಲಿ ಹಾರ್ಡ್ವೇರ್ನಲ್ಲಿ le
- ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯಲ್ಲಿ ವಿನ್ಯಾಸ ಸಂಕಲನ
2.6. ಯಂತ್ರಾಂಶ ಪರೀಕ್ಷೆ
ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸದಲ್ಲಿ ಮಾಜಿampಉದಾಹರಣೆಗೆ, ನೀವು IP ಕೋರ್ ಅನ್ನು ಆಂತರಿಕ ಸೀರಿಯಲ್ ಲೂಪ್ಬ್ಯಾಕ್ ಮೋಡ್ನಲ್ಲಿ ಪ್ರೋಗ್ರಾಮ್ ಮಾಡಬಹುದು ಮತ್ತು ಸ್ವೀಕರಿಸುವ ಬದಿಯ ಮೂಲಕ ಹಿಂತಿರುಗುವ ಟ್ರಾನ್ಸ್ಮಿಟ್ ಬದಿಯಲ್ಲಿ ಟ್ರಾಫಿಕ್ ಅನ್ನು ರಚಿಸಬಹುದು.
ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ಒದಗಿಸಿದ ಸಂಬಂಧಿತ ಮಾಹಿತಿ ಲಿಂಕ್ನಲ್ಲಿ ಕಾರ್ಯವಿಧಾನವನ್ನು ಅನುಸರಿಸಿampಆಯ್ಕೆಮಾಡಿದ ಯಂತ್ರಾಂಶದಲ್ಲಿ le.
ಸಂಬಂಧಿತ ಮಾಹಿತಿ
F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ ಇಂಟೆಲ್ FPGA IP ಹಾರ್ಡ್ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ ಎಕ್ಸ್ampಪುಟ 8 ರಲ್ಲಿ le
2.6.1. ಪರೀಕ್ಷಾ ವಿಧಾನ
ವಿನ್ಯಾಸ ಮಾಜಿ ಪರೀಕ್ಷಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampಹಾರ್ಡ್ವೇರ್ನಲ್ಲಿ:
- ಈ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ನೀವು ಹಾರ್ಡ್ವೇರ್ ಪರೀಕ್ಷೆಯನ್ನು ನಡೆಸುವ ಮೊದಲುampಲೆ, ನೀವು ಸಿಸ್ಟಮ್ ಅನ್ನು ಮರುಹೊಂದಿಸಬೇಕು:
ಎ. ಡೀಫಾಲ್ಟ್ ಮೂಲ ಮತ್ತು ಪ್ರೋಬ್ GUI ಗಾಗಿ ಪರಿಕರಗಳು ➤ ಇನ್-ಸಿಸ್ಟಮ್ ಮೂಲಗಳು ಮತ್ತು ಪ್ರೋಬ್ಸ್ ಎಡಿಟರ್ ಟೂಲ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
ಬಿ. ರೀಸೆಟ್ಗಳನ್ನು ಅನ್ವಯಿಸಲು ಸಿಸ್ಟಮ್ ರೀಸೆಟ್ ಸಿಗ್ನಲ್ (ಮೂಲ[3:0]) ಅನ್ನು 7 ರಿಂದ 8 ರವರೆಗೆ ಟಾಗಲ್ ಮಾಡಿ ಮತ್ತು ಸಿಸ್ಟಮ್ ಅನ್ನು ಮರುಹೊಂದಿಸುವ ಸ್ಥಿತಿಯಿಂದ ಬಿಡುಗಡೆ ಮಾಡಲು ಸಿಸ್ಟಮ್ ರೀಸೆಟ್ ಸಿಗ್ನಲ್ ಅನ್ನು 7 ಕ್ಕೆ ಹಿಂತಿರುಗಿಸಿ.
ಸಿ. ಪ್ರೋಬ್ ಸಿಗ್ನಲ್ಗಳನ್ನು ಮೇಲ್ವಿಚಾರಣೆ ಮಾಡಿ ಮತ್ತು ಸ್ಥಿತಿ ಮಾನ್ಯವಾಗಿದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ. - ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ನಲ್ಲಿ, hwtest ಫೋಲ್ಡರ್ಗೆ ನ್ಯಾವಿಗೇಟ್ ಮಾಡಿ ಮತ್ತು J ಅನ್ನು ಆಯ್ಕೆ ಮಾಡಲು source main.tcl ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿTAG ಮಾಸ್ಟರ್. ಪೂರ್ವನಿಯೋಜಿತವಾಗಿ, ಮೊದಲ ಜೆTAG ಜೆ ಮೇಲೆ ಮಾಸ್ಟರ್TAG ಸರಪಳಿಯನ್ನು ಆಯ್ಕೆ ಮಾಡಲಾಗಿದೆ. ಆಯ್ಕೆ ಮಾಡಲು ಜೆTAG Intel Agilex ಸಾಧನಗಳಿಗೆ ಮಾಸ್ಟರ್, ಈ ಆಜ್ಞೆಯನ್ನು ಚಲಾಯಿಸಿ: set_jtag <number of appropriate JTAG ಮಾಸ್ಟರ್>. ಉದಾample: set_jtag 1.
- ಸರಣಿ ಲೂಪ್ಬ್ಯಾಕ್ ಪರೀಕ್ಷೆಯನ್ನು ಪ್ರಾರಂಭಿಸಲು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ನಲ್ಲಿ ಈ ಕೆಳಗಿನ ಆಜ್ಞೆಗಳನ್ನು ಚಲಾಯಿಸಿ:
ಕೋಷ್ಟಕ 7. ಕಮಾಂಡ್ ನಿಯತಾಂಕಗಳು
ಪ್ಯಾರಾಮೀಟರ್ | ವಿವರಣೆ | Exampಲೆ ಬಳಕೆ |
chkphy_status | ಗಡಿಯಾರ ಆವರ್ತನಗಳು ಮತ್ತು PHY ಲಾಕ್ ಸ್ಥಿತಿಯನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ. | % chkphy_status 0 # ಲಿಂಕ್ ಸ್ಥಿತಿಯನ್ನು ಪರಿಶೀಲಿಸಿ 0 |
chkmac_stats | MAC ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ಗಳಲ್ಲಿ ಮೌಲ್ಯಗಳನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ. | % chkmac_stats 0 # ಲಿಂಕ್ 0 ರ ಮ್ಯಾಕ್ ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ ಅನ್ನು ಪರಿಶೀಲಿಸುತ್ತದೆ |
clear_all_stats | IP ಕೋರ್ ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ಗಳನ್ನು ತೆರವುಗೊಳಿಸುತ್ತದೆ. | % clear_all_stats 0 # ಲಿಂಕ್ 0 ರ ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ ಅನ್ನು ತೆರವುಗೊಳಿಸುತ್ತದೆ |
start_gen | ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸುತ್ತದೆ. | % start_gen 0 # ಲಿಂಕ್ 0 ನಲ್ಲಿ ಪ್ಯಾಕೆಟ್ ಉತ್ಪಾದನೆಯನ್ನು ಪ್ರಾರಂಭಿಸಿ |
ನಿಲ್ಲಿಸು_ಜನ್ | ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ನಿಲ್ಲಿಸುತ್ತದೆ. | % stop_gen 0 # ಲಿಂಕ್ 0 ನಲ್ಲಿ ಪ್ಯಾಕೆಟ್ ಉತ್ಪಾದನೆಯನ್ನು ನಿಲ್ಲಿಸಿ |
ಲೂಪ್_ಆನ್ | ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್ಬ್ಯಾಕ್ ಅನ್ನು ಆನ್ ಮಾಡುತ್ತದೆ. | % ಲೂಪ್_ಆನ್ 0 # ಲಿಂಕ್ 0 ನಲ್ಲಿ ಆಂತರಿಕ ಲೂಪ್ಬ್ಯಾಕ್ ಅನ್ನು ಆನ್ ಮಾಡಿ |
ಲೂಪ್_ಆಫ್ | ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್ಬ್ಯಾಕ್ ಅನ್ನು ಆಫ್ ಮಾಡುತ್ತದೆ. | % loop_off 0 # ಲಿಂಕ್ 0 ನಲ್ಲಿ ಆಂತರಿಕ ಲೂಪ್ಬ್ಯಾಕ್ ಅನ್ನು ಆಫ್ ಮಾಡಿ |
reg_read | ನಲ್ಲಿ IP ಕೋರ್ ರಿಜಿಸ್ಟರ್ ಮೌಲ್ಯವನ್ನು ಹಿಂತಿರುಗಿಸುತ್ತದೆ . | % reg_read 0x402 # ಲಿಂಕ್ 402 ನ ವಿಳಾಸ 0 ನಲ್ಲಿ IP CSR ರಿಜಿಸ್ಟರ್ ಅನ್ನು ಓದಿ |
reg_write | ಬರೆಯುತ್ತಾರೆ ವಿಳಾಸದಲ್ಲಿ IP ಕೋರ್ ರಿಜಿಸ್ಟರ್ಗೆ . | % reg_write 0x401 0x1 # ಲಿಂಕ್ 0 ನ ವಿಳಾಸ 1 ನಲ್ಲಿ IP CSR ಸ್ಕ್ರ್ಯಾಚ್ ರಿಜಿಸ್ಟರ್ಗೆ 401x0 ಬರೆಯಿರಿ |
ಎ. ಲೂಪ್_ಆನ್ ಎಂದು ಟೈಪ್ ಮಾಡಿ ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್ಬ್ಯಾಕ್ ಮೋಡ್ ಅನ್ನು ಆನ್ ಮಾಡಲು.
ಬಿ. chkphy_status ಅನ್ನು ಟೈಪ್ ಮಾಡಿ PHY ಸ್ಥಿತಿಯನ್ನು ಪರಿಶೀಲಿಸಲು. TXCLK, RXCLK, ಮತ್ತು RX ಸ್ಥಿತಿಯು ಸ್ಥಿರವಾದ ಲಿಂಕ್ಗಾಗಿ ಕೆಳಗೆ ತೋರಿಸಿರುವ ಅದೇ ಮೌಲ್ಯಗಳನ್ನು ಹೊಂದಿರಬೇಕು:
ಸಿ. clear_all_stats ಎಂದು ಟೈಪ್ ಮಾಡಿ TX ಮತ್ತು RX ಅಂಕಿಅಂಶಗಳ ರೆಜಿಸ್ಟರ್ಗಳನ್ನು ತೆರವುಗೊಳಿಸಲು.
ಡಿ. start_gen ಎಂದು ಟೈಪ್ ಮಾಡಿ ಪ್ಯಾಕೆಟ್ ಉತ್ಪಾದನೆಯನ್ನು ಪ್ರಾರಂಭಿಸಲು.
ಇ. stop_gen ಅನ್ನು ಟೈಪ್ ಮಾಡಿ ಪ್ಯಾಕೆಟ್ ಉತ್ಪಾದನೆಯನ್ನು ನಿಲ್ಲಿಸಲು.
f. chkmac_stats ಅನ್ನು ಟೈಪ್ ಮಾಡಿ TX ಮತ್ತು RX ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ಗಳನ್ನು ಓದಲು. ಎಂಬುದನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ:
i. ರವಾನೆಯಾದ ಪ್ಯಾಕೆಟ್ ಚೌಕಟ್ಟುಗಳು ಸ್ವೀಕರಿಸಿದ ಪ್ಯಾಕೆಟ್ ಚೌಕಟ್ಟುಗಳಿಗೆ ಹೊಂದಿಕೆಯಾಗುತ್ತವೆ.
ii ಯಾವುದೇ ದೋಷ ಚೌಕಟ್ಟುಗಳನ್ನು ಸ್ವೀಕರಿಸಲಾಗಿಲ್ಲ.
ಜಿ. ಲೂಪ್_ಆಫ್ ಎಂದು ಟೈಪ್ ಮಾಡಿ ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್ಬ್ಯಾಕ್ ಅನ್ನು ಆಫ್ ಮಾಡಲು.
ಚಿತ್ರ 7. Sample ಟೆಸ್ಟ್ ಔಟ್ಪುಟ್-TX ಮತ್ತು RX ಅಂಕಿಅಂಶಗಳ ಕೌಂಟರ್ಗಳು
![]() |
![]() |
ಎಫ್-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಗಾಗಿ ಡಾಕ್ಯುಮೆಂಟ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸampಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
ಡಾಕ್ಯುಮೆಂಟ್ ಆವೃತ್ತಿ | ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಆವೃತ್ತಿ | IP ಆವೃತ್ತಿ | ಬದಲಾವಣೆಗಳು |
2022.10.14 | 22.3 | 1.0.0 | ಆರಂಭಿಕ ಬಿಡುಗಡೆ. |
ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್ಮಾರ್ಕ್ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.
ISO
9001:2015
ನೋಂದಾಯಿಸಲಾಗಿದೆ
ಆನ್ಲೈನ್ ಆವೃತ್ತಿ
ಪ್ರತಿಕ್ರಿಯೆಯನ್ನು ಕಳುಹಿಸಿ
ID: 750200
ಆವೃತ್ತಿ: 2022.10.14
ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು
![]() |
intel F-Tile 25G ಎತರ್ನೆಟ್ FPGA IP ಡಿಸೈನ್ ಎಕ್ಸ್ample [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ F-ಟೈಲ್ 25G ಎತರ್ನೆಟ್ FPGA IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ample, F-Tile 25G, F-Tile 25G ಎತರ್ನೆಟ್ FPGA, FPGA IP ಡಿಸೈನ್ ಎಕ್ಸ್ample, IP ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ, 750200 |